>hg38_chr1:1031466-1031476(-) AGACAAAGGGC >hg38_chr1:1162910-1162920(-) GGACAATGGGA >hg38_chr1:1421458-1421468(+) GAACAAAGGCC >hg38_chr1:1780214-1780224(+) TAATAATGCGT >hg38_chr1:1845765-1845775(+) AGACAATGGAA >hg38_chr1:1883596-1883606(+) tcacaatgacc >hg38_chr1:1890306-1890316(-) GAACAATGAAA >hg38_chr1:2258173-2258183(-) AGACAATAACA >hg38_chr1:2258206-2258216(+) TGACAATGTGT >hg38_chr1:2271626-2271636(-) GGACAAAGGTT >hg38_chr1:2350003-2350013(+) TGACAATGTAC >hg38_chr1:2443937-2443947(+) AGACAATGGAC >hg38_chr1:2446662-2446672(+) GCACAAAGGGA >hg38_chr1:2446670-2446680(+) GGACAAAGGAG >hg38_chr1:3055970-3055980(-) CTACAATGGAG >hg38_chr1:3101313-3101323(-) AGACAATGCAC >hg38_chr1:3101346-3101356(-) AGACAATACGG >hg38_chr1:3139599-3139609(-) TAACAATGCTT >hg38_chr1:3198966-3198976(-) AGACAATGCCT >hg38_chr1:3204914-3204924(+) GGACAATGTGC >hg38_chr1:3215876-3215886(-) GAACAATGGGA >hg38_chr1:3248301-3248311(-) GGACAAAGGGA >hg38_chr1:3249782-3249792(-) GGACAATGGAA >hg38_chr1:3261353-3261363(-) GGACAAAGGAA >hg38_chr1:3261396-3261406(+) TCACAATGCAT >hg38_chr1:3285350-3285360(-) GAACAATGGGG >hg38_chr1:3286028-3286038(+) TAACTATGGGA >hg38_chr1:3352853-3352863(+) GAACAATAGCT >hg38_chr1:3367593-3367603(-) GGACAATGGAC >hg38_chr1:3393656-3393666(+) GAACAGTGGCG >hg38_chr1:3441616-3441626(+) TGATAATGGCC >hg38_chr1:3441625-3441635(-) TGACAATAGGG >hg38_chr1:3531012-3531022(+) AAACAAAGGGA >hg38_chr1:3590622-3590632(-) GGACAATGTCA >hg38_chr1:3611023-3611033(-) GAACAATGGGC >hg38_chr1:3625725-3625735(+) GAACAATGAGA >hg38_chr1:3674998-3675008(+) CCACAATGGCC >hg38_chr1:3675022-3675032(+) CCACAATGGCC >hg38_chr1:3675310-3675320(+) CCACAATGGCC >hg38_chr1:3675334-3675344(+) CCACAATGGCC >hg38_chr1:3712069-3712079(+) GAATAATAGCG >hg38_chr1:3717378-3717388(+) GCACAGTGGCG >hg38_chr1:3793489-3793499(-) ttacaaaggca >hg38_chr1:3986769-3986779(-) CGACAGTGACG >hg38_chr1:4070676-4070686(+) aaacaatgtag >hg38_chr1:4228807-4228817(+) ACACAATGGGA >hg38_chr1:4302323-4302333(+) TAACACTGGAC >hg38_chr1:4302374-4302384(-) GAACACTGGGA >hg38_chr1:4341414-4341424(-) AAACAAAGGCC >hg38_chr1:4396760-4396770(+) GAACAAAGGGC >hg38_chr1:4600183-4600193(+) TAACAATGCGC >hg38_chr1:4600218-4600228(+) TAACAATGCGC >hg38_chr1:4602486-4602496(+) AAACAAAGGGA >hg38_chr1:4657463-4657473(+) TCACAATGGGC >hg38_chr1:4710520-4710530(+) TTACAATGACA >hg38_chr1:4710543-4710553(-) TCACAAAGGAA >hg38_chr1:4895517-4895527(-) GAACAAAGGCA >hg38_chr1:5078954-5078964(-) AGACAATGGGC >hg38_chr1:5119407-5119417(+) agataatgggc >hg38_chr1:5176684-5176694(-) TTACAAAGGCA >hg38_chr1:5176743-5176753(+) ATACAATGGGG >hg38_chr1:5197555-5197565(-) tgacaatagca >hg38_chr1:5200274-5200284(-) GAACAATGGAC >hg38_chr1:5215425-5215435(+) ccacaatgtga >hg38_chr1:5225942-5225952(-) TTAcaatagca >hg38_chr1:5229832-5229842(+) agacaatgtcg >hg38_chr1:5425037-5425047(-) TCACAATGCCT >hg38_chr1:5477764-5477774(-) AGACAATGCAG >hg38_chr1:5514322-5514332(+) caacaatgact >hg38_chr1:5586046-5586056(-) ATAcagtggga >hg38_chr1:5611137-5611147(-) atacaatagat >hg38_chr1:5798405-5798415(-) GAACAAAGGGC >hg38_chr1:5897065-5897075(-) ggacaaaggtt >hg38_chr1:5897406-5897416(+) taacaatgaga >hg38_chr1:5921127-5921137(-) gaacaatgtgg >hg38_chr1:5955269-5955279(+) atacaatacgg >hg38_chr1:5992615-5992625(+) GAACAATAGCC >hg38_chr1:6116741-6116751(+) taacaatgaga >hg38_chr1:6169425-6169435(+) CGACACTGGCC >hg38_chr1:6239527-6239537(+) GCACAAAGGGA >hg38_chr1:6240519-6240529(+) AAACAATCGCA >hg38_chr1:6242421-6242431(+) GCACAATGCCC >hg38_chr1:6243634-6243644(-) GGACAATGCAG >hg38_chr1:6273473-6273483(+) CGACACTGGAT >hg38_chr1:6330576-6330586(+) CTACAAAGGAA >hg38_chr1:6336819-6336829(+) GAACAATagag >hg38_chr1:6340400-6340410(+) TCACAATAGGC >hg38_chr1:6348394-6348404(-) GAACAGTGGGT >hg38_chr1:6420010-6420020(-) GAACAAAGGCA >hg38_chr1:6485505-6485515(-) GCACAATGGCA >hg38_chr1:6495685-6495695(-) TGACAATAGTG >hg38_chr1:6519453-6519463(+) GAACAAAGGCT >hg38_chr1:6537352-6537362(+) aaacaaaggtt >hg38_chr1:6537407-6537417(+) taacaaaggaa >hg38_chr1:6577965-6577975(-) GAACAATAGCT >hg38_chr1:6577974-6577984(-) GCACAAAGGGA >hg38_chr1:6582042-6582052(-) GCACAATAGGC >hg38_chr1:6601477-6601487(-) GCACAATGGGT >hg38_chr1:6603319-6603329(+) GTACAATGGCT >hg38_chr1:6613590-6613600(-) GCACAAAGGAA >hg38_chr1:6683523-6683533(+) ggacaatagtg >hg38_chr1:6701761-6701771(-) GGACAATGAAG >hg38_chr1:6794107-6794117(-) ATACAATAACC >hg38_chr1:6824164-6824174(-) ATACAAAGGGT >hg38_chr1:6858206-6858216(-) ATACAATTGAA >hg38_chr1:6867094-6867104(-) agacaatgcac >hg38_chr1:6884910-6884920(-) ATACAATGGTG >hg38_chr1:6905708-6905718(-) GCACAATGCAA >hg38_chr1:6906996-6907006(-) GAACAAAGGCC >hg38_chr1:6912224-6912234(+) GCACAATGGAG >hg38_chr1:6989769-6989779(-) AAACAAAGGAT >hg38_chr1:6991430-6991440(+) gaacagtggga >hg38_chr1:6991441-6991451(-) ccacaatgaga >hg38_chr1:6993012-6993022(-) agacaaaggtg >hg38_chr1:6995965-6995975(+) gaacagtggta >hg38_chr1:7097515-7097525(-) TTACAATGGGG >hg38_chr1:7112645-7112655(-) CTACAAAGGGA >hg38_chr1:7117781-7117791(-) ACACAAAGGAG >hg38_chr1:7202171-7202181(-) tgacaatggca >hg38_chr1:7248217-7248227(-) GAACAATGGGC >hg38_chr1:7298282-7298292(-) TGACAATGCAG >hg38_chr1:7374486-7374496(+) CAACAATGACA >hg38_chr1:7386427-7386437(+) GGACAATGGCA >hg38_chr1:7399228-7399238(-) aaacaatgagc >hg38_chr1:7471990-7472000(-) GCACAAAGGAA >hg38_chr1:7496199-7496209(-) GTACAAAGGAG >hg38_chr1:7501341-7501351(-) GAACAAAGGCC >hg38_chr1:7638288-7638298(-) GAACAATGGAA >hg38_chr1:7752660-7752670(+) TTACAATAGGG >hg38_chr1:7811119-7811129(-) ATACAATGCAA >hg38_chr1:7847697-7847707(-) ACACAATGCTT >hg38_chr1:7926797-7926807(+) tgacaaaggta >hg38_chr1:7926805-7926815(+) gtacaatgaag >hg38_chr1:7961203-7961213(+) aaacaaTGCTG >hg38_chr1:8015130-8015140(+) GTACAATAGCT >hg38_chr1:8018896-8018906(-) agacaatagga >hg38_chr1:8021146-8021156(+) ACATAATGGAC >hg38_chr1:8021403-8021413(-) ATACAAAGGAC >hg38_chr1:8075807-8075817(+) ggacaaaggca >hg38_chr1:8082398-8082408(-) GGACAATAAAC >hg38_chr1:8121424-8121434(-) GAACAAAGGGT >hg38_chr1:8137987-8137997(+) agacaataggc >hg38_chr1:8167243-8167253(+) GAACAATGGCT >hg38_chr1:8266927-8266937(+) aaacaatgtaa >hg38_chr1:8343551-8343561(-) CGACAATGAAA >hg38_chr1:8408281-8408291(+) TAACAAAGGGC >hg38_chr1:8409246-8409256(+) CGACAATGGTT >hg38_chr1:8424197-8424207(-) CCACAATCGCG >hg38_chr1:8424559-8424569(-) TAACAAAGGTC >hg38_chr1:8424744-8424754(+) GAACAAAGGGT >hg38_chr1:8443581-8443591(+) agacaatgagg >hg38_chr1:8450138-8450148(-) caacaatgagt >hg38_chr1:8460047-8460057(-) GGACAATGACA >hg38_chr1:8460233-8460243(+) GAACAATGAAA >hg38_chr1:8460242-8460252(+) AAACAATGTTT >hg38_chr1:8460280-8460290(+) TAACAAAGGCA >hg38_chr1:8468387-8468397(+) ACACAATGGGG >hg38_chr1:8470061-8470071(+) ATATAATGGCG >hg38_chr1:8473931-8473941(+) CAACAATGCCG >hg38_chr1:8517014-8517024(-) caacaatgaag >hg38_chr1:8520592-8520602(-) ACATAATGGAG >hg38_chr1:8527664-8527674(+) TGATAATGGAT >hg38_chr1:8527708-8527718(-) AGACAATGAAG >hg38_chr1:8547207-8547217(+) agacaatagaa >hg38_chr1:8547260-8547270(+) agacaatggtg >hg38_chr1:8555343-8555353(+) ccacaatggct >hg38_chr1:8561246-8561256(-) GCACAATGCTG >hg38_chr1:8561292-8561302(+) ACACAAAGGTA >hg38_chr1:8564169-8564179(+) AGACAATGGGG >hg38_chr1:8632347-8632357(+) AAACAATGCAC >hg38_chr1:8632372-8632382(+) TTACAATAAAT >hg38_chr1:8640376-8640386(+) GAACAATGCCT >hg38_chr1:8649253-8649263(+) GGACAATATAC >hg38_chr1:8649260-8649270(+) ATACAATAGAG >hg38_chr1:8700623-8700633(+) GTACAATGTAA >hg38_chr1:8702275-8702285(+) AAACAATGCCC >hg38_chr1:8703525-8703535(+) ACACAATGCCA >hg38_chr1:8705523-8705533(-) taacaaaggaa >hg38_chr1:8713181-8713191(-) TTACTATGGTT >hg38_chr1:8717334-8717344(+) ACACAATAACG >hg38_chr1:8717377-8717387(-) AAACAATGGCA >hg38_chr1:8723861-8723871(-) ATACAATGTGA >hg38_chr1:8731351-8731361(+) taacaaaggcc >hg38_chr1:8740302-8740312(+) acacaatggta >hg38_chr1:8741904-8741914(+) AGACAATGCCT >hg38_chr1:8741910-8741920(-) AGACAAAGGCA >hg38_chr1:8753843-8753853(-) ATACAGTGGAG >hg38_chr1:8755073-8755083(+) ATACAAAGGCT >hg38_chr1:8768373-8768383(-) GTATAATGAAT >hg38_chr1:8815805-8815815(+) GAACAATGAGC >hg38_chr1:8816451-8816461(+) CAACAATGAAT >hg38_chr1:8818700-8818710(-) CAACAATAGGT >hg38_chr1:8859569-8859579(+) TAACAATGAAC >hg38_chr1:8875426-8875436(-) TGACAATAGCC >hg38_chr1:8875442-8875452(+) GAACAATGAGG >hg38_chr1:8914566-8914576(-) gcacaatgaga >hg38_chr1:8942038-8942048(+) GAACAATAGCC >hg38_chr1:8944925-8944935(+) GGACAATGAGT >hg38_chr1:8963155-8963165(+) ccacaatagct >hg38_chr1:8988368-8988378(+) GGACAAAGGGC >hg38_chr1:9003243-9003253(-) TGACAATGGGC >hg38_chr1:9064717-9064727(+) taacaatgcca >hg38_chr1:9126388-9126398(-) ggacaaaggac >hg38_chr1:9142347-9142357(-) GGACAATGTGT >hg38_chr1:9200701-9200711(+) ACACAATGCAG >hg38_chr1:9226122-9226132(-) CAACAATGCAG >hg38_chr1:9226145-9226155(+) ggacaatggga >hg38_chr1:9318078-9318088(-) GAACAATCGCT >hg38_chr1:9331913-9331923(-) agacaatgcaa >hg38_chr1:9331945-9331955(-) ACAcaatagtc >hg38_chr1:9347234-9347244(+) GGACAATAGTG >hg38_chr1:9495702-9495712(-) tgacaatgacg >hg38_chr1:9509108-9509118(-) acacaatggaa >hg38_chr1:9509165-9509175(-) Taacaatgcta >hg38_chr1:9561622-9561632(+) TAACTATGGAG >hg38_chr1:9758847-9758857(+) AAACAATGGTG >hg38_chr1:9759075-9759085(+) agacaatggac >hg38_chr1:9766849-9766859(-) TCACAATGAAG >hg38_chr1:9789107-9789117(-) tcacaatggcc >hg38_chr1:9789116-9789126(+) gaacaatgctg >hg38_chr1:9793539-9793549(+) GAACAATGGCC >hg38_chr1:9809018-9809028(-) GGACAAAGGGA >hg38_chr1:9816954-9816964(-) GGACAAAGGAG >hg38_chr1:9816966-9816976(+) ACACAATGACT >hg38_chr1:9859407-9859417(+) AGACAATGGGA >hg38_chr1:9893933-9893943(-) GTACAATAGTC >hg38_chr1:9929638-9929648(-) GAACAATGGGA >hg38_chr1:9950051-9950061(-) TGACAATGGAT >hg38_chr1:10051858-10051868(-) ATACAATGACA >hg38_chr1:10054643-10054653(-) GAACAATGGCA >hg38_chr1:10054659-10054669(+) CTACAATGCCA >hg38_chr1:10059535-10059545(-) AGACAATGGGA >hg38_chr1:10064159-10064169(-) GAACAAAGGAG >hg38_chr1:10211636-10211646(-) GAACAATGACT >hg38_chr1:10213526-10213536(+) GGACAATGGGC >hg38_chr1:10225819-10225829(-) CCACAATGGCA >hg38_chr1:10258528-10258538(+) GTACAATGACA >hg38_chr1:10295724-10295734(-) ATACAATGCTC >hg38_chr1:10297938-10297948(+) ATACAATACTA >hg38_chr1:10297963-10297973(+) TTATAATGGTA >hg38_chr1:10298716-10298726(+) taacaataatg >hg38_chr1:10339814-10339824(+) AAACAATGGCA >hg38_chr1:10339973-10339983(+) AGACAATAGAG >hg38_chr1:10353215-10353225(-) GGATAATGGAG >hg38_chr1:10387978-10387988(-) GAACAAAGGCA >hg38_chr1:10388375-10388385(-) ACACAATGGCT >hg38_chr1:10399601-10399611(-) GAACAAAGGAA >hg38_chr1:10400317-10400327(-) TAACAATGACC >hg38_chr1:10492696-10492706(-) GAACAATGGCA >hg38_chr1:10510999-10511009(+) AGACAATGCTC >hg38_chr1:10529843-10529853(+) aaacaaaggaa >hg38_chr1:10529898-10529908(+) CAACAATGGGA >hg38_chr1:10579170-10579180(+) gaacaatgatg >hg38_chr1:10586150-10586160(+) acacaatgaac >hg38_chr1:10607661-10607671(-) ggacaataaac >hg38_chr1:10615504-10615514(-) acacaatgcac >hg38_chr1:10668422-10668432(+) TTACACTGGAC >hg38_chr1:10704483-10704493(+) AGACAATGCTG >hg38_chr1:10704762-10704772(+) TAACACTGGCG >hg38_chr1:10705941-10705951(+) GGACAATGACA >hg38_chr1:10709838-10709848(+) GGACAATGAGG >hg38_chr1:10714744-10714754(+) GGACAAAGGTC >hg38_chr1:10745621-10745631(-) gcacaatgaaa >hg38_chr1:10779337-10779347(-) CAACAATAGCT >hg38_chr1:10783040-10783050(+) GCACAATGCAG >hg38_chr1:10792561-10792571(-) ATACAAAGCGA >hg38_chr1:10800049-10800059(+) ccacaatgatt >hg38_chr1:10815217-10815227(-) GAACAATGCAG >hg38_chr1:10835929-10835939(+) TCACAATGGTG >hg38_chr1:10860159-10860169(-) AGACAATGGCA >hg38_chr1:10944118-10944128(+) GTACAATAAAT >hg38_chr1:10958716-10958726(-) GGACAATAGAC >hg38_chr1:10969739-10969749(+) gaacaatggca >hg38_chr1:10980462-10980472(-) TAATAATAGCG >hg38_chr1:10980956-10980966(-) AGACAATGAAG >hg38_chr1:11014296-11014306(-) GGACAATGATC >hg38_chr1:11024759-11024769(+) TCACAATGCAT >hg38_chr1:11024764-11024774(-) TAACAATGCAT >hg38_chr1:11025984-11025994(-) TTACAATGAAC >hg38_chr1:11026304-11026314(+) TAACAATGTGA >hg38_chr1:11027349-11027359(-) TGACAATGACA >hg38_chr1:11053430-11053440(+) gaacaatgcct >hg38_chr1:11063173-11063183(-) ACATAATGGCC >hg38_chr1:11134475-11134485(+) GGACAAAGGCA >hg38_chr1:11178558-11178568(-) AGATAATGGCC >hg38_chr1:11178579-11178589(+) CAACAATGAGC >hg38_chr1:11212381-11212391(-) GAACACTGGAC >hg38_chr1:11212392-11212402(+) GAACAATAGGG >hg38_chr1:11360870-11360880(+) GGACAATGCCA >hg38_chr1:11414243-11414253(+) GTACAAAGGAT >hg38_chr1:11479107-11479117(+) AAACAAAGGCG >hg38_chr1:11506077-11506087(-) agacaatggag >hg38_chr1:11556206-11556216(+) tcacaatggat >hg38_chr1:11595452-11595462(+) ctacaatggga >hg38_chr1:11642503-11642513(+) AAACAATGGGA >hg38_chr1:11681724-11681734(+) Taacaatagct >hg38_chr1:11689641-11689651(-) aaacaaagggt >hg38_chr1:11691307-11691317(-) CGACAAAGGGC >hg38_chr1:11704428-11704438(+) GAACAATGCTT >hg38_chr1:11725839-11725849(+) AGACGATGGTT >hg38_chr1:11762775-11762785(-) gaacaatgcct >hg38_chr1:11803596-11803606(+) CGACAAAGGAA >hg38_chr1:11908373-11908383(-) TAACAAAGCGA >hg38_chr1:11908395-11908405(-) ATACAATTGAT >hg38_chr1:11909708-11909718(+) GAACAATGTTT >hg38_chr1:11944847-11944857(-) GAACAAAGGGG >hg38_chr1:12040788-12040798(-) tgacaatgggc >hg38_chr1:12049816-12049826(-) aaacaATAATC >hg38_chr1:12123354-12123364(+) AGAGAATGGCG >hg38_chr1:12158515-12158525(+) ATACAAAGGGC >hg38_chr1:12184315-12184325(-) AAACAATGAGG >hg38_chr1:12188387-12188397(+) agacaaaggga >hg38_chr1:12209155-12209165(+) AGACAATGAAA >hg38_chr1:12234671-12234681(+) CGACAGTGGCA >hg38_chr1:12234726-12234736(+) gaacaatagct >hg38_chr1:12248827-12248837(+) ATACAATGGCT >hg38_chr1:12296823-12296833(+) AAACAGTGGTA >hg38_chr1:12336478-12336488(-) TGACAATGGCT >hg38_chr1:12339761-12339771(-) ACATAATGGCA >hg38_chr1:12339800-12339810(-) GCACAATGCTT >hg38_chr1:12347759-12347769(-) TAACAATGCTT >hg38_chr1:12350026-12350036(-) gtataatgaaa >hg38_chr1:12358979-12358989(-) gaacaatgctt >hg38_chr1:12366519-12366529(-) AAACAATGGTG >hg38_chr1:12372634-12372644(-) aaataatggga >hg38_chr1:12397575-12397585(-) gtacagtgtcg >hg38_chr1:12406536-12406546(-) CAACAATGTAC >hg38_chr1:12409523-12409533(+) tcacaatagaa >hg38_chr1:12422713-12422723(-) agacaatgtat >hg38_chr1:12447934-12447944(-) AAACTATGGAA >hg38_chr1:12454107-12454117(-) TGACAATGGCT >hg38_chr1:12461140-12461150(+) GTATAATGAAT >hg38_chr1:12461853-12461863(-) TGACAATGTAT >hg38_chr1:12467675-12467685(-) CAACAATAGAT >hg38_chr1:12478248-12478258(+) GAACAAAGGGA >hg38_chr1:12506081-12506091(-) TCACAATGGGG >hg38_chr1:12592712-12592722(-) GGATAATGGAG >hg38_chr1:12604439-12604449(-) ATACAATGAAT >hg38_chr1:12667230-12667240(+) TGACAATGAAG >hg38_chr1:12690305-12690315(+) gaacaaagggc >hg38_chr1:12697323-12697333(-) acacagtggaa >hg38_chr1:12717485-12717495(-) TAACAATGgct >hg38_chr1:13499546-13499556(-) TGACAAAGGAA >hg38_chr1:13544012-13544022(-) AAACAATAGTC >hg38_chr1:13585848-13585858(-) CCACAATAGCT >hg38_chr1:13588262-13588272(-) TAACAATGGTG >hg38_chr1:13589465-13589475(+) TAACAATATaa >hg38_chr1:13617955-13617965(+) CAACAATGAGT >hg38_chr1:13628895-13628905(+) GAACAATAGGC >hg38_chr1:13693964-13693974(-) ATACAAAGGGA >hg38_chr1:13700779-13700789(-) ACACAAAGACG >hg38_chr1:13714792-13714802(+) TTACAGTGGAA >hg38_chr1:13715747-13715757(-) ACACAATGAAC >hg38_chr1:13715789-13715799(-) AAACAATGCAC >hg38_chr1:13749393-13749403(+) GTACAATGGGG >hg38_chr1:13751751-13751761(+) AAACAAAGGGC >hg38_chr1:13759372-13759382(+) CAACAATGCAG >hg38_chr1:13783700-13783710(-) GGACAAAGGAC >hg38_chr1:13807428-13807438(+) aaacaataacc >hg38_chr1:13930444-13930454(+) TTACAATGGGA >hg38_chr1:13930454-13930464(+) ATACAATGGGA >hg38_chr1:13930471-13930481(+) ATACAATGGAA >hg38_chr1:13950897-13950907(+) ATACAAAGGAA >hg38_chr1:14075138-14075148(+) GTATAATGGGG >hg38_chr1:14095154-14095164(+) gaacaatgaaa >hg38_chr1:14127221-14127231(-) GGACAATGAAA >hg38_chr1:14127248-14127258(+) TCACAATGAAG >hg38_chr1:14437472-14437482(-) GAACAAAGACG >hg38_chr1:14475986-14475996(-) aaacaatgATA >hg38_chr1:14489954-14489964(-) tgacaaaggaa >hg38_chr1:14489995-14490005(-) tgacaatgggt >hg38_chr1:14491775-14491785(-) GAACAAAGGGG >hg38_chr1:14496189-14496199(+) ACACAATCGAA >hg38_chr1:14507339-14507349(+) TCACAATCGTC >hg38_chr1:14542718-14542728(-) gaacaatagat >hg38_chr1:14542727-14542737(-) atactatggga >hg38_chr1:14567390-14567400(+) atataatgata >hg38_chr1:14646588-14646598(-) ccacaatagag >hg38_chr1:14667682-14667692(+) GAACAATGATA >hg38_chr1:14686857-14686867(-) GAACAATAGAA >hg38_chr1:14741786-14741796(+) AAACAATAGCA >hg38_chr1:14759045-14759055(+) GGACAATGAAG >hg38_chr1:14765848-14765858(-) gcacaatggac >hg38_chr1:14767231-14767241(+) TGACAATAGCA >hg38_chr1:14833268-14833278(+) GAACAATGAGT >hg38_chr1:14856733-14856743(+) GGACAATGGCC >hg38_chr1:14856740-14856750(-) ACATAATGGCC >hg38_chr1:14910279-14910289(+) TCACAATGACA >hg38_chr1:14925437-14925447(-) acacaataggt >hg38_chr1:14944713-14944723(+) GGACAATAAAA >hg38_chr1:14944726-14944736(-) TTACAATGGTG >hg38_chr1:14968474-14968484(-) acacaatgcag >hg38_chr1:14980820-14980830(+) GAACAATGCTT >hg38_chr1:14984720-14984730(-) ggacaaagggc >hg38_chr1:15027960-15027970(-) ACACAATGAGG >hg38_chr1:15027990-15028000(-) ACACAAAGGAG >hg38_chr1:15033578-15033588(-) agacaatagca >hg38_chr1:15053387-15053397(-) GAACAATGGGG >hg38_chr1:15090675-15090685(+) AAACAAAGGGC >hg38_chr1:15128668-15128678(-) CTATAATGGCC >hg38_chr1:15128711-15128721(+) GGACAATACGC >hg38_chr1:15139960-15139970(+) TGACAAAGGAA >hg38_chr1:15139985-15139995(+) GTACAAAGCGA >hg38_chr1:15165823-15165833(-) GTACAatgaac >hg38_chr1:15187001-15187011(-) CGACAGTGGGG >hg38_chr1:15214605-15214615(+) ACACAATGTTT >hg38_chr1:15252869-15252879(+) AAACAGTGGAT >hg38_chr1:15255327-15255337(-) aaacaataggc >hg38_chr1:15260370-15260380(-) ATacaataacc >hg38_chr1:15260395-15260405(+) taacaatgagt >hg38_chr1:15264391-15264401(-) ttacaatagca >hg38_chr1:15310243-15310253(+) ACACAATCGCT >hg38_chr1:15330153-15330163(-) acacaatggaa >hg38_chr1:15384512-15384522(-) TGACAATGGGC >hg38_chr1:15386525-15386535(-) TAACAAAGGCC >hg38_chr1:15409453-15409463(-) AAACACTGGCG >hg38_chr1:15426960-15426970(-) GTACAATGGGA >hg38_chr1:15595318-15595328(+) TAACAAAGGAA >hg38_chr1:15620801-15620811(-) gtacaatgtta >hg38_chr1:15628951-15628961(+) atacaatatgt >hg38_chr1:15628964-15628974(-) acacaacggac >hg38_chr1:15646817-15646827(-) GGATAATGGAA >hg38_chr1:15671777-15671787(+) GAACAAAGGCT >hg38_chr1:15681236-15681246(+) TAACAATGTCT >hg38_chr1:15741082-15741092(-) GAACAATGGGC >hg38_chr1:15741102-15741112(-) GAACAATGGGC >hg38_chr1:15758588-15758598(+) GAACAAAGGGA >hg38_chr1:15815582-15815592(+) AAACAAAGGTT >hg38_chr1:15815606-15815616(+) TGACAATGGCT >hg38_chr1:15834305-15834315(-) GAACAATAGAT >hg38_chr1:15835954-15835964(+) TGACAATGAAG >hg38_chr1:15851403-15851413(+) ACACAATAGAG >hg38_chr1:15851422-15851432(-) ATACAAAGGAA >hg38_chr1:15911424-15911434(-) AGACAATGGAA >hg38_chr1:15916019-15916029(-) AAATAATGGGC >hg38_chr1:15916383-15916393(-) CAACAATGTTC >hg38_chr1:15920908-15920918(+) CTACAATGAAA >hg38_chr1:15965705-15965715(+) atacaatggaa >hg38_chr1:15976058-15976068(+) AGACAAAGGGC >hg38_chr1:16116140-16116150(+) CCACAATGGGG >hg38_chr1:16146543-16146553(-) AGACAATGAGT >hg38_chr1:16164232-16164242(-) agacaatagac >hg38_chr1:16175074-16175084(-) GCACAAAGGCG >hg38_chr1:16212784-16212794(+) GAACAATGGGA >hg38_chr1:16267916-16267926(-) AAACAATGCCA >hg38_chr1:16287064-16287074(+) tgacaatgtcc >hg38_chr1:16348836-16348846(-) TTACAATGTTG >hg38_chr1:16350944-16350954(+) ATACAATAGAA >hg38_chr1:16371975-16371985(-) AAACAATGACC >hg38_chr1:16372017-16372027(-) ACACAATAGGA >hg38_chr1:16406958-16406968(-) taacaaaggac >hg38_chr1:16413374-16413384(-) gtacaatagac >hg38_chr1:16430750-16430760(-) atacaatggag >hg38_chr1:16499739-16499749(-) AAACAAAGGCA >hg38_chr1:16905625-16905635(-) gaacaaaggca >hg38_chr1:16909949-16909959(+) gcataatggcc >hg38_chr1:17039695-17039705(-) gcacaatagta >hg38_chr1:17075676-17075686(-) GCACAAAGGTA >hg38_chr1:17075696-17075706(+) GGACAAAGGAC >hg38_chr1:17089778-17089788(+) CAACAATGCCG >hg38_chr1:17110098-17110108(-) GCACAATGGGC >hg38_chr1:17177280-17177290(+) atacaataact >hg38_chr1:17177366-17177376(+) gaacaatataa >hg38_chr1:17206501-17206511(-) AGACAATGGGG >hg38_chr1:17245503-17245513(-) CTACAATGGCC >hg38_chr1:17310134-17310144(+) TAACAATGGCT >hg38_chr1:17318281-17318291(-) tgataatggca >hg38_chr1:17436917-17436927(-) AGACAATGGCC >hg38_chr1:17438548-17438558(+) GCACAATGGAC >hg38_chr1:17475216-17475226(-) gaacaatagaa >hg38_chr1:17531803-17531813(-) GAACAATGGGC >hg38_chr1:17555228-17555238(-) gaacaatggaa >hg38_chr1:17565572-17565582(-) TGACAATAGCA >hg38_chr1:17573874-17573884(-) AGACAATGGAG >hg38_chr1:17576551-17576561(-) TAACAATGACC >hg38_chr1:17653907-17653917(+) TAACAATGGGT >hg38_chr1:17671826-17671836(-) taacaatggga >hg38_chr1:17682599-17682609(-) aaacaatgccc >hg38_chr1:17811744-17811754(-) tgacaaaggta >hg38_chr1:17814385-17814395(+) gtacaataaca >hg38_chr1:17814446-17814456(+) ATACaatacat >hg38_chr1:17814456-17814466(-) acataatggta >hg38_chr1:17900125-17900135(-) gcacaatgctg >hg38_chr1:17900147-17900157(-) agacaatgcct >hg38_chr1:17957793-17957803(+) GCACAATGGGT >hg38_chr1:18094088-18094098(+) caacaatggaa >hg38_chr1:18094129-18094139(-) taacaatgttt >hg38_chr1:18200369-18200379(-) aaacaataact >hg38_chr1:18211409-18211419(+) AGACAATAGGT >hg38_chr1:18217602-18217612(-) ACACAATGCAT >hg38_chr1:18233584-18233594(-) gaacaatgggg >hg38_chr1:18233596-18233606(-) ccacaatgagc >hg38_chr1:18233622-18233632(-) tgacaatagct >hg38_chr1:18374100-18374110(+) CAACAATGCCG >hg38_chr1:18417708-18417718(+) aaacaaaggca >hg38_chr1:18419223-18419233(+) ttacaaaggca >hg38_chr1:18419958-18419968(-) ggacaatgggc >hg38_chr1:18486523-18486533(-) CGACACTGGCT >hg38_chr1:18491572-18491582(-) ttacaatggta >hg38_chr1:18533745-18533755(-) caacaatagtc >hg38_chr1:18542685-18542695(-) GGACAATGTGG >hg38_chr1:18593752-18593762(-) acacaatggaa >hg38_chr1:18594264-18594274(-) CTACAATAGGC >hg38_chr1:18598489-18598499(-) GCACAAAGGAA >hg38_chr1:18603449-18603459(-) TGACAATGCCA >hg38_chr1:18603523-18603533(+) GCATAATGGTA >hg38_chr1:18618804-18618814(-) aaacaatgata >hg38_chr1:18649902-18649912(+) gaacaatggca >hg38_chr1:18654082-18654092(+) GAACAATGGCA >hg38_chr1:18668854-18668864(-) GGACAAAGGCA >hg38_chr1:18784990-18785000(-) agacaatgctt >hg38_chr1:18804677-18804687(-) GAACAATAGGG >hg38_chr1:18869900-18869910(-) GGACAATAGGC >hg38_chr1:18913128-18913138(+) CAACAATGAGT >hg38_chr1:18926919-18926929(+) AAACAATGAAG >hg38_chr1:18941447-18941457(+) AAACAATGCCA >hg38_chr1:18984939-18984949(-) ACACAATGAAA >hg38_chr1:18997653-18997663(+) gcacaATGGCT >hg38_chr1:19000345-19000355(+) caacaatgtaa >hg38_chr1:19012548-19012558(+) ACACACTGGCG >hg38_chr1:19023813-19023823(+) ttacaataaat >hg38_chr1:19067167-19067177(-) GGACAATGCCA >hg38_chr1:19073546-19073556(-) AGACAAAGGCG >hg38_chr1:19083345-19083355(-) CAACAATGTTA >hg38_chr1:19135145-19135155(-) taataatgggt >hg38_chr1:19135179-19135189(-) gtacaatggag >hg38_chr1:19135198-19135208(-) taacaaaggtg >hg38_chr1:19135490-19135500(+) tcacaatgagt >hg38_chr1:19138713-19138723(+) GGATAATGGGT >hg38_chr1:19154359-19154369(+) CTACAATGGGT >hg38_chr1:19197232-19197242(-) TAACTATGGCA >hg38_chr1:19229464-19229474(-) AGACAAAGGAG >hg38_chr1:19229480-19229490(-) GAACAATGACC >hg38_chr1:19247322-19247332(-) gcacaatggca >hg38_chr1:19247384-19247394(+) aaacaatgttt >hg38_chr1:19250664-19250674(-) gcacaatgcct >hg38_chr1:19341530-19341540(+) GGACAATGCTT >hg38_chr1:19353790-19353800(+) AGACAAAGGCA >hg38_chr1:19361420-19361430(+) AGACAAAGGGA >hg38_chr1:19450925-19450935(+) GAATAATGGAA >hg38_chr1:19556452-19556462(-) GTACAATGTTT >hg38_chr1:19602194-19602204(+) gtacaataatt >hg38_chr1:19602201-19602211(-) taacaataatt >hg38_chr1:19607192-19607202(+) AAACAATGTGA >hg38_chr1:19616448-19616458(-) ATACAATGAAT >hg38_chr1:19617210-19617220(-) AAACAAAGGTA >hg38_chr1:19619868-19619878(-) TAACAAAGGAG >hg38_chr1:19629514-19629524(-) GAACAAAGGCA >hg38_chr1:19706338-19706348(+) TAATAATGGAT >hg38_chr1:19706380-19706390(+) GGACAATGAGT >hg38_chr1:19715851-19715861(+) CTACAATGCCA >hg38_chr1:19780464-19780474(+) GGACAATGACT >hg38_chr1:19782129-19782139(+) tcacaatagtc >hg38_chr1:19783184-19783194(-) agacaatgcat >hg38_chr1:19840340-19840350(+) agacaataaca >hg38_chr1:19840568-19840578(-) gaataatgata >hg38_chr1:19866763-19866773(-) TTACAAAGGTA >hg38_chr1:19866776-19866786(-) CAACAATGGTA >hg38_chr1:19934417-19934427(+) GAACAATGGAG >hg38_chr1:19941319-19941329(+) AGACAATAGCC >hg38_chr1:19941988-19941998(+) gaacaatgaag >hg38_chr1:20019210-20019220(-) ggacaaaggta >hg38_chr1:20039975-20039985(+) CCACAATGGAC >hg38_chr1:20063952-20063962(-) CAACAATGGCT >hg38_chr1:20110947-20110957(-) aaacaatgcag >hg38_chr1:20124105-20124115(+) TAACAATGAGG >hg38_chr1:20147284-20147294(-) gcacaatagaa >hg38_chr1:20187252-20187262(-) ACACAATAGCT >hg38_chr1:20444416-20444426(+) AGACAATGAGG >hg38_chr1:20448866-20448876(-) TGACAATGCAG >hg38_chr1:20448876-20448886(-) GGACAATGGGT >hg38_chr1:20448908-20448918(+) AAACAATGGGC >hg38_chr1:20449849-20449859(+) gcacaatagtt >hg38_chr1:20525239-20525249(-) TAACAAtgggg >hg38_chr1:20619372-20619382(+) ggacaatggca >hg38_chr1:20642205-20642215(-) taacaATGGTG >hg38_chr1:20753750-20753760(+) gaacaaaggac >hg38_chr1:20786927-20786937(+) AAACAAGGGCG >hg38_chr1:20805893-20805903(+) AGACAATGTGA >hg38_chr1:20834809-20834819(+) agacaatgaac >hg38_chr1:20835289-20835299(+) aaacaatatac >hg38_chr1:20847339-20847349(+) GCACAATGAAA >hg38_chr1:20865330-20865340(-) ATACAATAAAG >hg38_chr1:20865385-20865395(+) AAACAATATAG >hg38_chr1:20878687-20878697(+) TAACAATAACA >hg38_chr1:20906173-20906183(-) ACACAAAGGAA >hg38_chr1:20935887-20935897(+) GTACAATAGTC >hg38_chr1:20935895-20935905(-) TGACAAAGGAC >hg38_chr1:20943262-20943272(+) AAACAATATAC >hg38_chr1:20946571-20946581(+) Taataatggca >hg38_chr1:20946636-20946646(-) ggacaatgtat >hg38_chr1:20950432-20950442(+) TAACAATAGAC >hg38_chr1:20956038-20956048(+) aaacaataaat >hg38_chr1:20956065-20956075(+) atataatggta >hg38_chr1:20956074-20956084(+) taacaataatT >hg38_chr1:20965425-20965435(+) taacaatagca >hg38_chr1:20977841-20977851(-) GTACAATATGT >hg38_chr1:20977848-20977858(+) GTACAATAGTA >hg38_chr1:20978212-20978222(+) TGACAAAGGTA >hg38_chr1:20978612-20978622(-) atacactggat >hg38_chr1:20983437-20983447(+) GAACAATAAAG >hg38_chr1:20987739-20987749(+) acacaataata >hg38_chr1:20987759-20987769(-) atataatggcc >hg38_chr1:20998439-20998449(-) TAACAATAGGT >hg38_chr1:21005989-21005999(+) tcacaatgtat >hg38_chr1:21005999-21006009(-) gtataatggca >hg38_chr1:21032944-21032954(+) ATACAATGACG >hg38_chr1:21045314-21045324(+) TAACAATAAGC >hg38_chr1:21070434-21070444(+) ACACAATAATA >hg38_chr1:21078189-21078199(+) tcacaatagcc >hg38_chr1:21078232-21078242(+) gaataatggat >hg38_chr1:21078263-21078273(+) acacaatgaaa >hg38_chr1:21115200-21115210(-) ttacaatagct >hg38_chr1:21117649-21117659(+) GTACACTGGGT >hg38_chr1:21122145-21122155(+) AAACAATAAAC >hg38_chr1:21165934-21165944(-) AGATAATGGAT >hg38_chr1:21173843-21173853(+) GAACAATGACC >hg38_chr1:21177176-21177186(+) GAACAATGGTA >hg38_chr1:21199111-21199121(+) gtacaatgaag >hg38_chr1:21199132-21199142(+) caacaatgaag >hg38_chr1:21199153-21199163(+) caacaatgaag >hg38_chr1:21217274-21217284(+) TTACAATAGTG >hg38_chr1:21218176-21218186(+) TCACAATGTCC >hg38_chr1:21221024-21221034(+) GAACAAAGGAC >hg38_chr1:21237547-21237557(+) TAACAATAAAA >hg38_chr1:21237580-21237590(-) atacaataagg >hg38_chr1:21278391-21278401(+) GGACAAAGGCA >hg38_chr1:21314629-21314639(-) TGACAAAGGAA >hg38_chr1:21323316-21323326(+) ACACAATAGCC >hg38_chr1:21326151-21326161(+) CAACAATAGCT >hg38_chr1:21366438-21366448(+) acacaaaggtc >hg38_chr1:21366449-21366459(-) atacaatatgc >hg38_chr1:21379088-21379098(-) tcacaatggtg >hg38_chr1:21398130-21398140(+) GGACAATGAAC >hg38_chr1:21457706-21457716(+) taacaatgtct >hg38_chr1:21503887-21503897(+) AGACAATGGGG >hg38_chr1:21510316-21510326(+) ACATAATGGGG >hg38_chr1:21510342-21510352(+) TTACAAAGGCC >hg38_chr1:21574321-21574331(-) acacaatggag >hg38_chr1:21591451-21591461(+) CAACAATGAGT >hg38_chr1:21680939-21680949(-) TGACAAAGGTA >hg38_chr1:21695262-21695272(+) CCACAATGTGA >hg38_chr1:21726178-21726188(+) TCACAATGAAA >hg38_chr1:21765135-21765145(+) GCACAATGGCA >hg38_chr1:21765147-21765157(-) GTACTATGGCA >hg38_chr1:21910178-21910188(+) ACACAATGGGG >hg38_chr1:21947050-21947060(-) AGACAATGCCA >hg38_chr1:22025056-22025066(+) GTACAATGAGG >hg38_chr1:22039823-22039833(+) ctacaaaGGGA >hg38_chr1:22054573-22054583(-) CAACAATGCAT >hg38_chr1:22054614-22054624(-) AAACAGTGGGA >hg38_chr1:22074868-22074878(+) gaacaaagggc >hg38_chr1:22090097-22090107(+) AAACAAAGGAA >hg38_chr1:22103804-22103814(-) AAATAATGGCT >hg38_chr1:22226423-22226433(-) gaacaatgagg >hg38_chr1:22229805-22229815(+) TGACAATGATG >hg38_chr1:22229814-22229824(+) TGacaatagca >hg38_chr1:22245919-22245929(+) TTACAATGGTC >hg38_chr1:22317414-22317424(-) GAACAATGTTC >hg38_chr1:22319214-22319224(-) TTACAATGATC >hg38_chr1:22320873-22320883(+) TGACAATGGGG >hg38_chr1:22321968-22321978(-) aaacaaaggat >hg38_chr1:22451920-22451930(-) GAACAATAAAG >hg38_chr1:22452528-22452538(-) caacaatgaac >hg38_chr1:22505404-22505414(+) GGACAAAGGAG >hg38_chr1:22652473-22652483(-) TGACAATAATA >hg38_chr1:22673580-22673590(-) AGACAATGGGA >hg38_chr1:22689768-22689778(+) AGACAAAGGAG >hg38_chr1:22691168-22691178(+) acacaaaggat >hg38_chr1:22711624-22711634(+) GTACAATGGGG >hg38_chr1:22738630-22738640(-) cgataatgaat >hg38_chr1:22738686-22738696(-) CTACAATGACT >hg38_chr1:22832187-22832197(+) gaacaatgggt >hg38_chr1:22836367-22836377(-) AAACAATGGGA >hg38_chr1:22836397-22836407(-) CCACAATAGCT >hg38_chr1:22850962-22850972(-) aaacaatagcc >hg38_chr1:22939799-22939809(+) caacaatagca >hg38_chr1:22939814-22939824(-) aaacaatgtgt >hg38_chr1:23014192-23014202(+) TAACaataata >hg38_chr1:23014210-23014220(-) agacaaaggag >hg38_chr1:23022028-23022038(-) atacaatggaa >hg38_chr1:23028916-23028926(-) GTACAATGGAG >hg38_chr1:23039137-23039147(-) AAACAATAGAA >hg38_chr1:23042047-23042057(-) ATACAATAAAC >hg38_chr1:23063455-23063465(+) ATACAGTGGTG >hg38_chr1:23066583-23066593(-) CTACAATAGGG >hg38_chr1:23094703-23094713(+) taacaatggaa >hg38_chr1:23100419-23100429(+) GCACAATGTCT >hg38_chr1:23114905-23114915(+) taaCAATGATA >hg38_chr1:23114916-23114926(-) GGACAATAGTA >hg38_chr1:23130236-23130246(-) CAACAAAGGTA >hg38_chr1:23168853-23168863(+) TGACAATGAGG >hg38_chr1:23263601-23263611(-) AGATAATGGAT >hg38_chr1:23303795-23303805(+) AAACAATAGGG >hg38_chr1:23308866-23308876(+) GAATAATGGTG >hg38_chr1:23311993-23312003(-) GAACAAAGGGC >hg38_chr1:23340755-23340765(+) AAACAATAAGT >hg38_chr1:23340936-23340946(+) TTACACTGGAA >hg38_chr1:23341935-23341945(+) AAACAATAAAC >hg38_chr1:23342013-23342023(+) TTACAATGTGA >hg38_chr1:23357802-23357812(+) TAACAATGTCA >hg38_chr1:23371416-23371426(-) GAACAATGGGG >hg38_chr1:23395124-23395134(-) aaacaataaca >hg38_chr1:23395146-23395156(+) gtacaataaaA >hg38_chr1:23395178-23395188(+) CCACAATGCAT >hg38_chr1:23473095-23473105(-) GTACAAAGGCA >hg38_chr1:23530168-23530178(+) GGACAATAGCA >hg38_chr1:23530203-23530213(+) AAACAATGAAG >hg38_chr1:23560423-23560433(+) AAACAGTGGTA >hg38_chr1:23560443-23560453(-) AGACAATAGAG >hg38_chr1:23598408-23598418(+) aaacaatgatg >hg38_chr1:23598658-23598668(-) TAACAATGGAT >hg38_chr1:23673253-23673263(-) gcacactggcg >hg38_chr1:23705301-23705311(+) gaacaaaggac >hg38_chr1:23761117-23761127(-) GAACAATGTCG >hg38_chr1:23761150-23761160(-) TCACAATAGGC >hg38_chr1:23810989-23810999(+) GGACAATGGAC >hg38_chr1:23947163-23947173(-) atacaatagcc >hg38_chr1:23960046-23960056(-) CAACAATGGGG >hg38_chr1:23964129-23964139(+) GAACAATGCCA >hg38_chr1:23966923-23966933(+) aaacaatgagg >hg38_chr1:23998014-23998024(+) tcacaatagcc >hg38_chr1:24003281-24003291(-) CAACAATGAAA >hg38_chr1:24018882-24018892(-) CAACAATGTGA >hg38_chr1:24028218-24028228(-) CAACAATGTTG >hg38_chr1:24034321-24034331(+) taacaatggcc >hg38_chr1:24112182-24112192(+) GTACAATGACA >hg38_chr1:24112188-24112198(+) TGACAATGGAT >hg38_chr1:24137456-24137466(+) tcacaatgatt >hg38_chr1:24203631-24203641(+) CCACAATGGCA >hg38_chr1:24234446-24234456(-) GGACAAAGGAC >hg38_chr1:24285761-24285771(+) GGACAATGCCA >hg38_chr1:24329708-24329718(-) GAACAATGCCA >hg38_chr1:24340761-24340771(+) acacaaaggga >hg38_chr1:24375432-24375442(+) TTACAAAGGTG >hg38_chr1:24375717-24375727(-) GAACAATGGTT >hg38_chr1:24389518-24389528(-) acacaatgcag >hg38_chr1:24399289-24399299(+) tgacaaaggta >hg38_chr1:24399575-24399585(-) taacaatgtgc >hg38_chr1:24403940-24403950(-) ttacaataaaa >hg38_chr1:24403996-24404006(+) caataatggac >hg38_chr1:24406975-24406985(-) tcacaatgaaa >hg38_chr1:24463828-24463838(-) TAACAATAGCT >hg38_chr1:24507231-24507241(-) gcacaaaggtc >hg38_chr1:24576358-24576368(-) TCACAATAGGC >hg38_chr1:24587489-24587499(+) GCACAAAGGTC >hg38_chr1:24619519-24619529(-) AGACAATGCCC >hg38_chr1:24619540-24619550(-) GGACAAAGGGC >hg38_chr1:24632691-24632701(+) AGACAATGTGT >hg38_chr1:24656271-24656281(+) TGACAATGAGG >hg38_chr1:24667626-24667636(+) agacaatgaca >hg38_chr1:24704869-24704879(-) GGACAATGGAT >hg38_chr1:24704889-24704899(-) TAACAATAATG >hg38_chr1:24725539-24725549(+) AGACAAAGGCG >hg38_chr1:24745763-24745773(-) AGACAATGGGC >hg38_chr1:24765623-24765633(-) GGACAATAGCT >hg38_chr1:24769301-24769311(+) GAATAATGGTC >hg38_chr1:24769338-24769348(+) ttactatgggc >hg38_chr1:24776984-24776994(+) caacaatggcc >hg38_chr1:24777049-24777059(-) gcacaatagct >hg38_chr1:24802228-24802238(-) CTATAATGGGT >hg38_chr1:24822884-24822894(-) GCACAATAGAG >hg38_chr1:24822894-24822904(-) GAACAATATAG >hg38_chr1:24830479-24830489(+) aaacaatgctg >hg38_chr1:24838805-24838815(+) ATACAGTGGTG >hg38_chr1:24840278-24840288(+) ATATAATGCGT >hg38_chr1:24884275-24884285(-) gtacaatggaa >hg38_chr1:24884319-24884329(+) tcacaatgttg >hg38_chr1:24938656-24938666(-) tcacaatgggg >hg38_chr1:25167549-25167559(-) GAACAATGAAC >hg38_chr1:25194616-25194626(+) GAACAAAGGGA >hg38_chr1:25233062-25233072(-) aaacaatggct >hg38_chr1:25240393-25240403(+) TGACAATGACT >hg38_chr1:25429493-25429503(-) CGACAATGGGG >hg38_chr1:25430531-25430541(+) CTACAATGCCA >hg38_chr1:25430573-25430583(+) ATACAATGAAA >hg38_chr1:25434452-25434462(-) agacaatgagc >hg38_chr1:25478841-25478851(-) TTACAAAGGCC >hg38_chr1:25529429-25529439(-) gtacaatacac >hg38_chr1:25567099-25567109(-) TGACAATGCTG >hg38_chr1:25637926-25637936(-) atacaaagata >hg38_chr1:25638208-25638218(-) aaacaataact >hg38_chr1:25638277-25638287(+) gtataatgtaa >hg38_chr1:25638658-25638668(+) atacaatatta >hg38_chr1:25638700-25638710(-) agacaatggaa >hg38_chr1:25641135-25641145(+) GAACAATGCTT >hg38_chr1:25780455-25780465(+) AGACAAAGGGT >hg38_chr1:25837305-25837315(+) ACACAATGCAC >hg38_chr1:25873308-25873318(-) GGACAAAGGGC >hg38_chr1:25900387-25900397(-) CCACAATGACT >hg38_chr1:25900402-25900412(+) AGACAATGCAA >hg38_chr1:25903415-25903425(-) aaataatggaa >hg38_chr1:25903459-25903469(+) AAACAAAGGAG >hg38_chr1:25905118-25905128(-) GCACAATGAGT >hg38_chr1:25906198-25906208(+) GGACAAAGGCG >hg38_chr1:25907397-25907407(+) GCACAAAGGAA >hg38_chr1:25908213-25908223(+) GTACAATAAAT >hg38_chr1:25922078-25922088(-) atacaatgggg >hg38_chr1:25923974-25923984(-) ctacaatggat >hg38_chr1:25927235-25927245(+) AGACAATGGCT >hg38_chr1:25946035-25946045(+) ACACAATGGGA >hg38_chr1:25993800-25993810(+) TGACAATGAAG >hg38_chr1:26111095-26111105(+) GAACAATGAGG >hg38_chr1:26125333-26125343(-) CTACAATAGTT >hg38_chr1:26154964-26154974(-) CAACAATAGCC >hg38_chr1:26169015-26169025(-) AGACAAAGGAG >hg38_chr1:26237623-26237633(-) ttacaatagcc >hg38_chr1:26240228-26240238(-) TAACAATGCAA >hg38_chr1:26316331-26316341(+) AAACAATAGAA >hg38_chr1:26350893-26350903(-) GGACAATGGGA >hg38_chr1:26351892-26351902(+) ATACAATGACC >hg38_chr1:26363914-26363924(+) CCACAATGTGC >hg38_chr1:26391609-26391619(+) GAACAACGGAA >hg38_chr1:26400411-26400421(-) atacaatggaa >hg38_chr1:26406486-26406496(+) gaacaatgtct >hg38_chr1:26409455-26409465(-) GGACAATGCCA >hg38_chr1:26410718-26410728(-) AGACAATGACC >hg38_chr1:26410771-26410781(-) GCACAATAGCG >hg38_chr1:26442319-26442329(-) gaacaatataa >hg38_chr1:26469784-26469794(-) CGACAATAGGT >hg38_chr1:26555718-26555728(-) GGACAACGGCC >hg38_chr1:26555725-26555735(-) ACACAAAGGAC >hg38_chr1:26555740-26555750(+) AGACAATGCCG >hg38_chr1:26619591-26619601(-) GAACAATCGCA >hg38_chr1:26620200-26620210(-) AAACAACGGGG >hg38_chr1:26675041-26675051(+) taacaaaggat >hg38_chr1:26695223-26695233(+) GAACAATGATC >hg38_chr1:26697661-26697671(-) AGACAATGGCA >hg38_chr1:26698519-26698529(-) GCACAATGTAA >hg38_chr1:26723412-26723422(-) ATACAAAGGCA >hg38_chr1:26723439-26723449(+) AGACAATAGTT >hg38_chr1:26767274-26767284(-) AAACAAAGGAA >hg38_chr1:26783251-26783261(+) TCACAATATAT >hg38_chr1:26787545-26787555(-) acacaatagat >hg38_chr1:26928183-26928193(-) ccacaatagac >hg38_chr1:26997874-26997884(-) GAACAATAAAG >hg38_chr1:27042882-27042892(-) acacaatggga >hg38_chr1:27057653-27057663(-) GGACAATGTAC >hg38_chr1:27087831-27087841(+) ggacaatggtc >hg38_chr1:27161782-27161792(-) ttacaataaac >hg38_chr1:27161802-27161812(-) atacagtggcc >hg38_chr1:27299532-27299542(+) gaacaaaggca >hg38_chr1:27338875-27338885(-) ggacaatagcc >hg38_chr1:27430116-27430126(-) AAACAATAGCT >hg38_chr1:27437571-27437581(+) CTACAATGTGG >hg38_chr1:27473610-27473620(+) aaacaatgtat >hg38_chr1:27494050-27494060(+) AAACAATGCTT >hg38_chr1:27503863-27503873(+) GGACAAAGGGC >hg38_chr1:27526607-27526617(-) GCACAATGAAA >hg38_chr1:27528449-27528459(-) GGACAATCGGA >hg38_chr1:27564028-27564038(+) GGATAATGGCT >hg38_chr1:27564075-27564085(+) TTACAAAGGCC >hg38_chr1:27591896-27591906(+) gaacaatggta >hg38_chr1:27622914-27622924(-) taacaataaaa >hg38_chr1:27672710-27672720(-) gaacaatggaa >hg38_chr1:27720361-27720371(-) caacaatgtaa >hg38_chr1:27786694-27786704(-) gcacaatgagg >hg38_chr1:27786712-27786722(+) caacaatggaa >hg38_chr1:27806624-27806634(+) atacaataata >hg38_chr1:27831763-27831773(-) GGACAATGCAC >hg38_chr1:27832688-27832698(+) GGACAATGGTT >hg38_chr1:27851498-27851508(-) TTACAATGTAA >hg38_chr1:27863440-27863450(+) CCACAATGTGC >hg38_chr1:27914794-27914804(+) GAACAATACTA >hg38_chr1:27914862-27914872(-) GAACAAAGGAA >hg38_chr1:27937568-27937578(-) ACACAATGGTC >hg38_chr1:27950242-27950252(-) AGACAAAGGAA >hg38_chr1:27950299-27950309(-) GAATAATGGTG >hg38_chr1:27989076-27989086(+) ACACAATAGCA >hg38_chr1:28012108-28012118(+) gaACAATGCCT >hg38_chr1:28037966-28037976(+) gcacaataggt >hg38_chr1:28053428-28053438(+) CTACAATGTAT >hg38_chr1:28067282-28067292(+) GAACAATAAGT >hg38_chr1:28067862-28067872(+) ctacaaaggat >hg38_chr1:28072876-28072886(+) atacaatggaa >hg38_chr1:28073998-28074008(+) GAACAATAAAT >hg38_chr1:28105839-28105849(+) aaacaatagtt >hg38_chr1:28105864-28105874(-) GAACAATGAAA >hg38_chr1:28105871-28105881(-) TAACAATGAAC >hg38_chr1:28127616-28127626(+) GAACAATGAAG >hg38_chr1:28249138-28249148(+) GCACAATGCCT >hg38_chr1:28266178-28266188(+) AAACAATGGTC >hg38_chr1:28290970-28290980(+) AAACAATAGCC >hg38_chr1:28321127-28321137(+) CCACAATGTGC >hg38_chr1:28399866-28399876(+) aaataatggag >hg38_chr1:28439919-28439929(+) AAACAATAAAT >hg38_chr1:28468985-28468995(+) AAACAATAGAC >hg38_chr1:28511268-28511278(+) ggacaaaggag >hg38_chr1:28621632-28621642(-) GTACAATGTTT >hg38_chr1:28636362-28636372(+) gtacagtggtc >hg38_chr1:28636381-28636391(+) gaaccatggta >hg38_chr1:28737946-28737956(-) AAACAAAGGCC >hg38_chr1:28756954-28756964(+) GCACAATGAGC >hg38_chr1:28835595-28835605(-) AGACAAAGGGT >hg38_chr1:28865369-28865379(+) AAACAATATAC >hg38_chr1:28910280-28910290(-) CTATAATGGGA >hg38_chr1:28927036-28927046(-) CAACAATGGAG >hg38_chr1:28927434-28927444(-) ATATAATGATA >hg38_chr1:28956316-28956326(+) TAACAAAGGCA >hg38_chr1:28978052-28978062(-) AAACAATGCAG >hg38_chr1:29001981-29001991(-) TAACAATATGT >hg38_chr1:29003601-29003611(-) ATACAAAGGAC >hg38_chr1:29050210-29050220(-) TTACAATAAAA >hg38_chr1:29057990-29058000(-) TTACAATAAAC >hg38_chr1:29058044-29058054(-) GAACAATATAC >hg38_chr1:29058065-29058075(-) ACACAAAGGAT >hg38_chr1:29068676-29068686(-) CAACAATACCG >hg38_chr1:29069308-29069318(+) TGACTATGGAT >hg38_chr1:29070931-29070941(-) TAACAATAGTA >hg38_chr1:29084468-29084478(+) AAACAATGGTA >hg38_chr1:29091052-29091062(-) CAACAATGATA >hg38_chr1:29112844-29112854(-) taacaatagct >hg38_chr1:29112897-29112907(+) AAACAAAGGGA >hg38_chr1:29133821-29133831(+) GAACAATGGGC >hg38_chr1:29170433-29170443(+) ACACAATGAGC >hg38_chr1:29181438-29181448(-) GCATAATGGGA >hg38_chr1:29182250-29182260(-) acacaatcgtt >hg38_chr1:29196240-29196250(-) GAACCATGGTA >hg38_chr1:29218891-29218901(+) ttacaatagct >hg38_chr1:29218906-29218916(-) gaacaatagta >hg38_chr1:29218933-29218943(+) gaacaaaggct >hg38_chr1:29257076-29257086(+) ggacaaaggga >hg38_chr1:29419733-29419743(+) atacaatacat >hg38_chr1:29419738-29419748(-) caacaatgtat >hg38_chr1:29473590-29473600(-) tgacaatgcca >hg38_chr1:29503562-29503572(+) gaataatgGTA >hg38_chr1:29639663-29639673(-) acacaatgaac >hg38_chr1:29687368-29687378(+) gcacaatagtt >hg38_chr1:29696386-29696396(-) GAACAAAGGGT >hg38_chr1:29789089-29789099(+) ggacaatatta >hg38_chr1:29813745-29813755(+) tgacaatgaat >hg38_chr1:29813770-29813780(+) tgacaatgaat >hg38_chr1:29817029-29817039(-) ccacaatgaga >hg38_chr1:29817823-29817833(-) agacaatgaag >hg38_chr1:29818223-29818233(-) agacaatgtaa >hg38_chr1:29818921-29818931(-) aaacaataaaa >hg38_chr1:29818965-29818975(-) gtacaaaggaa >hg38_chr1:29833039-29833049(-) ATACAATGTCA >hg38_chr1:29845564-29845574(-) GCACAACGGTC >hg38_chr1:29847450-29847460(-) ggacaaaggaa >hg38_chr1:29847457-29847467(-) gcacgatggac >hg38_chr1:29931481-29931491(-) AGACAAAGGAG >hg38_chr1:29964917-29964927(+) CCACAATGGAA >hg38_chr1:29974429-29974439(+) AAACAATGAGT >hg38_chr1:29978415-29978425(-) agacaatgtgc >hg38_chr1:29984325-29984335(-) AAACAATAGAA >hg38_chr1:30012851-30012861(+) ACACAATGGAG >hg38_chr1:30019112-30019122(+) ctacaatggct >hg38_chr1:30147799-30147809(+) GAACAATGGCA >hg38_chr1:30170616-30170626(-) ccacaatgtat >hg38_chr1:30216867-30216877(+) acacaatatac >hg38_chr1:30218143-30218153(+) gcacaaaggtc >hg38_chr1:30218636-30218646(-) GAACAAAGGCA >hg38_chr1:30243021-30243031(-) agacaatgggc >hg38_chr1:30243062-30243072(+) gaacaatgggg >hg38_chr1:30246202-30246212(+) GCACAATGACA >hg38_chr1:30291943-30291953(+) agacaaagggt >hg38_chr1:30301663-30301673(+) agataatggtc >hg38_chr1:30301695-30301705(+) tgacaatagtg >hg38_chr1:30545949-30545959(+) ctacaatggcc >hg38_chr1:30561320-30561330(-) aaacaaaggtt >hg38_chr1:30565799-30565809(-) ttataatggat >hg38_chr1:30600246-30600256(-) acacaatggag >hg38_chr1:30623305-30623315(-) caacaatagca >hg38_chr1:30662645-30662655(-) AAACAATGAAC >hg38_chr1:30732941-30732951(-) TAACAGTGGAT >hg38_chr1:30786711-30786721(-) AGACAAAGGGC >hg38_chr1:30817191-30817201(-) GGACAATGCCA >hg38_chr1:30820367-30820377(-) GGACAATGTGT >hg38_chr1:30841025-30841035(-) GAACAATGCCT >hg38_chr1:30844839-30844849(-) agataatggtc >hg38_chr1:30847752-30847762(-) AGACAAAGGGC >hg38_chr1:30855869-30855879(-) TCACAATGTCT >hg38_chr1:30896299-30896309(+) CAACAATGTAT >hg38_chr1:30896332-30896342(+) TAACAATGTAA >hg38_chr1:30928406-30928416(+) GAACAATGCAT >hg38_chr1:30932245-30932255(+) GTACAATTGTA >hg38_chr1:30932246-30932256(-) GTACAATTGTA >hg38_chr1:30936481-30936491(+) GGACAATGGTG >hg38_chr1:30951695-30951705(+) AGACAATGATA >hg38_chr1:30952220-30952230(+) GAACAAAGGCT >hg38_chr1:30956892-30956902(-) aaacaataagt >hg38_chr1:31010449-31010459(-) ggacaatagat >hg38_chr1:31021175-31021185(-) AAACAATAAAT >hg38_chr1:31028049-31028059(-) TGACAAAGGTA >hg38_chr1:31028083-31028093(+) CTACAATAGCC >hg38_chr1:31037031-31037041(-) ATACACTGGTT >hg38_chr1:31037036-31037046(-) GTACAATACAC >hg38_chr1:31040325-31040335(+) atacaatagtg >hg38_chr1:31062019-31062029(-) taataatggct >hg38_chr1:31063510-31063520(+) ggacaatgtac >hg38_chr1:31065421-31065431(+) TAACAATAGGG >hg38_chr1:31084091-31084101(+) aaacaaaggta >hg38_chr1:31145698-31145708(+) agataatgggt >hg38_chr1:31154786-31154796(+) GAACAAAGGGC >hg38_chr1:31308875-31308885(+) CAACAATGGAT >hg38_chr1:31352295-31352305(+) aaacaataacg >hg38_chr1:31356651-31356661(+) CGATAATGAAA >hg38_chr1:31367023-31367033(+) AAACAAAGGAC >hg38_chr1:31369153-31369163(+) AGACAATAGAT >hg38_chr1:31414402-31414412(-) GGACAAAGGAA >hg38_chr1:31483897-31483907(-) ttacaatgcaa >hg38_chr1:31531402-31531412(+) acacaatggcc >hg38_chr1:31556971-31556981(-) acacaataata >hg38_chr1:31575737-31575747(-) AAACAATGGGG >hg38_chr1:31600788-31600798(-) gtacaacggaa >hg38_chr1:31600811-31600821(-) gaacaataaac >hg38_chr1:31601138-31601148(+) cgataatgaaa >hg38_chr1:31602578-31602588(-) caacaatagcc >hg38_chr1:31678628-31678638(+) taataaTGATA >hg38_chr1:31770226-31770236(-) gaacaataggt >hg38_chr1:31872991-31873001(-) gtacaatggct >hg38_chr1:31873076-31873086(+) aaacaataggg >hg38_chr1:31911945-31911955(-) TAACAATAGGT >hg38_chr1:31926673-31926683(+) gtactatgggt >hg38_chr1:31927033-31927043(+) ggacaatggaa >hg38_chr1:31931898-31931908(+) CAATAATGGAA >hg38_chr1:31932688-31932698(+) CCACAATGGGG >hg38_chr1:31944034-31944044(-) acacaatggca >hg38_chr1:31952052-31952062(+) AAACAAAGGAT >hg38_chr1:31974903-31974913(+) GAACAATGAAA >hg38_chr1:32061107-32061117(+) TAACAAAGGAA >hg38_chr1:32063562-32063572(-) ATACAAAGGAA >hg38_chr1:32127581-32127591(+) AGACAATGCAG >hg38_chr1:32127987-32127997(+) acacaATGCAA >hg38_chr1:32128680-32128690(-) CAACAATGGCA >hg38_chr1:32163590-32163600(-) TCACAATGTCC >hg38_chr1:32200106-32200116(-) CTACAATGCCA >hg38_chr1:32232613-32232623(+) TAACAATGAAG >hg38_chr1:32250033-32250043(-) AAACAAAGGCT >hg38_chr1:32274044-32274054(+) TAACAAAGGTG >hg38_chr1:32335807-32335817(+) GAACAAAGGGA >hg38_chr1:32338010-32338020(+) CCACAATAGGG >hg38_chr1:32338476-32338486(+) AGACAATGCGC >hg38_chr1:32338485-32338495(-) GAACAATGGGC >hg38_chr1:32426985-32426995(+) CCACAATAGTT >hg38_chr1:32428346-32428356(-) tcataatggaa >hg38_chr1:32454947-32454957(-) AGACAATGTTC >hg38_chr1:32494498-32494508(-) ACACAATGCAT >hg38_chr1:32500759-32500769(+) GAACAATGGCC >hg38_chr1:32539086-32539096(+) GTACAATAATG >hg38_chr1:32540273-32540283(-) GAACAATCGGG >hg38_chr1:32619602-32619612(-) GAACAATGGAA >hg38_chr1:32622139-32622149(+) GCACAAtggag >hg38_chr1:32648406-32648416(+) aaacagtggat >hg38_chr1:32651210-32651220(+) AAACAATAGAG >hg38_chr1:32684418-32684428(+) AAACAATAAAA >hg38_chr1:32684431-32684441(-) GGACAATGTGA >hg38_chr1:32684467-32684477(-) AAACAATGAAC >hg38_chr1:32717080-32717090(-) AAACAAAGGCA >hg38_chr1:32724714-32724724(+) GGACAATGGGA >hg38_chr1:32724775-32724785(+) AGACAATGAAA >hg38_chr1:32766000-32766010(-) AGACAAAGGCA >hg38_chr1:32817624-32817634(+) ATAAAATGGCG >hg38_chr1:32842360-32842370(-) gtacaaaggca >hg38_chr1:32842368-32842378(-) tgacaaaggta >hg38_chr1:32894069-32894079(+) CAACAATAGAG >hg38_chr1:32933556-32933566(-) TTACAATAGCT >hg38_chr1:32953534-32953544(+) TGACAATGTAT >hg38_chr1:32989839-32989849(+) TAACAATGTAA >hg38_chr1:33019781-33019791(-) atactatggcc >hg38_chr1:33029987-33029997(+) GTACAATAGAG >hg38_chr1:33060962-33060972(+) AGACAATAGGA >hg38_chr1:33169541-33169551(-) GCACAATGGGG >hg38_chr1:33169566-33169576(-) AGACAATGTGG >hg38_chr1:33195116-33195126(+) caacaatagat >hg38_chr1:33255990-33256000(+) GGACAATGGCC >hg38_chr1:33257297-33257307(+) ACACAATGCAA >hg38_chr1:33261498-33261508(-) CAACAATGCAC >hg38_chr1:33295649-33295659(-) CCACAATGGGG >hg38_chr1:33338500-33338510(+) TAACAAAGGAG >hg38_chr1:33346826-33346836(+) TCACAATAGAG >hg38_chr1:33350009-33350019(-) GCACAAAGGAA >hg38_chr1:33364739-33364749(+) GAACAATGCAG >hg38_chr1:33383430-33383440(-) TCACAATGAAA >hg38_chr1:33391842-33391852(+) AGACAATGAAT >hg38_chr1:33393985-33393995(-) GAACAAAGGCA >hg38_chr1:33397798-33397808(+) CGACAAAGGAA >hg38_chr1:33405827-33405837(+) taacaatacac >hg38_chr1:33410943-33410953(+) TAACTATGGGG >hg38_chr1:33430016-33430026(-) CGACAATAGAA >hg38_chr1:33458369-33458379(-) caacaatgtgc >hg38_chr1:33471921-33471931(+) TCACAGTGGTA >hg38_chr1:33472038-33472048(+) taacaatcgct >hg38_chr1:33482164-33482174(-) ctacaatggta >hg38_chr1:33508364-33508374(-) ttacaataaaa >hg38_chr1:33593813-33593823(-) gaacaaaggaa >hg38_chr1:33599172-33599182(-) GCACAATGCTC >hg38_chr1:33631193-33631203(+) TAACAAAGGCA >hg38_chr1:33631239-33631249(-) GTACTATGGTG >hg38_chr1:33631246-33631256(-) ATATAATGTAC >hg38_chr1:33631255-33631265(-) CCACAATGTAT >hg38_chr1:33631569-33631579(+) acataatgggg >hg38_chr1:33632782-33632792(+) gtacaatacat >hg38_chr1:33710029-33710039(-) gaacaatgggg >hg38_chr1:33739103-33739113(+) TGACAATGGCC >hg38_chr1:33749972-33749982(+) ACACAATGACA >hg38_chr1:33806096-33806106(+) gcacaatggtg >hg38_chr1:33868013-33868023(+) AGACAATAGGA >hg38_chr1:33868062-33868072(+) TGACAATGGAG >hg38_chr1:33872961-33872971(-) gaacaaaggcg >hg38_chr1:33970407-33970417(-) AGACAATGGGC >hg38_chr1:33974207-33974217(+) ACACAATGCAG >hg38_chr1:34019980-34019990(+) gaacaatagta >hg38_chr1:34116008-34116018(+) acacaaaggaa >hg38_chr1:34116067-34116077(+) aaacaataaga >hg38_chr1:34137621-34137631(-) ATACACTGGGC >hg38_chr1:34173649-34173659(-) cgataatgaaa >hg38_chr1:34204471-34204481(-) TGACAATAGAC >hg38_chr1:34204502-34204512(-) GGACAATAGAC >hg38_chr1:34248444-34248454(-) aaacaaaggct >hg38_chr1:34318776-34318786(-) gaacaatgtca >hg38_chr1:34396194-34396204(-) gaacaaaggag >hg38_chr1:34474887-34474897(-) ggacaattgcg >hg38_chr1:34478905-34478915(+) ACATAATGGGA >hg38_chr1:34590518-34590528(-) GAACAAAGGGC >hg38_chr1:34612557-34612567(+) aaacaatggca >hg38_chr1:34631383-34631393(+) gaacaaaggga >hg38_chr1:34646626-34646636(-) aaacaatgggt >hg38_chr1:34656956-34656966(+) TAACAATGCCT >hg38_chr1:34803580-34803590(+) caacaatgaca >hg38_chr1:34843058-34843068(+) GCACAATAGTG >hg38_chr1:34844335-34844345(+) CAACAATGACG >hg38_chr1:34844363-34844373(-) CCACAATGGCC >hg38_chr1:34879366-34879376(+) tcacaataggg >hg38_chr1:34889100-34889110(-) Taataatagta >hg38_chr1:34892126-34892136(+) caacaatgact >hg38_chr1:34898549-34898559(+) gaacaatgaga >hg38_chr1:34996710-34996720(-) taacaatggaa >hg38_chr1:35027254-35027264(+) aaacaaaggcc >hg38_chr1:35085643-35085653(+) GGACAATAGCC >hg38_chr1:35168844-35168854(-) gtacaatagta >hg38_chr1:35168875-35168885(+) taacaatgtat >hg38_chr1:35174097-35174107(+) GTACACTGGCA >hg38_chr1:35179226-35179236(+) TGACAATGATT >hg38_chr1:35179236-35179246(+) TAACAATAGGA >hg38_chr1:35182571-35182581(+) CAACAATAGGT >hg38_chr1:35183957-35183967(+) AAACAAAGGGG >hg38_chr1:35221201-35221211(-) GAACAATGATT >hg38_chr1:35229308-35229318(-) AAACAATACTA >hg38_chr1:35276976-35276986(-) gaacaataacc >hg38_chr1:35288906-35288916(-) TAACAATAAAT >hg38_chr1:35295035-35295045(-) ctacaatggtt >hg38_chr1:35300956-35300966(-) ccacaatgtct >hg38_chr1:35306415-35306425(-) taacaatggat >hg38_chr1:35340958-35340968(-) gcacaataggc >hg38_chr1:35341370-35341380(+) atacaataaga >hg38_chr1:35361777-35361787(+) TGACAATGCTC >hg38_chr1:35363986-35363996(+) ATACAATAGGG >hg38_chr1:35371944-35371954(-) TTACAATAAAA >hg38_chr1:35371973-35371983(+) GAACAATAGAA >hg38_chr1:35396799-35396809(-) TAATAATGGGA >hg38_chr1:35397972-35397982(+) Aaacaatagtt >hg38_chr1:35398009-35398019(-) gcacaatgcct >hg38_chr1:35398023-35398033(-) acacaatgcac >hg38_chr1:35416124-35416134(-) TCACAATGTTA >hg38_chr1:35416161-35416171(+) CTACAATATAC >hg38_chr1:35416181-35416191(+) ACACAATAGAA >hg38_chr1:35426226-35426236(+) GCACAATGATG >hg38_chr1:35448757-35448767(+) CAACAATGGGA >hg38_chr1:35448796-35448806(+) CAATAATGGGA >hg38_chr1:35471283-35471293(-) CTATAATGGTT >hg38_chr1:35471307-35471317(+) GAACAAAGGCC >hg38_chr1:35497754-35497764(+) GCACAATGTCC >hg38_chr1:35605729-35605739(+) TAACAATGGGA >hg38_chr1:35615059-35615069(-) ttacaatatat >hg38_chr1:35621363-35621373(-) aaacaaaggtg >hg38_chr1:35621386-35621396(+) ggacaatgcag >hg38_chr1:35629007-35629017(-) AAATAATGGTG >hg38_chr1:35639708-35639718(-) GAACAATAATC >hg38_chr1:35691194-35691204(+) CTACAAAGGAC >hg38_chr1:35699842-35699852(-) GGACAATGAGC >hg38_chr1:35707938-35707948(+) GGACAAAGGGC >hg38_chr1:35718171-35718181(+) TGACAAAGGGA >hg38_chr1:35748110-35748120(-) TGACAATGACT >hg38_chr1:35748554-35748564(-) CCACAATAGCA >hg38_chr1:35748570-35748580(-) TAACAAAGGAG >hg38_chr1:35778789-35778799(+) TTACAATAGGC >hg38_chr1:35779233-35779243(-) CCACAATGTTC >hg38_chr1:35832277-35832287(-) AAACTATGGGT >hg38_chr1:35852512-35852522(+) GCACAATAGCA >hg38_chr1:35914995-35915005(-) AAACAATGGCC >hg38_chr1:35942221-35942231(-) taacaATAACT >hg38_chr1:35954417-35954427(+) TTACAATAGCT >hg38_chr1:36005515-36005525(-) GGACAATGGTA >hg38_chr1:36005553-36005563(-) tgacaatgcta >hg38_chr1:36008707-36008717(-) CCACAATGAGT >hg38_chr1:36008717-36008727(+) GAACAATGAGA >hg38_chr1:36072238-36072248(-) AAACAATGACA >hg38_chr1:36086581-36086591(-) AGACAATGGAG >hg38_chr1:36142413-36142423(-) TAATAATGGAA >hg38_chr1:36155107-36155117(+) GGACAATGAAG >hg38_chr1:36156150-36156160(+) GTACAATAGGG >hg38_chr1:36157114-36157124(-) aaacaatgccg >hg38_chr1:36161086-36161096(+) GAACAATGGCC >hg38_chr1:36217730-36217740(-) ttacaatagta >hg38_chr1:36240461-36240471(-) aaacaatgggg >hg38_chr1:36299958-36299968(+) TCATAATGGAA >hg38_chr1:36299984-36299994(+) GGACAATGATA >hg38_chr1:36323918-36323928(-) ggataatggta >hg38_chr1:36523956-36523966(+) GAACAAAGGGA >hg38_chr1:36523967-36523977(-) GCATAATGGCT >hg38_chr1:36553734-36553744(+) ttacaatgcct >hg38_chr1:36654073-36654083(+) gaacaatgcct >hg38_chr1:36673415-36673425(-) GAACAATAGAT >hg38_chr1:36766015-36766025(-) AGACAATGCAG >hg38_chr1:36847599-36847609(+) TAACAATAGCA >hg38_chr1:37020789-37020799(+) TTACAATAACC >hg38_chr1:37083893-37083903(-) TGACAATGGGC >hg38_chr1:37089841-37089851(+) GAACAAAGGAG >hg38_chr1:37089859-37089869(+) GAACAAAGGGA >hg38_chr1:37112546-37112556(+) gaacaaaggca >hg38_chr1:37150043-37150053(+) GCACAATGGTG >hg38_chr1:37235234-37235244(+) ACACAAAGGTC >hg38_chr1:37326137-37326147(+) TAACAGTGGAA >hg38_chr1:37511596-37511606(+) ggacaatgtga >hg38_chr1:37741791-37741801(+) ggacaatgcac >hg38_chr1:37795461-37795471(-) GAACAAAGGGA >hg38_chr1:37820756-37820766(+) aaactatggac >hg38_chr1:37820773-37820783(+) taacaataatg >hg38_chr1:37823639-37823649(-) GCACAATGAAT >hg38_chr1:37835084-37835094(-) ACACAATGGAT >hg38_chr1:37835102-37835112(-) ATACAATGCAC >hg38_chr1:37835117-37835127(-) TAACAAAGGAC >hg38_chr1:37843604-37843614(+) agacaatacgt >hg38_chr1:37860305-37860315(-) GGACAATGTGA >hg38_chr1:37946974-37946984(-) TGACAATGTCA >hg38_chr1:37991318-37991328(+) gtacaatggaa >hg38_chr1:37991352-37991362(+) ctacaatgatg >hg38_chr1:38029563-38029573(+) CAACAATGCCC >hg38_chr1:38034985-38034995(+) GGACAATGGCT >hg38_chr1:38035020-38035030(-) GAACAATGGGG >hg38_chr1:38083573-38083583(-) GCACAATGATA >hg38_chr1:38086284-38086294(+) GAACAAAGGCT >hg38_chr1:38092590-38092600(-) GAACAATAGCA >hg38_chr1:38140225-38140235(-) AAACAAAGGGC >hg38_chr1:38222749-38222759(-) ccacaatggta >hg38_chr1:38270557-38270567(+) AGACAATGGGC >hg38_chr1:38270569-38270579(+) AAACAAAGGGC >hg38_chr1:38308917-38308927(+) agacaatggca >hg38_chr1:38344872-38344882(+) acacaaaggac >hg38_chr1:38364321-38364331(-) gaacaaaggtt >hg38_chr1:38364330-38364340(+) tcacaatgcag >hg38_chr1:38371153-38371163(-) CGTCAATGGTA >hg38_chr1:38371195-38371205(+) CTACAATGCGG >hg38_chr1:38378107-38378117(-) AGACAAAGGAG >hg38_chr1:38378121-38378131(-) GAACAAAGGGA >hg38_chr1:38386595-38386605(-) tcacaatgcat >hg38_chr1:38386641-38386651(+) gcacaatagct >hg38_chr1:38476912-38476922(+) GCACAATGGTG >hg38_chr1:38571954-38571964(+) GAACAAAGGCT >hg38_chr1:38573628-38573638(+) tgacaatggac >hg38_chr1:38608558-38608568(-) TGACAATGGAC >hg38_chr1:38614283-38614293(+) AAACACTGGAA >hg38_chr1:38657331-38657341(-) GTACAATATGT >hg38_chr1:38669793-38669803(-) CGACAAAGGAA >hg38_chr1:38686355-38686365(+) TTACAATGAGA >hg38_chr1:38690991-38691001(+) atacactggac >hg38_chr1:38690998-38691008(+) ggacaaaggga >hg38_chr1:38742214-38742224(+) CCACAATGATG >hg38_chr1:38774672-38774682(-) CTACAATGGGG >hg38_chr1:38783768-38783778(-) GCACAATGAAC >hg38_chr1:38783841-38783851(-) TGACAATGATT >hg38_chr1:38784238-38784248(-) TGATAATGGCT >hg38_chr1:38784260-38784270(-) ATACAATGAAT >hg38_chr1:38864641-38864651(-) AGACAATGAAA >hg38_chr1:38881041-38881051(-) taataatgggg >hg38_chr1:38886719-38886729(+) AGACAATGCTA >hg38_chr1:38892690-38892700(-) caacaatgacc >hg38_chr1:38996957-38996967(-) taacaataatg >hg38_chr1:39007653-39007663(-) aaacaaaggta >hg38_chr1:39026758-39026768(-) ggacaatggag >hg38_chr1:39092497-39092507(+) aaataatggag >hg38_chr1:39098500-39098510(-) agataatggat >hg38_chr1:39104767-39104777(-) GAACAAAGGGT >hg38_chr1:39104983-39104993(-) GGACAAAGGAG >hg38_chr1:39107583-39107593(-) TAACAAAGGCC >hg38_chr1:39117654-39117664(-) TAACAATAGTA >hg38_chr1:39127740-39127750(-) AAACAATAACA >hg38_chr1:39130541-39130551(-) GAACAATGAGA >hg38_chr1:39151278-39151288(-) AAACAAAGGAA >hg38_chr1:39151497-39151507(-) GAATAATGGCA >hg38_chr1:39153883-39153893(+) AGACAATGAAG >hg38_chr1:39155083-39155093(+) GAACTATGGTT >hg38_chr1:39159511-39159521(-) TTACAATGGGC >hg38_chr1:39159526-39159536(-) TTACAAAGGCT >hg38_chr1:39159543-39159553(+) AAACAATGACT >hg38_chr1:39160496-39160506(-) AAACAATAGTG >hg38_chr1:39192576-39192586(+) GTATAATGAAA >hg38_chr1:39214337-39214347(-) ACACAATGAAG >hg38_chr1:39219256-39219266(-) ATACAATGCAG >hg38_chr1:39221683-39221693(-) AAACAATAGCC >hg38_chr1:39230698-39230708(+) TGATAATGGCT >hg38_chr1:39232590-39232600(-) CTACAAtggat >hg38_chr1:39261960-39261970(-) agacaataaat >hg38_chr1:39294659-39294669(-) CTACAATGTCC >hg38_chr1:39300053-39300063(-) AGACAATGATA >hg38_chr1:39314740-39314750(-) gaacaataagt >hg38_chr1:39321601-39321611(+) tgactatggaa >hg38_chr1:39321652-39321662(-) caacaatggaa >hg38_chr1:39321912-39321922(+) gaacaatagag >hg38_chr1:39384769-39384779(-) GAACACTGGAT >hg38_chr1:39392225-39392235(+) AAACAATGAGA >hg38_chr1:39392258-39392268(-) GGACTATGGAA >hg38_chr1:39398962-39398972(+) GAACAAAGGAC >hg38_chr1:39399728-39399738(+) TTACAATGTAT >hg38_chr1:39408680-39408690(-) TGACAAAGGAA >hg38_chr1:39430474-39430484(+) AGACAATGTGG >hg38_chr1:39430517-39430527(+) CAACAATGAAG >hg38_chr1:39447354-39447364(-) CGACAAAGGCA >hg38_chr1:39460403-39460413(-) AAACAATAACT >hg38_chr1:39460423-39460433(-) GTATAATGGAA >hg38_chr1:39561567-39561577(-) ATACAATAAGT >hg38_chr1:39561627-39561637(+) AGACAATGCTC >hg38_chr1:39614675-39614685(+) gtacaatggaa >hg38_chr1:39724588-39724598(-) ttacaatggat >hg38_chr1:39724894-39724904(-) tcataatggaa >hg38_chr1:39747162-39747172(-) atacaacggaa >hg38_chr1:39747214-39747224(-) aaacaatgtgc >hg38_chr1:39843156-39843166(+) TGACAATGGAG >hg38_chr1:39878457-39878467(+) GGACAAAGGGA >hg38_chr1:39878518-39878528(+) GTACAATAGTT >hg38_chr1:39882021-39882031(-) atacaatatat >hg38_chr1:39898403-39898413(-) GAACAAAGGGC >hg38_chr1:39902009-39902019(-) AAACAATGCGC >hg38_chr1:39922575-39922585(-) GCACAATGCAG >hg38_chr1:39952903-39952913(+) taacaatgtgt >hg38_chr1:39977323-39977333(-) gaacaatagaa >hg38_chr1:39985840-39985850(-) ttataatggta >hg38_chr1:39987850-39987860(-) aaacaaagggt >hg38_chr1:40004501-40004511(+) agacaatgtga >hg38_chr1:40032490-40032500(-) GGACAATGTGC >hg38_chr1:40093165-40093175(+) acacaatggaa >hg38_chr1:40105261-40105271(+) GAACAATGGGA >hg38_chr1:40142525-40142535(+) taacaatacac >hg38_chr1:40152913-40152923(-) ttataatggcc >hg38_chr1:40152929-40152939(-) tcacaatgact >hg38_chr1:40156963-40156973(+) cgacaatgcca >hg38_chr1:40190563-40190573(+) CAACAATGTAA >hg38_chr1:40210898-40210908(+) TAACAATGTTT >hg38_chr1:40248595-40248605(-) GAACAATGGCA >hg38_chr1:40248639-40248649(+) TCACAATGTGT >hg38_chr1:40282162-40282172(+) gtacaatacgt >hg38_chr1:40309510-40309520(-) AGACAATGAGA >hg38_chr1:40316803-40316813(-) ATACAATGGTG >hg38_chr1:40375108-40375118(+) GTACAATAGGA >hg38_chr1:40409669-40409679(+) GAACAATGCTC >hg38_chr1:40421474-40421484(-) GAACAAAGGCG >hg38_chr1:40421643-40421653(+) TAACAATAGGC >hg38_chr1:40449751-40449761(-) CGACACTGGTG >hg38_chr1:40508561-40508571(+) AGACAACGGCG >hg38_chr1:40600303-40600313(-) ctacaatgaga >hg38_chr1:40712459-40712469(+) TTACAATGCAG >hg38_chr1:40732653-40732663(-) TGACAATGGGT >hg38_chr1:40821123-40821133(+) GCACAATGGCC >hg38_chr1:40821162-40821172(-) CTACAATGCCC >hg38_chr1:40847129-40847139(-) CTACAATAGTT >hg38_chr1:40847139-40847149(-) ATACAATCGAC >hg38_chr1:40887245-40887255(+) gaacaaagggt >hg38_chr1:40906928-40906938(-) tcacaatagct >hg38_chr1:40906948-40906958(-) gtacaatacaa >hg38_chr1:40943001-40943011(-) acacaatagac >hg38_chr1:40967903-40967913(+) caacaatgcct >hg38_chr1:41045853-41045863(-) CCACAATGGGA >hg38_chr1:41071354-41071364(-) AGACAATGATT >hg38_chr1:41071406-41071416(-) TGACAATACGT >hg38_chr1:41088042-41088052(+) acacaatggag >hg38_chr1:41147152-41147162(+) aaataatggta >hg38_chr1:41159368-41159378(-) TAACAATTGTA >hg38_chr1:41183261-41183271(-) gaacaaaggaa >hg38_chr1:41193648-41193658(+) ggacaatgtgt >hg38_chr1:41193661-41193671(+) ggacaatgcta >hg38_chr1:41214003-41214013(-) TAACAATACAT >hg38_chr1:41214012-41214022(-) AAACAATGCTA >hg38_chr1:41247537-41247547(+) AAACAATGGGA >hg38_chr1:41276641-41276651(-) AAACaatagta >hg38_chr1:41311488-41311498(-) aaacaatggag >hg38_chr1:41311512-41311522(-) tgacaatgaag >hg38_chr1:41361092-41361102(+) TAACAATAACC >hg38_chr1:41618137-41618147(+) ACACAATGCTC >hg38_chr1:41618154-41618164(-) AGATAATGGCC >hg38_chr1:41714775-41714785(-) GAACAATGCTT >hg38_chr1:41847277-41847287(+) aaacaaaggat >hg38_chr1:41862964-41862974(+) AAACAATGAAT >hg38_chr1:41881151-41881161(+) AGACAATAGCA >hg38_chr1:41881160-41881170(+) CAACAATGACC >hg38_chr1:41909090-41909100(+) caacaatggaa >hg38_chr1:41909123-41909133(-) acacaatgaag >hg38_chr1:41909656-41909666(+) taacaatggta >hg38_chr1:41911955-41911965(-) aaacaatggga >hg38_chr1:41916235-41916245(+) ACACAATGGGC >hg38_chr1:41916256-41916266(+) CAACAATAGTG >hg38_chr1:41918679-41918689(+) GGATAATGGGA >hg38_chr1:41954920-41954930(-) Taacaatggat >hg38_chr1:41955527-41955537(+) CAACAATGACC >hg38_chr1:41957829-41957839(+) GAACAATGCAG >hg38_chr1:41975414-41975424(+) CCACAATGTTT >hg38_chr1:42071289-42071299(-) gaacaatggga >hg38_chr1:42100959-42100969(+) agataatggtt >hg38_chr1:42117494-42117504(+) tgacaatggat >hg38_chr1:42173613-42173623(+) gcacaatgtgg >hg38_chr1:42184868-42184878(+) gtacaaaggcc >hg38_chr1:42186611-42186621(+) GAACAAAGGGC >hg38_chr1:42198456-42198466(+) aaacaatgtgg >hg38_chr1:42213153-42213163(+) aaacaataaca >hg38_chr1:42227509-42227519(+) GGACAATGGGG >hg38_chr1:42258445-42258455(+) GGACAATAGGT >hg38_chr1:42284654-42284664(+) acacaaaggta >hg38_chr1:42284691-42284701(-) aaacaatagtc >hg38_chr1:42289511-42289521(-) TGACAAAGGGA >hg38_chr1:42289527-42289537(-) ACACTATGGAG >hg38_chr1:42306034-42306044(-) TAACAATAGAC >hg38_chr1:42321308-42321318(+) ctacaatgaaa >hg38_chr1:42332320-42332330(-) ATACAATGAAC >hg38_chr1:42332632-42332642(-) CCACAATGGGT >hg38_chr1:42338969-42338979(-) AAACAATAAAA >hg38_chr1:42340252-42340262(+) ACACAAAGGCA >hg38_chr1:42340668-42340678(+) acacaataggc >hg38_chr1:42344431-42344441(+) gtacaAAGATA >hg38_chr1:42404229-42404239(-) TTACAATGGCt >hg38_chr1:42463079-42463089(-) TAACCATGGTA >hg38_chr1:42523784-42523794(-) gaacaataaca >hg38_chr1:42525357-42525367(-) caacaatagaa >hg38_chr1:42540836-42540846(-) agataatggta >hg38_chr1:42552389-42552399(+) AAACTATGGAA >hg38_chr1:42552403-42552413(+) TAACAAAGGCC >hg38_chr1:42552430-42552440(-) ACATAATGGCC >hg38_chr1:42599147-42599157(+) caacaatgtat >hg38_chr1:42604904-42604914(-) gaacaaaggcc >hg38_chr1:42613717-42613727(+) atataatgggg >hg38_chr1:42660010-42660020(+) TAACAATGTTA >hg38_chr1:42683937-42683947(-) AAACAAAGGCA >hg38_chr1:42684424-42684434(-) AGACAATGCTG >hg38_chr1:42684474-42684484(+) GAACAATGTGG >hg38_chr1:42685889-42685899(-) TCACAATATAA >hg38_chr1:42692610-42692620(-) TTACAATGAAC >hg38_chr1:42692619-42692629(-) GAACAAAGGTT >hg38_chr1:42692628-42692638(-) TGACAAAGGGA >hg38_chr1:42713542-42713552(-) taacaatgtga >hg38_chr1:42741449-42741459(+) AGACAATGGCA >hg38_chr1:42758397-42758407(-) GTACAATATTT >hg38_chr1:42818066-42818076(+) GGACAATGGCC >hg38_chr1:42871157-42871167(-) aaacaatagta >hg38_chr1:42889946-42889956(+) CTACAATAGAC >hg38_chr1:42914324-42914334(+) atacaatatat >hg38_chr1:42941180-42941190(+) CTACAATGCAA >hg38_chr1:42941221-42941231(-) GGACAATAGGG >hg38_chr1:42957627-42957637(+) Aaacaatgccc >hg38_chr1:42961442-42961452(-) taacaatgggg >hg38_chr1:43006472-43006482(+) GGACAAAGGAC >hg38_chr1:43022107-43022117(-) AAACAAAGGTC >hg38_chr1:43058014-43058024(+) TGATAATGGtg >hg38_chr1:43060465-43060475(+) TCACAATGATC >hg38_chr1:43061908-43061918(+) tcacaatggca >hg38_chr1:43091433-43091443(-) ttacaatgacc >hg38_chr1:43091492-43091502(+) agataatgggc >hg38_chr1:43123407-43123417(-) AAACAATGGGC >hg38_chr1:43126736-43126746(-) atacaatggaa >hg38_chr1:43214513-43214523(-) ctacaataggt >hg38_chr1:43231081-43231091(-) GGACAAAGGAG >hg38_chr1:43282552-43282562(-) aaacaaaggct >hg38_chr1:43382229-43382239(-) GGACAATGTAT >hg38_chr1:43395661-43395671(+) atacaatagtc >hg38_chr1:43436653-43436663(-) GTACAATGCCT >hg38_chr1:43535200-43535210(-) TAACAATAGGG >hg38_chr1:43546246-43546256(-) GGACAAAGGGC >hg38_chr1:43603154-43603164(-) GAACAAAGGGC >hg38_chr1:43651049-43651059(-) gaacaatgcat >hg38_chr1:43653459-43653469(-) TAACAACGGGA >hg38_chr1:43666856-43666866(-) taacaaagggt >hg38_chr1:43707545-43707555(+) CCACTATGGCG >hg38_chr1:43736801-43736811(-) AGACAATATTA >hg38_chr1:43737082-43737092(-) AAACAATGTGC >hg38_chr1:43750387-43750397(+) taacaatagcc >hg38_chr1:43788819-43788829(+) gtataatgaat >hg38_chr1:43791635-43791645(-) ttacaatgtac >hg38_chr1:43791682-43791692(+) AAACAATGAAC >hg38_chr1:43841698-43841708(-) agacaataggg >hg38_chr1:43888132-43888142(-) TAACAAAGGCC >hg38_chr1:43889649-43889659(-) atataatggtt >hg38_chr1:44016692-44016702(+) AGACAATGAGG >hg38_chr1:44016757-44016767(+) GGACAATGCAG >hg38_chr1:44062968-44062978(+) ttacactggaa >hg38_chr1:44063012-44063022(-) acacaatgtat >hg38_chr1:44106916-44106926(-) AGATAATGGAC >hg38_chr1:44119809-44119819(+) gaacagtggga >hg38_chr1:44296195-44296205(+) AGACAATGGGG >hg38_chr1:44328162-44328172(+) TGACAATAGAT >hg38_chr1:44368699-44368709(+) acacaaaggag >hg38_chr1:44384576-44384586(-) ggacaatgact >hg38_chr1:44400925-44400935(-) ctataatggat >hg38_chr1:44400942-44400952(-) gcacaatgaaa >hg38_chr1:44401480-44401490(-) tgacaatgaga >hg38_chr1:44437857-44437867(-) acacagtggat >hg38_chr1:44472794-44472804(+) CAACAATAGAG >hg38_chr1:44501179-44501189(-) GGACAAAGGGC >hg38_chr1:44502127-44502137(-) agaCAAAGGAT >hg38_chr1:44507808-44507818(-) GAACAATGCTA >hg38_chr1:44543396-44543406(-) GGACAATAGAA >hg38_chr1:44576702-44576712(-) GGACAATGCCC >hg38_chr1:44631916-44631926(-) TCACAATGCGG >hg38_chr1:44673986-44673996(+) AAACAAAGGAA >hg38_chr1:44677390-44677400(+) atacaatggaa >hg38_chr1:44677401-44677411(+) ggacaaaggac >hg38_chr1:44708954-44708964(-) taacaatggaa >hg38_chr1:44711806-44711816(+) CAACAATGact >hg38_chr1:44715290-44715300(+) GTACAATGCCA >hg38_chr1:44715359-44715369(-) ccacaatgaac >hg38_chr1:44731364-44731374(-) CCACAATGCAC >hg38_chr1:44775489-44775499(-) AAACAACGTCG >hg38_chr1:44790078-44790088(+) aaacaatgcct >hg38_chr1:44793823-44793833(-) ggacactggac >hg38_chr1:44802619-44802629(-) GGACAATGGAT >hg38_chr1:44807505-44807515(-) CAACAATGCTC >hg38_chr1:44813904-44813914(-) AGACAACGGTT >hg38_chr1:44819468-44819478(+) AAACAATAGAA >hg38_chr1:44885060-44885070(-) TCACAATGGTT >hg38_chr1:44894484-44894494(+) ATATAATGAAT >hg38_chr1:44942099-44942109(+) ATACAATGCTT >hg38_chr1:44947029-44947039(-) AAACAAAGGCA >hg38_chr1:44976596-44976606(+) gaacaatgctc >hg38_chr1:45042013-45042023(-) caacaataggg >hg38_chr1:45050998-45051008(-) GAACAATGGTA >hg38_chr1:45059290-45059300(+) gaacaataaat >hg38_chr1:45059305-45059315(-) gaacaataaat >hg38_chr1:45118961-45118971(+) gtacaataaaa >hg38_chr1:45157789-45157799(+) tgacaatgtat >hg38_chr1:45169769-45169779(-) GTACAATAGAA >hg38_chr1:45170088-45170098(-) ATACAATGTTA >hg38_chr1:45171429-45171439(+) CTACAGTGGTA >hg38_chr1:45171467-45171477(-) AGACAATGTCT >hg38_chr1:45194270-45194280(+) acacaatagat >hg38_chr1:45194304-45194314(+) agataatggaa >hg38_chr1:45229544-45229554(-) tcacaatggac >hg38_chr1:45252759-45252769(-) ATACAATTGAC >hg38_chr1:45297885-45297895(+) AGACAAAGGCA >hg38_chr1:45326689-45326699(-) TCACAATGGCT >hg38_chr1:45340444-45340454(-) GTACAACGGAA >hg38_chr1:45350964-45350974(-) ACACAATGAGG >hg38_chr1:45363023-45363033(+) AGACAATGGCA >hg38_chr1:45374311-45374321(+) gaacaatagcc >hg38_chr1:45414344-45414354(+) TAACaataatt >hg38_chr1:45418694-45418704(-) tgacaataata >hg38_chr1:45455133-45455143(-) gaacaatagga >hg38_chr1:45468816-45468826(+) ctacaatgcac >hg38_chr1:45486177-45486187(-) CAACAATGGCT >hg38_chr1:45486216-45486226(+) ATACAATGTAA >hg38_chr1:45491332-45491342(+) GCACAATGGGC >hg38_chr1:45519424-45519434(+) GCACAATGCCA >hg38_chr1:45522361-45522371(-) GAACAATGAAG >hg38_chr1:45524945-45524955(-) ACACAAAGGAG >hg38_chr1:45524958-45524968(+) AAACAATAGCT >hg38_chr1:45526616-45526626(-) GCACAATGGAA >hg38_chr1:45550837-45550847(-) GCACAATGAGG >hg38_chr1:45584779-45584789(-) AAACAATGGAG >hg38_chr1:45595594-45595604(-) ttacaataaca >hg38_chr1:45601546-45601556(-) agacaatgtag >hg38_chr1:45644316-45644326(+) AGACAATGCTC >hg38_chr1:45646192-45646202(-) CCACAATGGTG >hg38_chr1:45646210-45646220(+) AAACAATGCCG >hg38_chr1:45807407-45807417(-) ACACAATGCCA >hg38_chr1:45808599-45808609(-) GAATAATGGTT >hg38_chr1:45808608-45808618(-) TGACAATGGGA >hg38_chr1:45810077-45810087(+) taacaatgcag >hg38_chr1:45810103-45810113(+) tcacaataggt >hg38_chr1:45836037-45836047(-) tcacaatggct >hg38_chr1:45864503-45864513(+) GTACAAAGGAT >hg38_chr1:45864539-45864549(+) AAACAATAACT >hg38_chr1:45864989-45864999(+) GAACAGTGGGT >hg38_chr1:45908927-45908937(-) atacaatgtaa >hg38_chr1:45925774-45925784(+) atacaatgtct >hg38_chr1:45954366-45954376(-) TGACAATGTTG >hg38_chr1:45957267-45957277(-) atataatggaa >hg38_chr1:45985719-45985729(+) taacaatatca >hg38_chr1:45990190-45990200(+) taacaataaca >hg38_chr1:46043909-46043919(+) TAACAATAGAT >hg38_chr1:46053837-46053847(-) aaacaatgaat >hg38_chr1:46084186-46084196(-) ggacaatggga >hg38_chr1:46108064-46108074(+) ATACAATGGTT >hg38_chr1:46130353-46130363(+) AAACAAAGGGG >hg38_chr1:46130369-46130379(+) ACACAATAGAT >hg38_chr1:46134165-46134175(-) ACACAATGGGC >hg38_chr1:46166781-46166791(+) AGACAATGGAG >hg38_chr1:46183753-46183763(+) TTACTATGGTG >hg38_chr1:46223794-46223804(-) TTACAATGGGT >hg38_chr1:46247122-46247132(-) CTACAGTGGTA >hg38_chr1:46295520-46295530(-) TGACAATAGCC >hg38_chr1:46298833-46298843(-) tgacaaaggta >hg38_chr1:46298866-46298876(-) TGACAaaggac >hg38_chr1:46421623-46421633(+) CAACAATGGAG >hg38_chr1:46556229-46556239(+) tgacaatgctg >hg38_chr1:46616995-46617005(+) GGACAAAGGAC >hg38_chr1:46656245-46656255(+) TAACAAAGGCA >hg38_chr1:46658026-46658036(-) CCACAATGAAA >hg38_chr1:46675641-46675651(+) GGACAATGGCC >hg38_chr1:46681548-46681558(+) CTACAATAGGT >hg38_chr1:46686771-46686781(-) AAATAATGGGA >hg38_chr1:46702622-46702632(+) taataatggct >hg38_chr1:46702697-46702707(-) AAACGATGGCA >hg38_chr1:46708794-46708804(+) AAACAATAGCt >hg38_chr1:46725712-46725722(-) atacaatacat >hg38_chr1:46757385-46757395(-) GAACAGTGGTA >hg38_chr1:46908467-46908477(-) tcacaatggtg >hg38_chr1:46961209-46961219(+) GAACAGTGGGT >hg38_chr1:46983381-46983391(-) gcacaatggct >hg38_chr1:46991807-46991817(-) TCACAATGCCT >hg38_chr1:46999618-46999628(-) aaacaataaca >hg38_chr1:47025918-47025928(+) ATACTATGTCG >hg38_chr1:47289416-47289426(+) AGACAATGAAA >hg38_chr1:47333609-47333619(+) agacaataggt >hg38_chr1:47372965-47372975(+) AGACAATGGCT >hg38_chr1:47432617-47432627(-) GAACAGTGGGA >hg38_chr1:47523914-47523924(+) tgacaatggga >hg38_chr1:47533168-47533178(-) ggacaatgggg >hg38_chr1:47533309-47533319(-) CTACAACGGCG >hg38_chr1:47564723-47564733(-) gaacaaaggcc >hg38_chr1:47631647-47631657(-) GGACAATGGCC >hg38_chr1:47673990-47674000(+) TAACAATGGTA >hg38_chr1:47697216-47697226(-) GGACAATAGCC >hg38_chr1:47716533-47716543(+) GAACAAAGGGA >hg38_chr1:47725136-47725146(-) GCATAATGGCC >hg38_chr1:47736339-47736349(-) ggacaatgaca >hg38_chr1:47776621-47776631(+) CCACAATAGAA >hg38_chr1:47813029-47813039(-) TGATAATGGTA >hg38_chr1:47813041-47813051(-) TAACAATAACA >hg38_chr1:47898224-47898234(+) TAACAATGGCA >hg38_chr1:47911271-47911281(-) AAACAATGAAT >hg38_chr1:47946591-47946601(-) atacaatatga >hg38_chr1:47946893-47946903(+) ACACAATAGCT >hg38_chr1:47951244-47951254(-) AGACAATGCCC >hg38_chr1:47951281-47951291(+) CCACAATGCGG >hg38_chr1:48255429-48255439(-) GTACAATGAGG >hg38_chr1:48338348-48338358(+) tcacaatgatt >hg38_chr1:48394465-48394475(-) CCACAATAGCA >hg38_chr1:48399086-48399096(-) ATACAATAGAT >hg38_chr1:48417304-48417314(+) aaacaatgtta >hg38_chr1:48422732-48422742(+) atacaatgcca >hg38_chr1:48422985-48422995(+) atacaatgtaa >hg38_chr1:48429458-48429468(+) taacaatgaaa >hg38_chr1:48429476-48429486(+) aaacaataaca >hg38_chr1:48431308-48431318(+) ctacaataata >hg38_chr1:48431341-48431351(+) aaataatggtt >hg38_chr1:48443041-48443051(+) acacaatggta >hg38_chr1:48452039-48452049(-) gaataatgggc >hg38_chr1:48452116-48452126(+) ttacaatgaaa >hg38_chr1:48453477-48453487(+) TCACAAAGGAT >hg38_chr1:48464330-48464340(+) aaacaaaGGAT >hg38_chr1:48464526-48464536(+) aaacaatgaga >hg38_chr1:48464545-48464555(+) caacaatagga >hg38_chr1:48472417-48472427(+) GTATAATGGGA >hg38_chr1:48472898-48472908(+) TGACAATAGAC >hg38_chr1:48523296-48523306(-) ATACAATAGGA >hg38_chr1:48612539-48612549(-) AGACAATGTCC >hg38_chr1:48612599-48612609(+) aaacaatgacc >hg38_chr1:48612612-48612622(+) taacaatatct >hg38_chr1:48641345-48641355(-) GGACAAAGGAC >hg38_chr1:48644747-48644757(-) GCACAATGGCC >hg38_chr1:48645823-48645833(+) agacaatgaca >hg38_chr1:48655150-48655160(+) AAACAAAGGCA >hg38_chr1:48667908-48667918(+) agacaatgact >hg38_chr1:48667986-48667996(+) ttataatgggg >hg38_chr1:48728854-48728864(-) tgacaatgtca >hg38_chr1:48735818-48735828(-) gaacaaaggca >hg38_chr1:48776816-48776826(+) GCACAAAGGCG >hg38_chr1:48776973-48776983(-) GAACAATAACA >hg38_chr1:48840900-48840910(+) atacaattgaa >hg38_chr1:48948817-48948827(-) CAACAATGCCT >hg38_chr1:48952148-48952158(+) GCACAATGTCT >hg38_chr1:48966290-48966300(-) AAACAATGCTA >hg38_chr1:48966883-48966893(+) TTATAATGGAG >hg38_chr1:49043459-49043469(-) acacaatagta >hg38_chr1:49052706-49052716(+) TAACAATAATC >hg38_chr1:49125875-49125885(+) GGACAATGTCA >hg38_chr1:49230655-49230665(+) ATATAATGGAA >hg38_chr1:49267229-49267239(-) GTACTATGGTA >hg38_chr1:49787799-49787809(+) caacaatgaac >hg38_chr1:49788126-49788136(+) acacaaaggac >hg38_chr1:49801482-49801492(-) taacaatataa >hg38_chr1:49801544-49801554(-) agacaaaggtt >hg38_chr1:49813607-49813617(-) acataatgggt >hg38_chr1:49883871-49883881(+) atataatggtt >hg38_chr1:50042622-50042632(+) TCACAATGCTG >hg38_chr1:50044474-50044484(+) GCACAATGAGC >hg38_chr1:50044491-50044501(-) ACACAAAGGCA >hg38_chr1:50083937-50083947(-) TAACAATAAAG >hg38_chr1:50083975-50083985(-) CAACAATGAGG >hg38_chr1:50181561-50181571(-) GAACAATGACC >hg38_chr1:50187327-50187337(-) aaacaatggct >hg38_chr1:50305817-50305827(+) agacaataaca >hg38_chr1:50393979-50393989(-) gaacaatggga >hg38_chr1:50409364-50409374(-) tgacaatgaaa >hg38_chr1:50423458-50423468(-) CAACAATAGAG >hg38_chr1:50423499-50423509(+) CAACAATGTGG >hg38_chr1:50471267-50471277(-) aaacaatggca >hg38_chr1:50480516-50480526(-) gaacaatgcct >hg38_chr1:50491570-50491580(+) TAACCATGGAT >hg38_chr1:50515237-50515247(+) ccacaatgggg >hg38_chr1:50536285-50536295(-) TAACAATGACT >hg38_chr1:50565705-50565715(-) TTACAATGACA >hg38_chr1:50567678-50567688(+) GAACAATGGAT >hg38_chr1:50568048-50568058(+) AAACAATGGCT >hg38_chr1:50585286-50585296(-) taacaatgtga >hg38_chr1:50586942-50586952(+) AAACAAAGGAC >hg38_chr1:50610808-50610818(-) GTACAATGCTA >hg38_chr1:50616376-50616386(+) taacattggta >hg38_chr1:50621238-50621248(+) gaacaatgcac >hg38_chr1:50658653-50658663(-) GAACAATGAAG >hg38_chr1:50667069-50667079(-) AAACAATAACA >hg38_chr1:50667507-50667517(-) TTACAAAGGTT >hg38_chr1:50669657-50669667(+) TGACAATGTAG >hg38_chr1:50699130-50699140(+) AAACAATGCCA >hg38_chr1:50700361-50700371(-) TTACAAAGGCC >hg38_chr1:50702715-50702725(-) TCACAATGCGT >hg38_chr1:50748922-50748932(+) CTACAATGCAA >hg38_chr1:50768570-50768580(+) aaacaatagca >hg38_chr1:50772335-50772345(-) caataatggga >hg38_chr1:50772353-50772363(+) acacaaaggaa >hg38_chr1:50793701-50793711(-) agacaatgaag >hg38_chr1:50801628-50801638(-) CTACAAAGGTA >hg38_chr1:50805832-50805842(+) TAACaataatg >hg38_chr1:50873575-50873585(-) gaacaatgagt >hg38_chr1:50924579-50924589(+) gcacaatagac >hg38_chr1:50926830-50926840(-) caacaatggta >hg38_chr1:50931264-50931274(-) TAACAATaaga >hg38_chr1:50931286-50931296(-) AAACAATGCAG >hg38_chr1:50931303-50931313(-) TAACAATAAGA >hg38_chr1:50962681-50962691(+) aaacaatgtgg >hg38_chr1:50962698-50962708(+) gaacaatggga >hg38_chr1:50982724-50982734(+) AAACAATAGAA >hg38_chr1:50982743-50982753(-) TCACAATGGCC >hg38_chr1:51019063-51019073(+) ACACAATGGCA >hg38_chr1:51074829-51074839(-) gtacaatgatg >hg38_chr1:51075335-51075345(-) agacaatgcac >hg38_chr1:51075361-51075371(-) ttactatggat >hg38_chr1:51084178-51084188(-) tcacaatagat >hg38_chr1:51093992-51094002(+) gaacaatggtt >hg38_chr1:51192420-51192430(+) ttacaatgcag >hg38_chr1:51239828-51239838(-) AAACAATGACA >hg38_chr1:51243013-51243023(-) TAACAAAGGTG >hg38_chr1:51394423-51394433(-) GCACAATGATC >hg38_chr1:51397228-51397238(+) agacaatgcat >hg38_chr1:51414729-51414739(-) TTACAATAAAA >hg38_chr1:51414778-51414788(+) ACACAatataa >hg38_chr1:51422236-51422246(-) TGACAGTGGTA >hg38_chr1:51423273-51423283(-) CAACAATGATT >hg38_chr1:51445024-51445034(-) ACACAAAGGAC >hg38_chr1:51496329-51496339(-) CGATAATGGGG >hg38_chr1:51499656-51499666(-) tcacaatgaga >hg38_chr1:51514900-51514910(-) CTACAATGGGA >hg38_chr1:51514935-51514945(+) GAACAATATCA >hg38_chr1:51524109-51524119(-) GAACAATGATG >hg38_chr1:51535119-51535129(-) AAACAAAGGCC >hg38_chr1:51535144-51535154(+) AAACAATAAAA >hg38_chr1:51558439-51558449(-) ttacaatagtg >hg38_chr1:51574170-51574180(-) GGACAATGAAA >hg38_chr1:51583776-51583786(-) AGACAAAGGGC >hg38_chr1:51624911-51624921(+) TGACAATGAGG >hg38_chr1:51652786-51652796(-) GAACAATGGTG >hg38_chr1:51658886-51658896(-) CCACAATGAAT >hg38_chr1:51659247-51659257(+) caacaatggaa >hg38_chr1:51671543-51671553(+) atacagtggta >hg38_chr1:51690309-51690319(-) AGACAATGAAA >hg38_chr1:51690393-51690403(-) CGACAATATGA >hg38_chr1:51690841-51690851(-) gcacaatgatg >hg38_chr1:51708592-51708602(-) atacaaaggct >hg38_chr1:51744031-51744041(-) TGACAATGAGT >hg38_chr1:51746527-51746537(+) ATACAATAGAA >hg38_chr1:51750989-51750999(+) ACACAATAGCA >hg38_chr1:51756800-51756810(-) TAACAATGATG >hg38_chr1:51757933-51757943(-) atacaatgtat >hg38_chr1:51806298-51806308(-) ACACAAAGGAA >hg38_chr1:51858901-51858911(-) aaactatggta >hg38_chr1:51858932-51858942(-) aaacaaaggtt >hg38_chr1:51866574-51866584(+) tgacaatagga >hg38_chr1:51869801-51869811(+) ATACAATATCA >hg38_chr1:51910796-51910806(-) TAACAGTGGAC >hg38_chr1:51926969-51926979(+) TTACAATAGCT >hg38_chr1:52060844-52060854(-) CTACAATGTGA >hg38_chr1:52089317-52089327(+) GTACAATGAGC >hg38_chr1:52126079-52126089(+) acacaatatat >hg38_chr1:52127655-52127665(+) TAACAATAATT >hg38_chr1:52127686-52127696(-) GAATAATGGAA >hg38_chr1:52140651-52140661(-) ATACACTGGAT >hg38_chr1:52145302-52145312(-) GAACAGTGGTA >hg38_chr1:52250373-52250383(-) ttacaatagca >hg38_chr1:52277190-52277200(-) TAACAATAGAA >hg38_chr1:52277208-52277218(-) TAACAATAGGT >hg38_chr1:52277227-52277237(-) TTACAATAGAT >hg38_chr1:52277242-52277252(-) TAACAATAGAT >hg38_chr1:52296487-52296497(-) aaacaatgaag >hg38_chr1:52328287-52328297(+) gaactatggtg >hg38_chr1:52369136-52369146(+) CAACAATGGAG >hg38_chr1:52420886-52420896(-) aAACAATACGT >hg38_chr1:52454465-52454475(+) atacagtggag >hg38_chr1:52454529-52454539(+) aaacaatgaat >hg38_chr1:52463628-52463638(+) TAACAATAGCT >hg38_chr1:52478786-52478796(+) ACACAATGAAA >hg38_chr1:52485483-52485493(+) aaacaatgtac >hg38_chr1:52553390-52553400(+) AAACAATGGGG >hg38_chr1:52575211-52575221(+) ctacaatgCCT >hg38_chr1:52575228-52575238(+) GAACAAAGGAA >hg38_chr1:52637084-52637094(+) AGACAATGCTT >hg38_chr1:52665544-52665554(-) ATACAATGAGG >hg38_chr1:52825965-52825975(+) GAACAATGTAC >hg38_chr1:52863245-52863255(+) GAACAATGCCC >hg38_chr1:53016969-53016979(+) GAACAATGAAG >hg38_chr1:53017509-53017519(-) acacaataacg >hg38_chr1:53037390-53037400(-) TGACAATACGC >hg38_chr1:53104596-53104606(+) TTACAATAGAA >hg38_chr1:53121669-53121679(+) acacaatgagc >hg38_chr1:53232390-53232400(+) agacaatagca >hg38_chr1:53253830-53253840(-) GAACAATGTAA >hg38_chr1:53278464-53278474(-) taacaatgaaa >hg38_chr1:53327406-53327416(-) TGACAATGCGT >hg38_chr1:53397036-53397046(+) AGACAAAGGAG >hg38_chr1:53398425-53398435(-) GAACAAAGGAG >hg38_chr1:53435210-53435220(+) TAACAATACTA >hg38_chr1:53435226-53435236(+) ATACTATGGCT >hg38_chr1:53446640-53446650(-) GGACAATGTGG >hg38_chr1:53463007-53463017(-) TAACAAAGGCC >hg38_chr1:53503870-53503880(-) agacaaaggca >hg38_chr1:53507299-53507309(-) gtacaatagga >hg38_chr1:53564370-53564380(+) agacaataacg >hg38_chr1:53565148-53565158(+) ttataatgggt >hg38_chr1:53673756-53673766(-) GTATAATGGAG >hg38_chr1:53673782-53673792(+) GCACAATGCCT >hg38_chr1:53706061-53706071(+) TAACAATGACA >hg38_chr1:53706067-53706077(+) TGACAATAAta >hg38_chr1:53706115-53706125(-) taataatagta >hg38_chr1:53746229-53746239(+) CTATAATGGTT >hg38_chr1:53765213-53765223(-) AAACAAAGGGT >hg38_chr1:53769686-53769696(+) ATACAATAAGT >hg38_chr1:53875948-53875958(-) taacaataagc >hg38_chr1:53876022-53876032(+) gtacaatagca >hg38_chr1:53934348-53934358(-) aaacagtggaa >hg38_chr1:53934384-53934394(-) gaacaatagtg >hg38_chr1:53942726-53942736(+) gaacaaagggc >hg38_chr1:53982552-53982562(+) caacaaaggac >hg38_chr1:54000161-54000171(+) atacaatggaa >hg38_chr1:54016237-54016247(+) AGACAATAGCA >hg38_chr1:54037695-54037705(-) AAACAATGACT >hg38_chr1:54047029-54047039(+) AAACAAAGGTG >hg38_chr1:54053913-54053923(-) TCACAATACGC >hg38_chr1:54087576-54087586(-) ataccatggaa >hg38_chr1:54158236-54158246(+) GAACAAAGGCT >hg38_chr1:54257354-54257364(+) GAACAATAGAT >hg38_chr1:54294467-54294477(+) AGACAATGGGA >hg38_chr1:54301535-54301545(+) GGATAATGGCC >hg38_chr1:54313144-54313154(+) AGACAATGGGT >hg38_chr1:54313155-54313165(+) GTACAACGGCA >hg38_chr1:54317094-54317104(+) ccacaatggaa >hg38_chr1:54317131-54317141(+) gtacaatggca >hg38_chr1:54355082-54355092(+) ACACAATGGGA >hg38_chr1:54355106-54355116(+) GGACAACGGTG >hg38_chr1:54378754-54378764(+) GCACAAAGGAA >hg38_chr1:54407433-54407443(+) GTACAATGGGA >hg38_chr1:54488514-54488524(-) CAACAATAGCG >hg38_chr1:54488555-54488565(-) ATACTATGGTT >hg38_chr1:54569995-54570005(+) ttacaatgttc >hg38_chr1:54572161-54572171(-) gaacaatgcag >hg38_chr1:54582863-54582873(-) AGACAATGCCC >hg38_chr1:54611008-54611018(+) aaataatgggg >hg38_chr1:54719399-54719409(-) aaacaaaggaa >hg38_chr1:54719418-54719428(+) tcacaatgtgt >hg38_chr1:54887750-54887760(-) acacaatggag >hg38_chr1:54888468-54888478(+) aaacaatgtct >hg38_chr1:54892924-54892934(+) taacaatatac >hg38_chr1:54892931-54892941(+) atacaatagac >hg38_chr1:54937642-54937652(-) caacaatgtca >hg38_chr1:54940478-54940488(+) acacaatgaca >hg38_chr1:55010366-55010376(-) TAACAATAGCA >hg38_chr1:55067604-55067614(+) ACACAATGCCA >hg38_chr1:55097879-55097889(+) AAACAAAGACG >hg38_chr1:55140655-55140665(-) TCACAACGGGA >hg38_chr1:55162706-55162716(-) caataatggtc >hg38_chr1:55162902-55162912(+) acacaatgttg >hg38_chr1:55188057-55188067(+) atataatggag >hg38_chr1:55204764-55204774(+) acacaataaat >hg38_chr1:55213000-55213010(-) TTACAATGCTT >hg38_chr1:55339040-55339050(+) ccacaatgttt >hg38_chr1:55346586-55346596(-) ATACAATAATA >hg38_chr1:55346597-55346607(-) AAACAATAGCT >hg38_chr1:55400636-55400646(+) AAACAAAGGTA >hg38_chr1:55407432-55407442(+) CAACAATCGTA >hg38_chr1:55407438-55407448(-) GTACAATACGA >hg38_chr1:55551085-55551095(+) GAACAATGGCA >hg38_chr1:55653076-55653086(-) caacaatgcag >hg38_chr1:55671093-55671103(+) CCACAATAGGG >hg38_chr1:55679844-55679854(-) acacaatagaa >hg38_chr1:55679908-55679918(-) gaacaatagct >hg38_chr1:55769054-55769064(+) aaacaatgctg >hg38_chr1:55769104-55769114(-) ttacaatgagt >hg38_chr1:55782041-55782051(+) gtataatggta >hg38_chr1:55782429-55782439(-) aaacaatgtgt >hg38_chr1:55880700-55880710(+) gtacaatagag >hg38_chr1:55903089-55903099(+) ctacaatgctg >hg38_chr1:55903820-55903830(-) aaacaatagaa >hg38_chr1:55931092-55931102(-) GAACAATGAGA >hg38_chr1:55931706-55931716(-) caacaatggcc >hg38_chr1:55960413-55960423(-) ACACAAAGGAT >hg38_chr1:55974917-55974927(-) agacaataaac >hg38_chr1:55980032-55980042(-) AAACAATGAAC >hg38_chr1:56096864-56096874(-) CCACAATGATC >hg38_chr1:56096897-56096907(+) AAACAATGATA >hg38_chr1:56173850-56173860(-) atacaatattc >hg38_chr1:56185698-56185708(-) TAACAGTGGAG >hg38_chr1:56189123-56189133(+) TCACAATAGTG >hg38_chr1:56189181-56189191(+) CCACAATGGAC >hg38_chr1:56189956-56189966(+) CGACAATAATA >hg38_chr1:56189978-56189988(+) TAACAATGAAc >hg38_chr1:56196902-56196912(-) TAATAATGGGT >hg38_chr1:56248876-56248886(+) caacaatgcaa >hg38_chr1:56249473-56249483(-) GAACAATAGTT >hg38_chr1:56252698-56252708(-) tgacaatgtca >hg38_chr1:56253560-56253570(-) tgacaatgggg >hg38_chr1:56258982-56258992(-) GGACAATGGTT >hg38_chr1:56276766-56276776(+) aaacaatggcc >hg38_chr1:56276799-56276809(-) ttacaatgtta >hg38_chr1:56277628-56277638(+) aaacaatgtat >hg38_chr1:56277677-56277687(+) tgacaatgatc >hg38_chr1:56287265-56287275(-) ACACAATGTAC >hg38_chr1:56350009-56350019(-) atacaatggaa >hg38_chr1:56363069-56363079(-) gaacaatggca >hg38_chr1:56389527-56389537(+) GTACAATACAA >hg38_chr1:56389532-56389542(+) ATACAATAGGA >hg38_chr1:56412622-56412632(+) GGATAATGGAC >hg38_chr1:56422518-56422528(-) acacaatggaa >hg38_chr1:56437115-56437125(+) AAACAATGGCC >hg38_chr1:56450566-56450576(+) ggacaatggac >hg38_chr1:56458091-56458101(-) CAACAATAGGT >hg38_chr1:56511086-56511096(-) GTACAATAATG >hg38_chr1:56535479-56535489(-) ATACAATGAGC >hg38_chr1:56535538-56535548(-) TTACAATGCTT >hg38_chr1:56550315-56550325(-) GAACAATAGGG >hg38_chr1:56557929-56557939(-) AAACAAAGGGC >hg38_chr1:56563319-56563329(+) CTACAATAGCC >hg38_chr1:56564336-56564346(+) GAACAATGATA >hg38_chr1:56617337-56617347(+) acacaaaggag >hg38_chr1:56617402-56617412(+) atacaaaggaa >hg38_chr1:56617419-56617429(-) acacaatgaaa >hg38_chr1:56626050-56626060(+) GGACAAAGGAA >hg38_chr1:56627206-56627216(-) CTACAATGTTA >hg38_chr1:56639469-56639479(-) TAACAAAGGTT >hg38_chr1:56665699-56665709(-) agacaatgcca >hg38_chr1:56688874-56688884(+) gtacaatacaa >hg38_chr1:56708710-56708720(-) AGACAATAGTG >hg38_chr1:56708723-56708733(-) AAACAATGAAC >hg38_chr1:56714773-56714783(+) ATACAGTGGAT >hg38_chr1:56783352-56783362(+) atacaatgtca >hg38_chr1:56825012-56825022(-) AGACAATGGGA >hg38_chr1:56845008-56845018(-) AAACAATAACT >hg38_chr1:56850721-56850731(-) AAACAATGCTC >hg38_chr1:56857384-56857394(-) ggataatggaa >hg38_chr1:56930188-56930198(+) ATATAATGGGA >hg38_chr1:57003001-57003011(+) AGACAATGGTT >hg38_chr1:57017370-57017380(+) GGACAATGGGT >hg38_chr1:57051269-57051279(-) TTACAATGCAG >hg38_chr1:57051294-57051304(+) CTACAATGTGG >hg38_chr1:57112367-57112377(+) CCACAATGGTC >hg38_chr1:57124200-57124210(-) ATACAATAATA >hg38_chr1:57134962-57134972(-) taacaatagct >hg38_chr1:57135411-57135421(-) ctacaatgtgt >hg38_chr1:57138790-57138800(-) aaacaaaggag >hg38_chr1:57160270-57160280(-) ggacaatgaaa >hg38_chr1:57166109-57166119(+) TCATAATGGAG >hg38_chr1:57205093-57205103(-) AAACAAAGGAG >hg38_chr1:57247892-57247902(-) gaacaaaggcc >hg38_chr1:57252982-57252992(+) ATACAACGGAG >hg38_chr1:57329121-57329131(+) CAACAATGGCC >hg38_chr1:57331203-57331213(+) GAACAATAACA >hg38_chr1:57349202-57349212(-) GAACAATGAAA >hg38_chr1:57360691-57360701(-) GTACAATGTGT >hg38_chr1:57421668-57421678(+) GAACAATAGCG >hg38_chr1:57422693-57422703(+) CAACAATGAGG >hg38_chr1:57479721-57479731(+) gcacaatggaa >hg38_chr1:57489545-57489555(+) CAACAATGACC >hg38_chr1:57536805-57536815(-) GAACAATGTAA >hg38_chr1:57536844-57536854(-) AAACTATGGGA >hg38_chr1:57538823-57538833(+) AGACAATGAGA >hg38_chr1:57585543-57585553(-) agacaatgcat >hg38_chr1:57651287-57651297(+) TAACAATATCC >hg38_chr1:57651295-57651305(-) TTATAATGGGA >hg38_chr1:57678497-57678507(+) AAACTATGGGG >hg38_chr1:57696200-57696210(-) caacaatgcaa >hg38_chr1:57742943-57742953(-) ATACAAAGGCA >hg38_chr1:57791715-57791725(-) ACACAATGAGC >hg38_chr1:57818108-57818118(+) TCACAATATAA >hg38_chr1:57831924-57831934(+) aaacaaaggtg >hg38_chr1:57847884-57847894(-) gaacaatgcct >hg38_chr1:57860449-57860459(-) ACACAATGGAG >hg38_chr1:57881614-57881624(+) acacaatacgt >hg38_chr1:57965101-57965111(+) ACACAATAGAC >hg38_chr1:57969910-57969920(+) ccacaatgtaa >hg38_chr1:57984455-57984465(-) TCACAATGAAG >hg38_chr1:58006856-58006866(-) TAACAATGCAC >hg38_chr1:58095607-58095617(+) TAACAATAGGT >hg38_chr1:58095658-58095668(-) TAACAATATCC >hg38_chr1:58153687-58153697(-) AGACAATGGAG >hg38_chr1:58157347-58157357(-) CCACAATGAGG >hg38_chr1:58158249-58158259(-) AGACAATGGGT >hg38_chr1:58230562-58230572(+) ATACAAAGGAC >hg38_chr1:58233926-58233936(-) TGACAATAGCT >hg38_chr1:58236612-58236622(-) taacaaaggac >hg38_chr1:58241351-58241361(-) TAACAATGGAT >hg38_chr1:58340257-58340267(-) GAACAATAGAA >hg38_chr1:58381524-58381534(-) ttacaataggt >hg38_chr1:58392375-58392385(-) gaacaatgttt >hg38_chr1:58397867-58397877(-) taacaatgcag >hg38_chr1:58399222-58399232(-) aaacaatgcat >hg38_chr1:58420886-58420896(+) GAACAATAAAC >hg38_chr1:58474672-58474682(+) GGACTATGGAG >hg38_chr1:58478636-58478646(-) GTACAATAATT >hg38_chr1:58509460-58509470(+) acacaatataa >hg38_chr1:58543111-58543121(-) GTACAATGGTT >hg38_chr1:58573802-58573812(+) AAACAATGAGG >hg38_chr1:58658924-58658934(+) taacaatggtt >hg38_chr1:58664132-58664142(-) GTACAATAGCA >hg38_chr1:58670737-58670747(+) atacaatgagg >hg38_chr1:58680580-58680590(-) ACACAATATAA >hg38_chr1:58689667-58689677(-) AAACAATGAAA >hg38_chr1:58695531-58695541(+) CAACAATGCAG >hg38_chr1:58749587-58749597(+) ttacaatggac >hg38_chr1:58764402-58764412(-) TAACAATAGGC >hg38_chr1:58773622-58773632(+) TCACAATGCAG >hg38_chr1:58779979-58779989(-) GAACAAAGGGT >hg38_chr1:58780001-58780011(+) TTACAAAGGAT >hg38_chr1:58797526-58797536(-) ttacaatagcg >hg38_chr1:58853183-58853193(+) AAACAATGCAC >hg38_chr1:58853250-58853260(-) ATACAATAGGG >hg38_chr1:58855656-58855666(-) AAACAATAGGA >hg38_chr1:58864653-58864663(+) GTACAATGGAA >hg38_chr1:58864700-58864710(-) atacaatgcta >hg38_chr1:58883436-58883446(+) GAACAATGGAC >hg38_chr1:58906066-58906076(-) AGACAATAGGT >hg38_chr1:58912199-58912209(+) GCACAATagag >hg38_chr1:59008109-59008119(+) AAACAATACGT >hg38_chr1:59078643-59078653(+) ggacaatatta >hg38_chr1:59084332-59084342(+) GGACAATGCTT >hg38_chr1:59089478-59089488(-) tgACAATGATC >hg38_chr1:59089520-59089530(-) GCACAATagca >hg38_chr1:59108123-59108133(-) TTACAATGGGT >hg38_chr1:59120502-59120512(-) atacaataatc >hg38_chr1:59128947-59128957(-) GGACAAAGGAA >hg38_chr1:59144724-59144734(+) ATataatggcc >hg38_chr1:59144738-59144748(-) acacaataaat >hg38_chr1:59173428-59173438(-) caacaatgtga >hg38_chr1:59218578-59218588(+) aaataatgcgt >hg38_chr1:59224587-59224597(-) ATACAATGTCA >hg38_chr1:59234016-59234026(-) agacaatgagg >hg38_chr1:59234088-59234098(+) CCACAATGTCA >hg38_chr1:59238580-59238590(-) GAACAATAAAA >hg38_chr1:59238613-59238623(+) AGACAAAGGAG >hg38_chr1:59258832-59258842(+) gtacaatgaat >hg38_chr1:59258852-59258862(+) atacaatataa >hg38_chr1:59258867-59258877(+) acacaataata >hg38_chr1:59260783-59260793(+) acacaatggct >hg38_chr1:59297104-59297114(-) GAACAACGGCC >hg38_chr1:59300761-59300771(-) gaacaaaggtg >hg38_chr1:59327855-59327865(-) tgacaatgcac >hg38_chr1:59332338-59332348(+) TTACAATGAGA >hg38_chr1:59342400-59342410(-) CTACAATGAAA >hg38_chr1:59362065-59362075(-) TGACAATGTCC >hg38_chr1:59393912-59393922(-) GAACAATGTGT >hg38_chr1:59394045-59394055(+) TTACAAAGGAA >hg38_chr1:59394080-59394090(-) AAACAAAGGCA >hg38_chr1:59399201-59399211(-) AAACAATAGCA >hg38_chr1:59416133-59416143(+) TGACAATGCAA >hg38_chr1:59423007-59423017(-) GCACAATAGTA >hg38_chr1:59448655-59448665(-) gcacaatgcat >hg38_chr1:59448684-59448694(-) gcacaatgcta >hg38_chr1:59450717-59450727(-) aaacaatgtag >hg38_chr1:59455067-59455077(-) CCACAATGAAC >hg38_chr1:59500046-59500056(-) TAACAATGTGT >hg38_chr1:59500097-59500107(+) GGACAACGGCA >hg38_chr1:59505727-59505737(-) TTATAATGGTC >hg38_chr1:59529035-59529045(+) gaacaatggaa >hg38_chr1:59550053-59550063(-) gcacaatgccc >hg38_chr1:59553877-59553887(-) TAATAATGGCA >hg38_chr1:59619067-59619077(-) ctacaatgcaa >hg38_chr1:59620900-59620910(+) aaacaatgtat >hg38_chr1:59638547-59638557(-) AAACAATGAAA >hg38_chr1:59678864-59678874(-) TTACACTGGTA >hg38_chr1:59701791-59701801(+) GAACAAAGGAT >hg38_chr1:59704365-59704375(+) GAACAATGTAG >hg38_chr1:59707627-59707637(-) ATACAGTGGGC >hg38_chr1:59728739-59728749(-) ttacaatggac >hg38_chr1:59729566-59729576(-) ggacaatagaa >hg38_chr1:59729592-59729602(-) agacaatagtg >hg38_chr1:59743414-59743424(+) gcacaatgcct >hg38_chr1:59753009-59753019(-) ACACAATGAAA >hg38_chr1:59767244-59767254(-) GAACAATGGGT >hg38_chr1:59787975-59787985(+) AGACAATGAGG >hg38_chr1:59789261-59789271(+) gtacaataatg >hg38_chr1:59789264-59789274(+) caataatggaa >hg38_chr1:59789941-59789951(-) atacaatggga >hg38_chr1:59801214-59801224(-) atacaattgaa >hg38_chr1:59803785-59803795(+) GGACAATGAGA >hg38_chr1:59825348-59825358(-) AGACAATAGGA >hg38_chr1:59842450-59842460(-) AGACAATATAA >hg38_chr1:59852968-59852978(-) ccacaatggaa >hg38_chr1:59861727-59861737(+) ctactatggta >hg38_chr1:59911157-59911167(+) atacaatgaaa >hg38_chr1:59918642-59918652(-) ATACAATTGAA >hg38_chr1:59941385-59941395(-) gcacaataggt >hg38_chr1:60008128-60008138(+) CAACAATGCAA >hg38_chr1:60063140-60063150(-) GAACAATAACA >hg38_chr1:60063184-60063194(-) ACACAATAGCT >hg38_chr1:60134790-60134800(-) tcacaatagac >hg38_chr1:60141958-60141968(+) AAATAATGGAT >hg38_chr1:60175697-60175707(+) GGACAATGGAA >hg38_chr1:60228305-60228315(-) TAACAATAAAG >hg38_chr1:60281472-60281482(+) ACACAATGCAT >hg38_chr1:60289984-60289994(-) GAACAATGTAA >hg38_chr1:60391827-60391837(-) GTACAATGGAC >hg38_chr1:60413856-60413866(-) GAACAATGGAA >hg38_chr1:60445309-60445319(+) GGACAAAGGCA >hg38_chr1:60449101-60449111(+) GTACAGTGGAA >hg38_chr1:60503284-60503294(-) CAACAATAGGC >hg38_chr1:60560917-60560927(-) GGACAATGAGA >hg38_chr1:60565053-60565063(+) GAACAATGAAT >hg38_chr1:60565952-60565962(+) AAACAATGTTT >hg38_chr1:60575459-60575469(-) GTACAAAGGGG >hg38_chr1:60621757-60621767(-) GAACAATAAAT >hg38_chr1:60679642-60679652(+) GCACAAAGGAA >hg38_chr1:60685571-60685581(+) agacaatgggg >hg38_chr1:60696148-60696158(+) AAACAATGGGT >hg38_chr1:60766030-60766040(+) TAACAATAACT >hg38_chr1:60823300-60823310(-) TTACAATGTCC >hg38_chr1:60862155-60862165(-) TAACAATGGGG >hg38_chr1:60896118-60896128(-) CAACAATGCAG >hg38_chr1:60896130-60896140(-) AAACAATGATG >hg38_chr1:60906277-60906287(+) aaacaatagtt >hg38_chr1:60970240-60970250(-) TAACAATAACA >hg38_chr1:60973821-60973831(-) CAACAATGTGT >hg38_chr1:61004250-61004260(+) gtacaatagta >hg38_chr1:61004312-61004322(-) taacaatgctt >hg38_chr1:61057206-61057216(-) GAATAATGGAA >hg38_chr1:61078114-61078124(+) GTACAATAGTT >hg38_chr1:61098570-61098580(-) CAACAATAGTT >hg38_chr1:61098617-61098627(-) ATACAATAAAA >hg38_chr1:61132827-61132837(+) ACACAATAGTG >hg38_chr1:61139645-61139655(-) GAACAATGCCA >hg38_chr1:61152818-61152828(-) CTACAATGATT >hg38_chr1:61157961-61157971(-) TAACAGTGGGA >hg38_chr1:61181132-61181142(+) GTACAATGGAT >hg38_chr1:61221900-61221910(+) TAATAATGGCA >hg38_chr1:61221908-61221918(-) TCACAATGTGC >hg38_chr1:61221953-61221963(-) CAACAATGTCT >hg38_chr1:61235957-61235967(+) TGACAATGTGG >hg38_chr1:61248553-61248563(-) TAACAATAGGA >hg38_chr1:61259865-61259875(-) CAACAATGGCT >hg38_chr1:61284387-61284397(-) AAACAATGATG >hg38_chr1:61284423-61284433(+) AGACAAAGGAA >hg38_chr1:61297079-61297089(+) CCACAATGAGT >hg38_chr1:61314257-61314267(-) atacaatgtgg >hg38_chr1:61325063-61325073(-) GCACAATGTGC >hg38_chr1:61353497-61353507(+) ATAGAATGGTA >hg38_chr1:61372411-61372421(-) TGACAATGTAA >hg38_chr1:61372669-61372679(-) AAACAATAAAA >hg38_chr1:61398867-61398877(+) GTATAATGGTG >hg38_chr1:61412768-61412778(+) GTACAATGAAT >hg38_chr1:61423624-61423634(-) TTACAATGTGA >hg38_chr1:61423662-61423672(+) TCACTATGGTA >hg38_chr1:61433738-61433748(-) taacaatagct >hg38_chr1:61433747-61433757(-) taacaataata >hg38_chr1:61433759-61433769(-) atacagtgggg >hg38_chr1:61441900-61441910(-) GAACAATGACG >hg38_chr1:61453331-61453341(+) GAACAATAGAC >hg38_chr1:61478592-61478602(+) gaacaataata >hg38_chr1:61502359-61502369(+) GAACAATGGTA >hg38_chr1:61518347-61518357(-) atataatgtaa >hg38_chr1:61518575-61518585(-) taacaatatag >hg38_chr1:61518612-61518622(-) caacaatgctt >hg38_chr1:61525218-61525228(-) atataatgaat >hg38_chr1:61536589-61536599(-) ctacaatggaa >hg38_chr1:61539944-61539954(-) gcacaatggga >hg38_chr1:61570386-61570396(-) aaacaatgggc >hg38_chr1:61620859-61620869(-) ggacaatgagc >hg38_chr1:61649371-61649381(-) AAACAATGGAC >hg38_chr1:61649532-61649542(+) GCATAATGGAC >hg38_chr1:61652553-61652563(-) AAACAATAATA >hg38_chr1:61681210-61681220(+) TCACAATAATA >hg38_chr1:61746933-61746943(+) AGACAATGACT >hg38_chr1:61746933-61746943(+) AGACAATGACT >hg38_chr1:61752990-61753000(-) GAATAATGGTT >hg38_chr1:61804879-61804889(-) ATACAATAGAA >hg38_chr1:61829500-61829510(+) CCACAATGGTG >hg38_chr1:61837852-61837862(+) GAACAATAGGG >hg38_chr1:61908449-61908459(-) GAACAATGAAC >hg38_chr1:61910401-61910411(-) gtacaatgtgg >hg38_chr1:61952385-61952395(+) CAACAATGGTC >hg38_chr1:61952505-61952515(+) AGACAATGGTA >hg38_chr1:61957231-61957241(+) GAACAATGGCT >hg38_chr1:61978957-61978967(+) TGACAATGGAG >hg38_chr1:62037497-62037507(-) CTACAATAGGG >hg38_chr1:62038873-62038883(+) ATACAATGAAG >hg38_chr1:62038889-62038899(-) CAACAATGGAG >hg38_chr1:62059140-62059150(+) GAACTATGGAC >hg38_chr1:62065043-62065053(-) GAACAATGAGC >hg38_chr1:62111337-62111347(+) AGACAATGAAA >hg38_chr1:62117555-62117565(-) GAACAATGTTG >hg38_chr1:62120230-62120240(-) tcacaatgagt >hg38_chr1:62196117-62196127(-) TAACAATCGGA >hg38_chr1:62286836-62286846(+) GAACAATGACC >hg38_chr1:62295912-62295922(+) TAACAATAAAA >hg38_chr1:62338285-62338295(+) ggacaatggCC >hg38_chr1:62374586-62374596(+) TGACAATGGCA >hg38_chr1:62374623-62374633(-) atacaatagat >hg38_chr1:62386520-62386530(-) GCACAAAGGAC >hg38_chr1:62436475-62436485(+) GAACAATGATT >hg38_chr1:62442479-62442489(+) TTACAATGTTT >hg38_chr1:62511890-62511900(+) AAACAATGTCT >hg38_chr1:62513980-62513990(+) AAACAATAAAA >hg38_chr1:62616638-62616648(+) agacaataata >hg38_chr1:62617127-62617137(+) ggataatggta >hg38_chr1:62621445-62621455(-) AAACAATAAAA >hg38_chr1:62653920-62653930(+) AAATAATGGAA >hg38_chr1:62672994-62673004(-) TAACAATGGTG >hg38_chr1:62674678-62674688(+) tgacaatggtg >hg38_chr1:62679585-62679595(+) ttacaatgaga >hg38_chr1:62679596-62679606(-) gcacaatgcca >hg38_chr1:62679670-62679680(-) caacaatgttg >hg38_chr1:62720892-62720902(-) TTACAAAGGAG >hg38_chr1:62726133-62726143(-) GCACAATGCTC >hg38_chr1:62729935-62729945(-) gtacaatgtat >hg38_chr1:62750477-62750487(+) gaacaatgtta >hg38_chr1:62750485-62750495(+) ttacaatgtta >hg38_chr1:62782232-62782242(-) AAACAATGCTT >hg38_chr1:62790858-62790868(+) AAACAATGCTC >hg38_chr1:62790906-62790916(+) TAACAATAGAC >hg38_chr1:62791375-62791385(+) ATATAATGGGT >hg38_chr1:62791401-62791411(-) cgacaATGGAT >hg38_chr1:62848106-62848116(-) tcacaatagga >hg38_chr1:62849099-62849109(-) aaacaataaaa >hg38_chr1:62881933-62881943(-) TCACAATGAAA >hg38_chr1:62922230-62922240(+) agacaatggaa >hg38_chr1:62937483-62937493(-) GGACAATGTTG >hg38_chr1:62945310-62945320(-) GTACAAAGGCA >hg38_chr1:62945352-62945362(-) GAACAATGGCA >hg38_chr1:62963494-62963504(+) GAACAATAAAG >hg38_chr1:62974692-62974702(+) GGACAATGTAT >hg38_chr1:63047878-63047888(+) aaacaatgaga >hg38_chr1:63065405-63065415(+) tcacaatagca >hg38_chr1:63101380-63101390(-) agacaatgtct >hg38_chr1:63117388-63117398(-) ACACAATGCAG >hg38_chr1:63128636-63128646(-) AGATAATGGTC >hg38_chr1:63129006-63129016(+) tcacaatgaca >hg38_chr1:63282196-63282206(-) AGACAATGAAT >hg38_chr1:63294945-63294955(-) GAACAATGCTG >hg38_chr1:63332069-63332079(-) taacaatggca >hg38_chr1:63344126-63344136(+) aaacaatgaga >hg38_chr1:63346501-63346511(+) aaacaatggct >hg38_chr1:63386088-63386098(-) acacaatgaag >hg38_chr1:63417019-63417029(+) CCACAATGCAA >hg38_chr1:63447108-63447118(-) TAACAATGGTA >hg38_chr1:63450908-63450918(-) ACACAATAAAA >hg38_chr1:63453915-63453925(-) TCACAAAGGTA >hg38_chr1:63459448-63459458(+) TTACAATACTA >hg38_chr1:63483808-63483818(-) acataatggta >hg38_chr1:63483843-63483853(-) atacagtggac >hg38_chr1:63484821-63484831(+) ctacaatgatt >hg38_chr1:63487448-63487458(+) taactatggac >hg38_chr1:63487489-63487499(-) caacaatgaga >hg38_chr1:63487874-63487884(+) ctacaatgtta >hg38_chr1:63487924-63487934(-) ttataatggag >hg38_chr1:63525231-63525241(-) GAACAAAGGAA >hg38_chr1:63532369-63532379(+) ATACAATGTTA >hg38_chr1:63543284-63543294(-) gaacaatagaa >hg38_chr1:63649974-63649984(+) GAACAATAGCC >hg38_chr1:63665997-63666007(-) agacaatggaa >hg38_chr1:63666009-63666019(+) gcacaataggt >hg38_chr1:63666051-63666061(-) agacaatgggg >hg38_chr1:63683089-63683099(+) aaacaataccg >hg38_chr1:63703552-63703562(+) GAACAATGGGA >hg38_chr1:63775355-63775365(+) ACACAATGAGA >hg38_chr1:63817965-63817975(+) TTATAATGGTG >hg38_chr1:63822718-63822728(-) acacaatgcta >hg38_chr1:63822754-63822764(-) caacaataggg >hg38_chr1:63826677-63826687(+) tcacaatggtt >hg38_chr1:63826713-63826723(-) ccacaatgtac >hg38_chr1:63826751-63826761(+) tcacaatggta >hg38_chr1:63835776-63835786(+) GGACAATATAG >hg38_chr1:63847788-63847798(-) AAACAAAGGTG >hg38_chr1:63852440-63852450(-) acacaatagcc >hg38_chr1:63863704-63863714(-) atacaatGGCA >hg38_chr1:63863709-63863719(-) atacaatacaa >hg38_chr1:63863714-63863724(-) atacaatacaa >hg38_chr1:63863719-63863729(-) atacaatacaa >hg38_chr1:63863724-63863734(-) atacaatacaa >hg38_chr1:63863729-63863739(-) atacaatacaa >hg38_chr1:63863734-63863744(-) atacaatacaa >hg38_chr1:63863739-63863749(-) atacaatacaa >hg38_chr1:63863744-63863754(-) atacaatacaa >hg38_chr1:63863749-63863759(-) atacaatacaa >hg38_chr1:63863754-63863764(-) atacaatacaa >hg38_chr1:63863759-63863769(-) atacaatacaa >hg38_chr1:63872881-63872891(+) CCACAATAGCA >hg38_chr1:63910314-63910324(+) AGACAATGTCC >hg38_chr1:63910331-63910341(+) GTACAATGGAC >hg38_chr1:63910338-63910348(-) GAACAATGTCC >hg38_chr1:63994554-63994564(+) AGACAATGGAG >hg38_chr1:64010563-64010573(+) ttacagtggta >hg38_chr1:64010587-64010597(-) Tgacaatgact >hg38_chr1:64010621-64010631(-) AGACAATAGAG >hg38_chr1:64044412-64044422(+) agacaatggca >hg38_chr1:64046395-64046405(-) GAACAAAGGAG >hg38_chr1:64087367-64087377(+) ACACAATGGAT >hg38_chr1:64087378-64087388(-) ACACAATCGCC >hg38_chr1:64102563-64102573(-) atacagtggac >hg38_chr1:64102576-64102586(-) gcacaatgctg >hg38_chr1:64112234-64112244(-) CCACAATGGAA >hg38_chr1:64112250-64112260(-) TCACAATAGCA >hg38_chr1:64137252-64137262(-) GCACAATATAC >hg38_chr1:64161142-64161152(-) tgataatggat >hg38_chr1:64161195-64161205(-) gtacaatagta >hg38_chr1:64172606-64172616(-) GTACAAAGGAA >hg38_chr1:64209950-64209960(+) ATACAATTGAC >hg38_chr1:64209968-64209978(-) GGACAATGTGA >hg38_chr1:64217366-64217376(+) AGACAATGTAT >hg38_chr1:64219073-64219083(-) aaacaaaggag >hg38_chr1:64231233-64231243(+) AAACAATGGAG >hg38_chr1:64231243-64231253(-) GCACAATCGTC >hg38_chr1:64235248-64235258(-) TAACAATGGCC >hg38_chr1:64282687-64282697(+) ATACAAAGGCA >hg38_chr1:64329656-64329666(+) gaacaatgccc >hg38_chr1:64329710-64329720(+) ggacaatgcct >hg38_chr1:64343556-64343566(+) atacaaTGCTG >hg38_chr1:64412915-64412925(-) cgacaatgatt >hg38_chr1:64417614-64417624(-) AAACAATAGTG >hg38_chr1:64472970-64472980(-) TGACAATGTGT >hg38_chr1:64473128-64473138(-) CCACAATGAGG >hg38_chr1:64488522-64488532(-) GAATAATGGAG >hg38_chr1:64488544-64488554(-) GCACAATGTGT >hg38_chr1:64492381-64492391(-) AAACAATAGGG >hg38_chr1:64494205-64494215(+) CAACAATGGTG >hg38_chr1:64502358-64502368(-) GGACAATGGGG >hg38_chr1:64514479-64514489(-) TTACAATGTTA >hg38_chr1:64514487-64514497(+) TAACAAAGGAA >hg38_chr1:64517335-64517345(+) agacaatagac >hg38_chr1:64518901-64518911(-) ACACAATAGGT >hg38_chr1:64540618-64540628(-) GAACAAAGGCA >hg38_chr1:64541214-64541224(+) ACACAATGCTT >hg38_chr1:64541245-64541255(-) ACACAGTGGAT >hg38_chr1:64542376-64542386(-) TAACAAAGGGA >hg38_chr1:64572398-64572408(-) AAACAAAGGGA >hg38_chr1:64581793-64581803(-) CGACAATGACT >hg38_chr1:64581816-64581826(+) ACACAATGCCC >hg38_chr1:64633113-64633123(-) ggacaatggca >hg38_chr1:64664581-64664591(-) GGACAATGTAA >hg38_chr1:64683555-64683565(-) AGACAATGGGA >hg38_chr1:64695814-64695824(-) GAACAATGCTT >hg38_chr1:64712298-64712308(+) caacaaaggac >hg38_chr1:64743178-64743188(+) aaaccatggta >hg38_chr1:64750644-64750654(+) TAACAATATAT >hg38_chr1:64757172-64757182(-) aaacaaaggac >hg38_chr1:64764102-64764112(-) gtacaatattc >hg38_chr1:64770229-64770239(+) tgacaaCGgga >hg38_chr1:64786992-64787002(+) gaacaatgcca >hg38_chr1:64877525-64877535(+) CTACAATGCCT >hg38_chr1:64887077-64887087(+) TTACAATAGAA >hg38_chr1:64901900-64901910(+) acacaatgtcc >hg38_chr1:64906630-64906640(-) AGACAatgata >hg38_chr1:64912587-64912597(+) taacaatgcta >hg38_chr1:64933308-64933318(+) CAACAATGGCC >hg38_chr1:64947480-64947490(-) CCACAATGAGG >hg38_chr1:64960908-64960918(+) taacaatgcaa >hg38_chr1:64960938-64960948(+) tgacaatggtg >hg38_chr1:64964855-64964865(-) GTACAATATGC >hg38_chr1:64998350-64998360(-) GTACAAAGGGT >hg38_chr1:65021008-65021018(+) atacaaagggg >hg38_chr1:65108593-65108603(+) gaacaataaag >hg38_chr1:65142540-65142550(+) TGACAATGATG >hg38_chr1:65142568-65142578(+) GAACAATGGGG >hg38_chr1:65164477-65164487(-) ccacaatgaaa >hg38_chr1:65164830-65164840(-) aaacaatggct >hg38_chr1:65167621-65167631(+) TAACAATGAAT >hg38_chr1:65208507-65208517(+) GAACAATGGCT >hg38_chr1:65230181-65230191(-) TAACAATGGAA >hg38_chr1:65268433-65268443(+) TAACAATAAGA >hg38_chr1:65275399-65275409(-) agacaatggga >hg38_chr1:65279285-65279295(-) TAACACTGGTT >hg38_chr1:65294114-65294124(+) TGATAATGGTT >hg38_chr1:65295095-65295105(-) ACACAATGCCT >hg38_chr1:65312274-65312284(+) TTACAATGATT >hg38_chr1:65349314-65349324(+) atacaatgttg >hg38_chr1:65350483-65350493(-) gaacaataaaa >hg38_chr1:65350522-65350532(-) atacaataaaa >hg38_chr1:65350536-65350546(-) tcacaatgttt >hg38_chr1:65381850-65381860(+) taacaatgatg >hg38_chr1:65420339-65420349(-) AGACAATGCAG >hg38_chr1:65421130-65421140(-) AGACAAAGGGT >hg38_chr1:65425906-65425916(-) caacaatggtt >hg38_chr1:65431671-65431681(-) TAATAATGTAA >hg38_chr1:65433017-65433027(+) AGACAATGCTG >hg38_chr1:65445096-65445106(-) acataatggat >hg38_chr1:65445109-65445119(+) ggacaataggt >hg38_chr1:65458809-65458819(-) gtataatggga >hg38_chr1:65459601-65459611(+) taacaaaggga >hg38_chr1:65470164-65470174(+) AAACAATAGCA >hg38_chr1:65509827-65509837(-) ATACAAAGGAA >hg38_chr1:65534263-65534273(-) gaacaatagaa >hg38_chr1:65635323-65635333(-) ATACAATGTAA >hg38_chr1:65663773-65663783(+) tcacaatagtt >hg38_chr1:65677796-65677806(+) taacaatgcac >hg38_chr1:65729307-65729317(+) TAATAATGGGG >hg38_chr1:65775451-65775461(-) taacaatgctt >hg38_chr1:65783697-65783707(+) ttacaatagat >hg38_chr1:65788479-65788489(-) tgacaatgggc >hg38_chr1:65803474-65803484(+) GAACAATAAAA >hg38_chr1:65881638-65881648(+) CCACAATGGGC >hg38_chr1:65889760-65889770(-) GTACAATGTAG >hg38_chr1:65889799-65889809(-) ACACAATGACT >hg38_chr1:65893113-65893123(-) AAACAATGCAG >hg38_chr1:65896923-65896933(-) ACACAATAGAA >hg38_chr1:65906885-65906895(-) ACATAATGGCA >hg38_chr1:65906903-65906913(-) GAACAATAAGT >hg38_chr1:65910252-65910262(-) TGACAATGGAG >hg38_chr1:65910634-65910644(+) caacaatggga >hg38_chr1:65911909-65911919(+) GTACAATGCCA >hg38_chr1:65917646-65917656(+) GCACAATGTCT >hg38_chr1:65922210-65922220(+) AAACAATGGAG >hg38_chr1:65926673-65926683(-) GTACAAAGGAA >hg38_chr1:65951163-65951173(-) GAACAAAGGTA >hg38_chr1:65951178-65951188(+) ATATAATGGTT >hg38_chr1:65979346-65979356(-) ACACAATGGTA >hg38_chr1:65994364-65994374(+) TGACAATGGAC >hg38_chr1:65994380-65994390(+) ATATAATGGCT >hg38_chr1:66008338-66008348(-) TGACAATGCTa >hg38_chr1:66033327-66033337(+) ACACAATGCTC >hg38_chr1:66036583-66036593(-) acacaatgaga >hg38_chr1:66039852-66039862(-) TAACAATGAGT >hg38_chr1:66069146-66069156(-) ACACAATAGAG >hg38_chr1:66080145-66080155(+) GAATAATGGGA >hg38_chr1:66082269-66082279(-) GAACAAAGGAG >hg38_chr1:66123919-66123929(+) taacaatacat >hg38_chr1:66149145-66149155(-) taacaaaggca >hg38_chr1:66150704-66150714(-) atataatggaa >hg38_chr1:66151728-66151738(-) ttacaataggt >hg38_chr1:66169499-66169509(-) CAACAAAGGCG >hg38_chr1:66181079-66181089(-) GCACAATGGTA >hg38_chr1:66181524-66181534(+) GGACAATAGAC >hg38_chr1:66205694-66205704(+) caacaatgttg >hg38_chr1:66244417-66244427(+) AAATAATGGCC >hg38_chr1:66278784-66278794(+) AAACAATGAAT >hg38_chr1:66292218-66292228(+) CTACAATAGTa >hg38_chr1:66298141-66298151(+) GAACAATATAC >hg38_chr1:66305097-66305107(+) agacaatggaa >hg38_chr1:66305111-66305121(+) agataatggga >hg38_chr1:66325400-66325410(-) gaacaatacaC >hg38_chr1:66352450-66352460(+) TGACAATGTAT >hg38_chr1:66352710-66352720(-) aaacaaaggaa >hg38_chr1:66353442-66353452(-) CAACAATAGCT >hg38_chr1:66356863-66356873(-) TGACAAAGGCG >hg38_chr1:66356876-66356886(+) GAACAAAGGAG >hg38_chr1:66374371-66374381(-) TCACAATGCTG >hg38_chr1:66498079-66498089(+) tgataatggat >hg38_chr1:66502993-66503003(+) atacaatagag >hg38_chr1:66503222-66503232(+) aaacaaaggga >hg38_chr1:66503477-66503487(+) GGACAATAATA >hg38_chr1:66504067-66504077(-) TGACAATGGCT >hg38_chr1:66532595-66532605(-) TTACAATAGAG >hg38_chr1:66540626-66540636(+) GTACAGTGGCA >hg38_chr1:66543612-66543622(-) GAACAATGACC >hg38_chr1:66543635-66543645(+) ATACAATGTGA >hg38_chr1:66552496-66552506(-) GAACAAAGGCA >hg38_chr1:66575877-66575887(-) TAACAAAGGCA >hg38_chr1:66587987-66587997(+) agacaataaca >hg38_chr1:66596104-66596114(-) atacaatacac >hg38_chr1:66600102-66600112(-) GAACAAAGGCA >hg38_chr1:66624658-66624668(-) AAACAATGACA >hg38_chr1:66624670-66624680(-) GAACAAAGGGA >hg38_chr1:66624841-66624851(+) TAACTATGGAA >hg38_chr1:66658739-66658749(-) GAACAAAGGTA >hg38_chr1:66677361-66677371(-) GTACAATGAAT >hg38_chr1:66705613-66705623(+) AAACAATGGCA >hg38_chr1:66713143-66713153(+) tgacaatggca >hg38_chr1:66730629-66730639(-) gaacaaaggag >hg38_chr1:66746541-66746551(+) ATACaataaaa >hg38_chr1:66748782-66748792(-) CCACAATGAAC >hg38_chr1:66750366-66750376(+) GAACAATGCAA >hg38_chr1:66777955-66777965(-) TAACAATAATT >hg38_chr1:66796329-66796339(+) TAACAATGACT >hg38_chr1:66809855-66809865(+) TAACAATGTTT >hg38_chr1:66819459-66819469(-) GGACAATAGAT >hg38_chr1:66846999-66847009(+) TGACAATGGAA >hg38_chr1:66879115-66879125(-) ctataatggtg >hg38_chr1:66879389-66879399(-) atataatggaa >hg38_chr1:66879405-66879415(-) aaacaatagca >hg38_chr1:66923605-66923615(-) atacaatggct >hg38_chr1:66928571-66928581(-) GAACAATGGAT >hg38_chr1:66935875-66935885(-) aaacaatagaa >hg38_chr1:66938367-66938377(-) GAACACTGGAA >hg38_chr1:66938789-66938799(+) AGACAATGCTA >hg38_chr1:66957673-66957683(-) GAACAATGAGA >hg38_chr1:66977611-66977621(-) AGACAATAAAT >hg38_chr1:66978397-66978407(+) GGACAATGGAG >hg38_chr1:66978421-66978431(-) GAATAATGGGG >hg38_chr1:67039097-67039107(+) TAACAAAGGGA >hg38_chr1:67051296-67051306(+) TTACAATGCCA >hg38_chr1:67072799-67072809(-) tgacaatagca >hg38_chr1:67085464-67085474(-) GAACAATAAAT >hg38_chr1:67122815-67122825(-) aaacaatggca >hg38_chr1:67135534-67135544(+) ACATAATGGAG >hg38_chr1:67137385-67137395(-) caacaatgcta >hg38_chr1:67137398-67137408(+) tgacaatgcac >hg38_chr1:67137442-67137452(-) acacaatgatt >hg38_chr1:67138547-67138557(-) AAACAAAGGGA >hg38_chr1:67149045-67149055(-) CTACAATGTTC >hg38_chr1:67160976-67160986(-) AAACAATAGCT >hg38_chr1:67161014-67161024(+) aaacaataata >hg38_chr1:67176120-67176130(+) caacaatggtt >hg38_chr1:67191475-67191485(-) tgacaatgcag >hg38_chr1:67191481-67191491(-) agacaatgaca >hg38_chr1:67191564-67191574(-) gaacaaaggga >hg38_chr1:67194324-67194334(-) caacaatgtga >hg38_chr1:67256215-67256225(+) aaacaatggta >hg38_chr1:67256237-67256247(+) gaacaaaggga >hg38_chr1:67256397-67256407(+) gaacaatagtg >hg38_chr1:67288294-67288304(-) TGACAATAGAA >hg38_chr1:67326647-67326657(-) TGACAATACGT >hg38_chr1:67399426-67399436(-) TCACAATGGTG >hg38_chr1:67400706-67400716(-) TAACaatggag >hg38_chr1:67400728-67400738(+) TAACAataact >hg38_chr1:67404882-67404892(+) TAATAATGGAA >hg38_chr1:67414153-67414163(-) GAACAATGCGA >hg38_chr1:67427701-67427711(+) GAACAATAGTT >hg38_chr1:67458834-67458844(+) TAACAATAGGT >hg38_chr1:67461923-67461933(+) agacaatgtca >hg38_chr1:67511817-67511827(-) AGACAAAGGTA >hg38_chr1:67514700-67514710(+) agacaatggag >hg38_chr1:67515845-67515855(+) agacaatggct >hg38_chr1:67524408-67524418(-) TGACAATAGCT >hg38_chr1:67587082-67587092(-) ggacaatggta >hg38_chr1:67613393-67613403(+) TAACAAAGGGG >hg38_chr1:67626817-67626827(+) tcacaatggat >hg38_chr1:67630828-67630838(+) acacaatgcaa >hg38_chr1:67630869-67630879(+) tcacaatgtgg >hg38_chr1:67642919-67642929(-) ACACAATGGGA >hg38_chr1:67644299-67644309(-) gcacaatggat >hg38_chr1:67644312-67644322(+) gaacaataatt >hg38_chr1:67646033-67646043(+) GCACAAAGGGA >hg38_chr1:67646549-67646559(+) GAACAATGCCA >hg38_chr1:67646935-67646945(+) GAACAATGTGG >hg38_chr1:67646948-67646958(+) GGACAAAGGGA >hg38_chr1:67663108-67663118(-) tgaCaatagta >hg38_chr1:67663437-67663447(+) ACACAAAGGTT >hg38_chr1:67664310-67664320(-) TAACAATGGTC >hg38_chr1:67670200-67670210(-) ttacaatgcag >hg38_chr1:67680502-67680512(+) gaacagtggga >hg38_chr1:67680531-67680541(-) ctacaataggt >hg38_chr1:67685187-67685197(-) GGACAAAGGGC >hg38_chr1:67722381-67722391(+) AAACAATGAAT >hg38_chr1:67725130-67725140(+) ACACAATGCTC >hg38_chr1:67750396-67750406(-) TTACAATGCCT >hg38_chr1:67754322-67754332(-) caacaataggc >hg38_chr1:67775008-67775018(-) CAACAATAGTa >hg38_chr1:67775020-67775030(-) TAACAATAACA >hg38_chr1:67785143-67785153(-) AAACAATATAG >hg38_chr1:67813433-67813443(-) gaacaatgttg >hg38_chr1:67814460-67814470(+) TCACAATAATA >hg38_chr1:67814474-67814484(+) AGACAATGAAG >hg38_chr1:67832051-67832061(-) GTATAATGAAT >hg38_chr1:67837854-67837864(+) ttacaaaggtt >hg38_chr1:67850176-67850186(-) AAACAAAGGGA >hg38_chr1:67883214-67883224(-) gtacaatgcct >hg38_chr1:67889976-67889986(+) tgacaatgaaa >hg38_chr1:67892907-67892917(-) gcacaatggtg >hg38_chr1:67894708-67894718(+) gaacaatgaat >hg38_chr1:67973233-67973243(+) TTACAATATAA >hg38_chr1:67973273-67973283(-) GAACAATGTGG >hg38_chr1:67973298-67973308(+) TTACAATGTAT >hg38_chr1:67979446-67979456(+) GCACAATAGAT >hg38_chr1:67990399-67990409(+) TAATAATGGCA >hg38_chr1:68015927-68015937(-) atataatgaac >hg38_chr1:68053877-68053887(-) gtacaatgact >hg38_chr1:68103176-68103186(+) GAACAATAGTT >hg38_chr1:68104351-68104361(-) GCACAATGACC >hg38_chr1:68107885-68107895(+) ATACAATGCAG >hg38_chr1:68116817-68116827(-) AAACAATGGCT >hg38_chr1:68160761-68160771(+) AAACAAAGGCT >hg38_chr1:68173509-68173519(-) TGACAATGCAG >hg38_chr1:68174472-68174482(+) AGATAATGGAC >hg38_chr1:68207296-68207306(+) atacaatgaaa >hg38_chr1:68211999-68212009(+) TTACAAAGGAG >hg38_chr1:68212026-68212036(-) ATACAAAGGGT >hg38_chr1:68212224-68212234(+) CGACAAAGGAT >hg38_chr1:68215825-68215835(+) CAACAATAGCA >hg38_chr1:68217371-68217381(+) ATACAATGGAC >hg38_chr1:68218807-68218817(+) AGACAGTGGAA >hg38_chr1:68219578-68219588(+) CCACAATAGGT >hg38_chr1:68224838-68224848(-) AAACAAAGGGA >hg38_chr1:68224865-68224875(+) GGACAATGGGA >hg38_chr1:68228260-68228270(+) AAACAATGCAA >hg38_chr1:68232065-68232075(+) GCACAATAGCC >hg38_chr1:68276634-68276644(+) AGATAATGGAC >hg38_chr1:68296845-68296855(-) CAACAATAGGC >hg38_chr1:68313898-68313908(-) GGACAATGATC >hg38_chr1:68314369-68314379(-) GAACAATGGGA >hg38_chr1:68321461-68321471(-) GAACAATGACA >hg38_chr1:68323476-68323486(+) AAACAATGTTA >hg38_chr1:68331298-68331308(-) CAACAATGGCT >hg38_chr1:68331332-68331342(+) TAACAATGTTT >hg38_chr1:68338093-68338103(+) agacaatgagc >hg38_chr1:68338106-68338116(-) ctacaatgccc >hg38_chr1:68355803-68355813(+) agacaatggcc >hg38_chr1:68365941-68365951(+) GAACAATAGGA >hg38_chr1:68425606-68425616(-) agacaatggca >hg38_chr1:68438900-68438910(+) GAACAATGGGA >hg38_chr1:68438923-68438933(-) GGACACTGGAC >hg38_chr1:68438973-68438983(-) AGACAATGGGT >hg38_chr1:68545184-68545194(-) GAACAATAGCC >hg38_chr1:68563622-68563632(-) ttacaataaat >hg38_chr1:68598980-68598990(-) acacaatatac >hg38_chr1:68600154-68600164(-) GGACAATAGAG >hg38_chr1:68615524-68615534(+) GTACAATGCAC >hg38_chr1:68814032-68814042(+) GAATAATGGGG >hg38_chr1:68814042-68814052(+) GAACAAAGGAT >hg38_chr1:68814883-68814893(+) ggacaaagacg >hg38_chr1:68933866-68933876(+) GAACAATAAAA >hg38_chr1:68950206-68950216(-) ACACAAAGGAT >hg38_chr1:68970706-68970716(+) CAACAATGGTT >hg38_chr1:68970763-68970773(-) CAACAATGTGT >hg38_chr1:68972925-68972935(-) ATACAATAGAT >hg38_chr1:69055819-69055829(+) TAACAATGGGA >hg38_chr1:69077329-69077339(+) ggacaaaggca >hg38_chr1:69077354-69077364(+) aaacaaaggta >hg38_chr1:69077971-69077981(-) cgacaataaat >hg38_chr1:69081811-69081821(+) AGACAATGTAA >hg38_chr1:69082452-69082462(-) CTACAATGACA >hg38_chr1:69191523-69191533(+) GAACAATGTAC >hg38_chr1:69284667-69284677(-) TGACAATAGAA >hg38_chr1:69316138-69316148(-) tgacaaaggga >hg38_chr1:69316445-69316455(-) tcacgatggta >hg38_chr1:69329740-69329750(-) aaacaataaga >hg38_chr1:69342128-69342138(-) AGATAATGGGG >hg38_chr1:69342156-69342166(-) AAACAAAGGAA >hg38_chr1:69342171-69342181(-) tgacAATGTTT >hg38_chr1:69342191-69342201(-) aaacaatgtta >hg38_chr1:69439759-69439769(+) ACACAATGACT >hg38_chr1:69484082-69484092(-) ATACAGTGGTC >hg38_chr1:69484123-69484133(+) TAACAATGAAT >hg38_chr1:69490366-69490376(+) agacaatggca >hg38_chr1:69490377-69490387(+) atacaatggag >hg38_chr1:69494594-69494604(+) AAACAATGCCT >hg38_chr1:69494608-69494618(+) AAACAATGCCT >hg38_chr1:69506677-69506687(-) CAACaatgcta >hg38_chr1:69506725-69506735(-) TCACAATAGAG >hg38_chr1:69515835-69515845(+) gaacaataaga >hg38_chr1:69533587-69533597(-) taacaatgcat >hg38_chr1:69564215-69564225(+) GCATAATGGGT >hg38_chr1:69569347-69569357(-) ACACAAAGGAG >hg38_chr1:69570142-69570152(+) GCACAATAGAG >hg38_chr1:69572494-69572504(+) CAACAATGTTT >hg38_chr1:69573896-69573906(+) TAACAATGGAG >hg38_chr1:69573914-69573924(-) AAACAATGTAA >hg38_chr1:69614253-69614263(+) TAACAATGGAA >hg38_chr1:69628743-69628753(+) agacaatgttg >hg38_chr1:69639277-69639287(-) atacaatacaa >hg38_chr1:69660442-69660452(+) CAACAATGAAT >hg38_chr1:69769053-69769063(+) CAACAATAGAA >hg38_chr1:69769127-69769137(+) gtacaatgtaa >hg38_chr1:69777383-69777393(+) TTACAATGCCT >hg38_chr1:69803015-69803025(-) acacaatgttg >hg38_chr1:69803027-69803037(-) aaacaaaggac >hg38_chr1:69817890-69817900(-) aaacaatgctt >hg38_chr1:69837197-69837207(-) TGACAATGCTG >hg38_chr1:69845738-69845748(-) TTACAATGGCA >hg38_chr1:69845755-69845765(-) TGACAATGGAA >hg38_chr1:69846369-69846379(-) ATACAATGGTG >hg38_chr1:69846406-69846416(+) AAACAATAGCT >hg38_chr1:69918193-69918203(+) ctacaatgcac >hg38_chr1:69929825-69929835(-) gcacaatgtag >hg38_chr1:69989927-69989937(-) tgacaatgaag >hg38_chr1:70052195-70052205(-) GTACAATGGTA >hg38_chr1:70118785-70118795(+) GAACAATGGGG >hg38_chr1:70134888-70134898(-) AAACAATGGTG >hg38_chr1:70144165-70144175(+) GTACAATGTGA >hg38_chr1:70160732-70160742(-) agacaaTAGAC >hg38_chr1:70171157-70171167(+) aaacaatgaaa >hg38_chr1:70181984-70181994(+) CAACAATGAAT >hg38_chr1:70191326-70191336(-) GTATAATGGCC >hg38_chr1:70210031-70210041(-) AGACAATGCAC >hg38_chr1:70222270-70222280(-) TAACAATAAGT >hg38_chr1:70238497-70238507(+) ATACAATGACT >hg38_chr1:70250851-70250861(-) ACACAAAGGAA >hg38_chr1:70253110-70253120(+) ATACAATGAGC >hg38_chr1:70266362-70266372(-) gaacaatgata >hg38_chr1:70280561-70280571(+) atacaatatag >hg38_chr1:70280618-70280628(+) cgacaatgact >hg38_chr1:70289900-70289910(+) CAACAATGGGA >hg38_chr1:70297177-70297187(+) TTACAATAGTT >hg38_chr1:70318024-70318034(-) ATACAGTGGTT >hg38_chr1:70349701-70349711(+) gtacaataaag >hg38_chr1:70363614-70363624(-) taacagtggag >hg38_chr1:70374020-70374030(+) tgacaATGTAT >hg38_chr1:70410689-70410699(-) TTACGATGACG >hg38_chr1:70426716-70426726(-) AGACAATGCCA >hg38_chr1:70512034-70512044(-) ATACAATGTAT >hg38_chr1:70514622-70514632(+) GAACAATGGCT >hg38_chr1:70518997-70519007(-) ATACAATAACT >hg38_chr1:70546800-70546810(-) TGACAAAGGAT >hg38_chr1:70566406-70566416(-) taataatgggc >hg38_chr1:70685443-70685453(-) atacaataagt >hg38_chr1:70700010-70700020(-) GGACAATGCCT >hg38_chr1:70711266-70711276(+) acacaatgtcc >hg38_chr1:70743628-70743638(+) acacaatagca >hg38_chr1:70839076-70839086(-) CCACAATGATA >hg38_chr1:70842724-70842734(-) ATACAAAGGCT >hg38_chr1:70848353-70848363(-) ACACAATAGGG >hg38_chr1:70859263-70859273(+) TAACAATACAA >hg38_chr1:70899283-70899293(+) atacaatgtaa >hg38_chr1:70899319-70899329(+) acactatggta >hg38_chr1:70900337-70900347(+) ATACAATAGGG >hg38_chr1:71022463-71022473(+) ACACAATGCAG >hg38_chr1:71043236-71043246(+) TGACAATGTGA >hg38_chr1:71050042-71050052(-) AGACAATATAG >hg38_chr1:71074613-71074623(+) tcacaatgtac >hg38_chr1:71086629-71086639(+) gtacaatggat >hg38_chr1:71090003-71090013(-) TCACAATGAAC >hg38_chr1:71113719-71113729(-) gtacaatgcaa >hg38_chr1:71149045-71149055(-) aaataatggaa >hg38_chr1:71177620-71177630(-) AGACAATGTTA >hg38_chr1:71264804-71264814(+) TTACAATGGAT >hg38_chr1:71267098-71267108(+) agataatggaa >hg38_chr1:71297653-71297663(+) TAACAATGCTA >hg38_chr1:71303227-71303237(-) GCACAATGGAA >hg38_chr1:71303246-71303256(-) AAACAATGGTG >hg38_chr1:71308508-71308518(+) aaacaataggt >hg38_chr1:71308529-71308539(-) gcacaatgaaa >hg38_chr1:71311521-71311531(+) AAATAATGGCC >hg38_chr1:71393511-71393521(+) agacaatgaga >hg38_chr1:71414829-71414839(-) GCACAATGAAG >hg38_chr1:71422310-71422320(+) ACACAATGGCA >hg38_chr1:71422323-71422333(-) TAACAATAGAC >hg38_chr1:71423963-71423973(-) tcacaatagtc >hg38_chr1:71429626-71429636(-) GAACAATGAGG >hg38_chr1:71444062-71444072(-) ACACAATGCTT >hg38_chr1:71453048-71453058(+) AGACTATGGAA >hg38_chr1:71470725-71470735(+) AAACAATGTGT >hg38_chr1:71489830-71489840(-) TTACAAAGGTT >hg38_chr1:71489855-71489865(-) GAACAATGTAG >hg38_chr1:71492953-71492963(-) tcacaatggag >hg38_chr1:71529782-71529792(-) TCACAATGAAT >hg38_chr1:71530747-71530757(+) ACATAATGGCT >hg38_chr1:71593093-71593103(+) TGATAATGGTG >hg38_chr1:71639067-71639077(-) ACACAATGGTT >hg38_chr1:71640234-71640244(+) TAACAATAAGA >hg38_chr1:71676426-71676436(+) AAACAATAGAA >hg38_chr1:71676458-71676468(+) GTACAATGAAA >hg38_chr1:71676500-71676510(+) TAACAATGAAC >hg38_chr1:71678198-71678208(-) AAACAATGAGC >hg38_chr1:71754988-71754998(-) ggacaataaat >hg38_chr1:71756736-71756746(+) tgacaatgcta >hg38_chr1:71778257-71778267(+) ttataatggtg >hg38_chr1:71828526-71828536(-) agacaaaggaa >hg38_chr1:71828863-71828873(+) GCACAATGAAC >hg38_chr1:71831634-71831644(+) gcacaatgcaa >hg38_chr1:71853512-71853522(-) AGACAAAGGCA >hg38_chr1:71865968-71865978(-) gaacaatggac >hg38_chr1:71866368-71866378(-) TTATAATGGAT >hg38_chr1:71884282-71884292(-) GAACAATGTTC >hg38_chr1:71884300-71884310(-) GAACAATGGAA >hg38_chr1:71884326-71884336(-) TCACAATGAAT >hg38_chr1:71914039-71914049(+) GAACAATATAA >hg38_chr1:71921374-71921384(+) gaacaatgcag >hg38_chr1:71979981-71979991(-) TAACAATATTC >hg38_chr1:71993720-71993730(-) atacaataatt >hg38_chr1:71993729-71993739(-) atacaatagat >hg38_chr1:71999992-72000002(-) GTACAATGACA >hg38_chr1:72023678-72023688(+) GAACAATAATT >hg38_chr1:72043846-72043856(+) ATACAATACTC >hg38_chr1:72082484-72082494(+) CAACAATGCCA >hg38_chr1:72083952-72083962(+) tgacaatgtac >hg38_chr1:72083959-72083969(+) gtacaataacc >hg38_chr1:72083976-72083986(-) gtataatggtg >hg38_chr1:72084002-72084012(-) ggacaatgaat >hg38_chr1:72127771-72127781(+) TCACAATGGTT >hg38_chr1:72177697-72177707(-) GAACAATAGTA >hg38_chr1:72178007-72178017(+) GGACAATATTA >hg38_chr1:72217206-72217216(-) AAACAAAGGGC >hg38_chr1:72262388-72262398(+) ACACAATGGGA >hg38_chr1:72278444-72278454(-) AAACAATACTA >hg38_chr1:72290910-72290920(+) caacaatgtat >hg38_chr1:72325865-72325875(+) ttacaatggat >hg38_chr1:72325898-72325908(-) gcacaatagtt >hg38_chr1:72361231-72361241(+) ctacaatggga >hg38_chr1:72393466-72393476(+) atacaataaat >hg38_chr1:72411534-72411544(-) tAACAATAGTC >hg38_chr1:72412077-72412087(-) CAACAATGGCA >hg38_chr1:72433073-72433083(-) GAACTATGGCA >hg38_chr1:72442457-72442467(-) CCACAATGACT >hg38_chr1:72459610-72459620(-) TTATAATGGCT >hg38_chr1:72538189-72538199(-) TTATAATGGAA >hg38_chr1:72569273-72569283(+) GTACAAAGGAT >hg38_chr1:72583040-72583050(-) gcacaatgcat >hg38_chr1:72604240-72604250(-) AAACAATGTGA >hg38_chr1:72604854-72604864(-) GAACACTGGGA >hg38_chr1:72619432-72619442(+) ttacaaagggc >hg38_chr1:72642904-72642914(-) ggacaatgcag >hg38_chr1:72642958-72642968(-) TTACAAAGGCA >hg38_chr1:72684076-72684086(+) TCACAATGTTC >hg38_chr1:72684107-72684117(-) GCACAATGCAC >hg38_chr1:72707851-72707861(-) TCATAATGGAA >hg38_chr1:72720837-72720847(-) caacaatagaa >hg38_chr1:72743327-72743337(+) ATACTATGGAC >hg38_chr1:72763720-72763730(-) CAACAATGACC >hg38_chr1:72764960-72764970(+) aaacaatggag >hg38_chr1:72846751-72846761(-) TAACAATAATA >hg38_chr1:72847750-72847760(-) AGACTATGGAA >hg38_chr1:72929243-72929253(-) gtacaatgaaa >hg38_chr1:72942507-72942517(-) TGACAATGAAG >hg38_chr1:72947290-72947300(-) TGATAATGGTA >hg38_chr1:72947296-72947306(+) TATCAATGGAC >hg38_chr1:73065710-73065720(+) GGACAAAGGCA >hg38_chr1:73098104-73098114(-) TGACAAAGGAA >hg38_chr1:73116984-73116994(+) ttacaatgcaa >hg38_chr1:73177145-73177155(+) taacaaaggca >hg38_chr1:73187825-73187835(-) ggacaatggtt >hg38_chr1:73398634-73398644(-) TGACAATCGTC >hg38_chr1:73455064-73455074(+) AAATAATGGAG >hg38_chr1:73511237-73511247(+) acacaatggaa >hg38_chr1:73511260-73511270(+) atacaaaggaa >hg38_chr1:73517877-73517887(-) TTACAATGGAG >hg38_chr1:73604855-73604865(-) GAACAAAGGAT >hg38_chr1:73612057-73612067(-) AGACAATGCCT >hg38_chr1:73612076-73612086(+) AGACAATAGCT >hg38_chr1:73674474-73674484(+) GGACAATGGCA >hg38_chr1:73697707-73697717(-) GAACAATGCAC >hg38_chr1:73702075-73702085(-) AGACAAAGGCA >hg38_chr1:73702742-73702752(-) GCACAATATAA >hg38_chr1:73730355-73730365(-) aaacaatagag >hg38_chr1:73759159-73759169(-) GAACAATGCTT >hg38_chr1:73766863-73766873(-) TTACAATACCG >hg38_chr1:73860316-73860326(-) ACACAATGAAT >hg38_chr1:73916218-73916228(+) TAACAATAAAC >hg38_chr1:73917864-73917874(+) GAACAATGTAA >hg38_chr1:73929759-73929769(+) TGACAATGACT >hg38_chr1:73929793-73929803(-) GAACAATAACT >hg38_chr1:73929802-73929812(-) AAACAATAAGA >hg38_chr1:73943485-73943495(-) TGACAATGCAC >hg38_chr1:73979912-73979922(-) GAACAATAGGC >hg38_chr1:73980519-73980529(-) GAACAATGACC >hg38_chr1:74107275-74107285(-) atacaaAGGAT >hg38_chr1:74107313-74107323(-) aaacaatagca >hg38_chr1:74145290-74145300(+) GAATAATGGGG >hg38_chr1:74176810-74176820(+) ctacaatgggt >hg38_chr1:74180809-74180819(+) aaacaatgagg >hg38_chr1:74181140-74181150(+) CCACAATGGCA >hg38_chr1:74216218-74216228(-) GGACAATGACC >hg38_chr1:74246492-74246502(+) GGACAATGATT >hg38_chr1:74271735-74271745(+) AAACAAAGGTT >hg38_chr1:74326703-74326713(+) ATACAATGACC >hg38_chr1:74338565-74338575(+) GAACAATACAC >hg38_chr1:74340829-74340839(+) GAACAAAGGAA >hg38_chr1:74341013-74341023(+) GAACAATAAAA >hg38_chr1:74341421-74341431(+) GAACAATGATC >hg38_chr1:74341439-74341449(-) TAACAATGGAT >hg38_chr1:74356182-74356192(-) caacaatgtga >hg38_chr1:74356218-74356228(-) atacaatgata >hg38_chr1:74358337-74358347(+) aaacaataaaa >hg38_chr1:74367263-74367273(-) TGACAATGGCA >hg38_chr1:74383685-74383695(+) gtacaatgact >hg38_chr1:74387129-74387139(-) GAACAAAGGTA >hg38_chr1:74387186-74387196(-) GCACAATAGAA >hg38_chr1:74410956-74410966(+) TAACAAAGGGT >hg38_chr1:74412233-74412243(-) GAATAATGGAA >hg38_chr1:74422834-74422844(+) ccacaatgtgc >hg38_chr1:74430622-74430632(-) TAACAATGCAT >hg38_chr1:74467933-74467943(+) AGACAATGAAG >hg38_chr1:74468000-74468010(+) CTACAATGGTT >hg38_chr1:74469137-74469147(-) ACACAATGGAT >hg38_chr1:74476383-74476393(+) tcacaATGATT >hg38_chr1:74477381-74477391(-) tcacaatgaac >hg38_chr1:74477414-74477424(-) AAACAATGGTA >hg38_chr1:74489079-74489089(-) TAACAATAAAG >hg38_chr1:74499072-74499082(+) gaacaaTGGAC >hg38_chr1:74507518-74507528(+) tcacaatgctt >hg38_chr1:74526187-74526197(+) GAACAAAGGAA >hg38_chr1:74526227-74526237(+) ACACAAAGGAG >hg38_chr1:74648578-74648588(+) CAACAATAGTT >hg38_chr1:74648595-74648605(-) ACACAATGGGT >hg38_chr1:74658962-74658972(-) ACACAATAGCA >hg38_chr1:74659657-74659667(-) ACACAATAAAC >hg38_chr1:74679508-74679518(+) TCACAATGGCC >hg38_chr1:74690019-74690029(-) ACACAATGCAC >hg38_chr1:74690052-74690062(+) AAACAATGGAT >hg38_chr1:74733840-74733850(-) taacaatgatt >hg38_chr1:74734908-74734918(-) TAACAATGTGC >hg38_chr1:74734933-74734943(-) CAATAATGGAC >hg38_chr1:74753304-74753314(+) TCACAATAGCC >hg38_chr1:74767202-74767212(-) CTACAATGAAA >hg38_chr1:74772086-74772096(-) AGACAATGTGA >hg38_chr1:74775767-74775777(-) TGACAAAGGAA >hg38_chr1:74778069-74778079(+) ATACAATATCA >hg38_chr1:74779769-74779779(-) atacaatggaa >hg38_chr1:74856332-74856342(+) GTACAAAGGTA >hg38_chr1:74862012-74862022(+) gaacaaaggct >hg38_chr1:74874682-74874692(-) AGACAAAGGGT >hg38_chr1:74954192-74954202(+) ATACAAAGGAG >hg38_chr1:75004164-75004174(+) gaacaatagaa >hg38_chr1:75012360-75012370(+) gaacaaaggca >hg38_chr1:75083425-75083435(-) GAACAATAGGT >hg38_chr1:75133193-75133203(+) AAACAATGGCG >hg38_chr1:75154662-75154672(-) GAACAATAGAT >hg38_chr1:75200902-75200912(-) GGACAATGTAG >hg38_chr1:75202968-75202978(+) GCACAATGTAT >hg38_chr1:75233526-75233536(-) CCACAATGAAG >hg38_chr1:75307727-75307737(-) AGACAATGTAT >hg38_chr1:75502026-75502036(+) AAACAATGCAT >hg38_chr1:75502098-75502108(+) CAACAATAGTT >hg38_chr1:75517354-75517364(+) GCACAATAGAT >hg38_chr1:75531073-75531083(+) ggacaataaca >hg38_chr1:75566046-75566056(+) TAACAATGAAC >hg38_chr1:75583497-75583507(+) GTACAATGAAC >hg38_chr1:75630177-75630187(+) atacaatagct >hg38_chr1:75632496-75632506(-) TTACAATGGAG >hg38_chr1:75640778-75640788(-) ATACAATTGTA >hg38_chr1:75720026-75720036(-) aaacaatagag >hg38_chr1:75724754-75724764(-) GGACAATAATA >hg38_chr1:75726018-75726028(-) ATACAATGCCC >hg38_chr1:75727575-75727585(+) AAACAATAATA >hg38_chr1:75915307-75915317(-) aaacaatgaaa >hg38_chr1:75952036-75952046(+) CAACAATGGAC >hg38_chr1:75963610-75963620(-) CAACAATGCAC >hg38_chr1:75963643-75963653(-) AGACAATGCAG >hg38_chr1:75986673-75986683(-) gaacaatgggg >hg38_chr1:76029568-76029578(-) taacaatatta >hg38_chr1:76029606-76029616(-) aaacaatggtg >hg38_chr1:76066686-76066696(-) taacaaaggca >hg38_chr1:76075157-76075167(+) GAATAATGGGG >hg38_chr1:76089675-76089685(-) CCACAATGTAT >hg38_chr1:76091426-76091436(-) GCACAATGGGG >hg38_chr1:76093842-76093852(-) gaacaataaag >hg38_chr1:76098298-76098308(+) TCACAATGCTT >hg38_chr1:76102680-76102690(-) taacaatgaca >hg38_chr1:76186883-76186893(-) TAACACTGGAC >hg38_chr1:76233103-76233113(-) TAACAATGAAc >hg38_chr1:76238611-76238621(+) agacaataggc >hg38_chr1:76294134-76294144(-) GAACAATGAGA >hg38_chr1:76303610-76303620(-) GGACAATAGCT >hg38_chr1:76307552-76307562(-) AGACAATGTCA >hg38_chr1:76313966-76313976(+) TCACTATGGAT >hg38_chr1:76352230-76352240(+) AAACAATAAGT >hg38_chr1:76352238-76352248(-) AAACAATAACT >hg38_chr1:76352285-76352295(-) TGACAATGTTG >hg38_chr1:76352296-76352306(-) GAACAATGTGT >hg38_chr1:76355410-76355420(-) TCACAATGCAC >hg38_chr1:76390673-76390683(-) ACACAATGGTT >hg38_chr1:76406137-76406147(-) GGACAATGGCT >hg38_chr1:76410688-76410698(-) TATCAATGGTA >hg38_chr1:76410700-76410710(+) GAACAATGGTC >hg38_chr1:76513465-76513475(-) acacaatagag >hg38_chr1:76541256-76541266(+) AAACAATGGGG >hg38_chr1:76548074-76548084(-) TAACAATGGCA >hg38_chr1:76654118-76654128(+) GCACAATGATT >hg38_chr1:76661161-76661171(+) tgacaaaggaa >hg38_chr1:76661169-76661179(+) gaacaaaggca >hg38_chr1:76680077-76680087(+) ACACAAAGGAA >hg38_chr1:76711993-76712003(+) AGACAATGGCC >hg38_chr1:76756316-76756326(-) gaacaaaggaa >hg38_chr1:76756705-76756715(+) ATACAATAAAG >hg38_chr1:76771297-76771307(+) GCACAATAGGA >hg38_chr1:76835494-76835504(-) gaacaatggta >hg38_chr1:76866023-76866033(-) AAACAAAGGAC >hg38_chr1:76866060-76866070(+) ATACAATAAAG >hg38_chr1:76892219-76892229(+) TTACTATGGAC >hg38_chr1:76892637-76892647(+) CGACAATGCCA >hg38_chr1:76905327-76905337(-) AGACAATAAGA >hg38_chr1:76905377-76905387(+) TAACAATGATT >hg38_chr1:76920698-76920708(+) GAACAATGGCT >hg38_chr1:76921552-76921562(+) ggataatggga >hg38_chr1:76934306-76934316(-) ATACAATTGTA >hg38_chr1:76997317-76997327(+) GAACAATGGTT >hg38_chr1:77013311-77013321(+) GGACAATGGAA >hg38_chr1:77016637-77016647(-) ACACAATgaaa >hg38_chr1:77016973-77016983(+) TAACAATGGCA >hg38_chr1:77048409-77048419(-) CGACAATGCAC >hg38_chr1:77064466-77064476(+) CTACAATAGCA >hg38_chr1:77065858-77065868(+) ATACAATGCCA >hg38_chr1:77065926-77065936(+) TTACAATAGAT >hg38_chr1:77074774-77074784(-) taacaataaac >hg38_chr1:77091872-77091882(+) tcacaatgtat >hg38_chr1:77091906-77091916(-) ttacaatgtat >hg38_chr1:77103147-77103157(+) atacaatatca >hg38_chr1:77103163-77103173(-) caataatggaa >hg38_chr1:77142283-77142293(-) atacaatgcca >hg38_chr1:77142295-77142305(-) atacaatgagc >hg38_chr1:77149638-77149648(+) atacaataata >hg38_chr1:77164845-77164855(+) ccacaatgatt >hg38_chr1:77183147-77183157(-) taacaatagac >hg38_chr1:77200252-77200262(+) CTACAATGTAT >hg38_chr1:77201072-77201082(+) AAACAATGTCC >hg38_chr1:77209145-77209155(-) AAACAATGGGG >hg38_chr1:77222714-77222724(-) cgacaatggga >hg38_chr1:77239550-77239560(+) TAACAATGAAA >hg38_chr1:77239592-77239602(+) CAACAATGAAA >hg38_chr1:77271282-77271292(-) GTACAAAGGGC >hg38_chr1:77321073-77321083(+) gaacaatagac >hg38_chr1:77321837-77321847(-) agacaatatta >hg38_chr1:77335164-77335174(+) ACACAATGAAT >hg38_chr1:77335187-77335197(+) ATACAAAGGCA >hg38_chr1:77345673-77345683(-) AAACAATGGTG >hg38_chr1:77345690-77345700(-) GGACAATGTCT >hg38_chr1:77349141-77349151(+) AAACAATAGCT >hg38_chr1:77382825-77382835(-) TAACAATAACA >hg38_chr1:77388064-77388074(-) TCATAATGGAT >hg38_chr1:77388110-77388120(-) TGACAATGGAA >hg38_chr1:77394594-77394604(+) gaacaatgaac >hg38_chr1:77402236-77402246(-) ATACAAAGGGT >hg38_chr1:77405245-77405255(-) atacaatagtg >hg38_chr1:77412909-77412919(-) AGACAAAGGTT >hg38_chr1:77417222-77417232(-) CAATAATGGAA >hg38_chr1:77419190-77419200(+) CCACAATGTAC >hg38_chr1:77419530-77419540(-) ctacaatgcct >hg38_chr1:77424696-77424706(+) tgacaatagaa >hg38_chr1:77424722-77424732(+) aaacaaaggga >hg38_chr1:77431892-77431902(+) taacaaaggtg >hg38_chr1:77458277-77458287(-) ggacaatagag >hg38_chr1:77514572-77514582(-) ACACAATAGCT >hg38_chr1:77536863-77536873(+) AAACAATGAAG >hg38_chr1:77563127-77563137(+) CAACAATGGTT >hg38_chr1:77600026-77600036(+) TTACAATGGTT >hg38_chr1:77612359-77612369(-) gtataatggtt >hg38_chr1:77617481-77617491(-) atacaatggaa >hg38_chr1:77635437-77635447(-) AAACAATGAAA >hg38_chr1:77667510-77667520(-) ggacaataaaa >hg38_chr1:77671982-77671992(+) ggacaaaggga >hg38_chr1:77672020-77672030(+) ggacaataaga >hg38_chr1:77682509-77682519(+) AGACTATGGGA >hg38_chr1:77686443-77686453(+) GTACAATATTT >hg38_chr1:77739646-77739656(-) GTATAATGAAT >hg38_chr1:77747998-77748008(+) ATACAATATTT >hg38_chr1:77748203-77748213(-) gaataatggtg >hg38_chr1:77797645-77797655(-) taacaatgaaa >hg38_chr1:77824847-77824857(-) AAACAATAAAT >hg38_chr1:77834816-77834826(-) TAACAATGGAA >hg38_chr1:77838023-77838033(-) AGACAATGAAT >hg38_chr1:77840132-77840142(-) CGACAATAAAA >hg38_chr1:77890476-77890486(-) TGACAATGATC >hg38_chr1:77899913-77899923(-) GTACAATGACC >hg38_chr1:77902228-77902238(+) ACACAATAGGT >hg38_chr1:77902607-77902617(+) ATACAGTGGAA >hg38_chr1:77902658-77902668(-) atacaatgaac >hg38_chr1:77916249-77916259(+) ACATAATGGCA >hg38_chr1:77942176-77942186(+) GAACAAAGGGA >hg38_chr1:77944129-77944139(+) ATACAATGTCA >hg38_chr1:77944947-77944957(-) TGACAATGTTT >hg38_chr1:77945006-77945016(-) AAACAATGTAT >hg38_chr1:77948709-77948719(+) TAACAAAGGTT >hg38_chr1:77948730-77948740(+) ACACAATGAAG >hg38_chr1:77951082-77951092(+) TGACAATAGCA >hg38_chr1:77978285-77978295(+) GTATAATGGGC >hg38_chr1:77986987-77986997(-) GGACACTGGTA >hg38_chr1:78070976-78070986(+) ATACAATATAC >hg38_chr1:78101807-78101817(+) TTACAATGAGC >hg38_chr1:78121966-78121976(+) TCACAAAGGAA >hg38_chr1:78155276-78155286(-) taacaatgcac >hg38_chr1:78237485-78237495(+) AAACAAAGGTT >hg38_chr1:78267087-78267097(+) atataatggta >hg38_chr1:78279974-78279984(+) TCATAATGGTC >hg38_chr1:78304969-78304979(+) gcacaatgccc >hg38_chr1:78304994-78305004(+) acacaatagtt >hg38_chr1:78308131-78308141(+) TGACAATGGGC >hg38_chr1:78318800-78318810(+) acacaatgctg >hg38_chr1:78414219-78414229(+) ttacaatggcc >hg38_chr1:78426560-78426570(+) AAACAATAGGA >hg38_chr1:78426569-78426579(+) GAACAATGACA >hg38_chr1:78428581-78428591(-) TGACAATGCTA >hg38_chr1:78429727-78429737(-) GAACACTGGAC >hg38_chr1:78438526-78438536(+) ATACAAAGGGA >hg38_chr1:78474537-78474547(-) AAACAATAACA >hg38_chr1:78474546-78474556(-) AAACAATGGAA >hg38_chr1:78494069-78494079(+) GAACAATGCCA >hg38_chr1:78497462-78497472(-) TCACAATAGAG >hg38_chr1:78501565-78501575(-) ATACAATAGCT >hg38_chr1:78535516-78535526(+) GCACAATGGTC >hg38_chr1:78537142-78537152(-) ACACAATGAGG >hg38_chr1:78569322-78569332(-) AAATAATGGCC >hg38_chr1:78591173-78591183(+) GATCAATGGTA >hg38_chr1:78617670-78617680(-) tgacaaaggaa >hg38_chr1:78635653-78635663(-) GAACAATAGTC >hg38_chr1:78636850-78636860(+) ATATAATGGCA >hg38_chr1:78657771-78657781(-) taacaataaaa >hg38_chr1:78671460-78671470(+) tgacaatggag >hg38_chr1:78671487-78671497(-) acacaataata >hg38_chr1:78671971-78671981(-) ttacaataaaa >hg38_chr1:78677189-78677199(+) GTACAATGGAC >hg38_chr1:78681843-78681853(-) GAACAATGCCC >hg38_chr1:78714192-78714202(-) TTATAATGGAG >hg38_chr1:78718840-78718850(+) CAATAATGGAT >hg38_chr1:78719667-78719677(-) TGACAATGATC >hg38_chr1:78736610-78736620(-) TCACAATGTGA >hg38_chr1:78744425-78744435(+) TGACAATGTAT >hg38_chr1:78744477-78744487(-) TCACAATGGAG >hg38_chr1:78782189-78782199(+) gaacaatataa >hg38_chr1:78797404-78797414(-) agacaatgaat >hg38_chr1:78802517-78802527(+) ATACAATGTTA >hg38_chr1:78815400-78815410(+) ATACAATGGTG >hg38_chr1:78815444-78815454(-) atacaattgat >hg38_chr1:78856235-78856245(-) GCACAATAATA >hg38_chr1:78856299-78856309(-) TAACAATGTAA >hg38_chr1:78858339-78858349(+) ttacaaaggag >hg38_chr1:78860183-78860193(-) GGACAATAGCC >hg38_chr1:78871481-78871491(-) agacaatggaa >hg38_chr1:79072662-79072672(+) AAACAATGGAA >hg38_chr1:79077145-79077155(+) AAACAATGATG >hg38_chr1:79132292-79132302(+) agacaaaggaa >hg38_chr1:79145922-79145932(+) AAACAATAGTG >hg38_chr1:79172555-79172565(-) AGACTATGGTT >hg38_chr1:79172581-79172591(-) AAACGATGGTT >hg38_chr1:79188477-79188487(+) GGATAATGGCA >hg38_chr1:79193577-79193587(-) CTACAAAGGAA >hg38_chr1:79198966-79198976(-) TAACAATGTGT >hg38_chr1:79213816-79213826(-) TCACAATATAC >hg38_chr1:79214029-79214039(+) GAACAATGTGT >hg38_chr1:79237654-79237664(+) gaataatggtt >hg38_chr1:79237679-79237689(+) GCACAATCGGT >hg38_chr1:79248459-79248469(-) taataatgtat >hg38_chr1:79260124-79260134(+) TCACAATAGAA >hg38_chr1:79304123-79304133(+) GGACAATGACT >hg38_chr1:79304277-79304287(-) aaacaaaggaa >hg38_chr1:79322926-79322936(-) gaacaatacta >hg38_chr1:79333162-79333172(+) GCATAATGGTT >hg38_chr1:79336965-79336975(-) TAACAATGAAA >hg38_chr1:79338148-79338158(+) tgacAATAGGA >hg38_chr1:79363159-79363169(+) TGACAATGAAA >hg38_chr1:79411473-79411483(+) TTACAATAGAA >hg38_chr1:79414051-79414061(-) ATACAAAGGAA >hg38_chr1:79454349-79454359(+) GCACAATGGAA >hg38_chr1:79475782-79475792(+) CAACAATAGCC >hg38_chr1:79476282-79476292(+) ACACAATGAGA >hg38_chr1:79484761-79484771(+) taacaatgaaa >hg38_chr1:79510604-79510614(+) TTACAATGCAT >hg38_chr1:79538525-79538535(-) TAACAATGAAA >hg38_chr1:79538545-79538555(-) ATACTATGGAA >hg38_chr1:79538856-79538866(-) acacaAAGGAA >hg38_chr1:79539254-79539264(+) TGACAATGTAT >hg38_chr1:79553697-79553707(-) AAACAATAAAC >hg38_chr1:79585420-79585430(-) ATACAATGTTG >hg38_chr1:79588868-79588878(-) ACACAAAGGAA >hg38_chr1:79604879-79604889(-) GCACAATGGCC >hg38_chr1:79604901-79604911(-) ATACAATGCAG >hg38_chr1:79637018-79637028(+) ttacaatgagc >hg38_chr1:79637878-79637888(+) atacaatgcta >hg38_chr1:79656745-79656755(+) GGACAATAGCC >hg38_chr1:79664039-79664049(+) ggacaaaggaa >hg38_chr1:79722128-79722138(+) gaacaatagga >hg38_chr1:79743506-79743516(-) TCACAATGCAA >hg38_chr1:79748871-79748881(+) gaataatgata >hg38_chr1:79770678-79770688(+) aaacaatgaca >hg38_chr1:79784483-79784493(+) TAACAATGACA >hg38_chr1:79797774-79797784(+) taacaatgctt >hg38_chr1:79847939-79847949(-) AGACAAAGGCA >hg38_chr1:79852961-79852971(-) AAACAAAGGCT >hg38_chr1:79856752-79856762(-) acacaaaggga >hg38_chr1:79886625-79886635(-) GAACAATGTGA >hg38_chr1:79886633-79886643(-) GAACAATGGAA >hg38_chr1:79908510-79908520(-) AAACAATGTGT >hg38_chr1:79908547-79908557(+) AGACAATATAA >hg38_chr1:79915641-79915651(+) ATACAATGTAA >hg38_chr1:79950618-79950628(-) GAATAATGCGC >hg38_chr1:79950660-79950670(-) GAACAATGCAA >hg38_chr1:79978323-79978333(-) ATACAATGCTT >hg38_chr1:79978332-79978342(+) ATACACTGGCA >hg38_chr1:79979582-79979592(+) TAACAATAACA >hg38_chr1:79991845-79991855(-) AAACAATAAGA >hg38_chr1:79998937-79998947(-) gaataatgggc >hg38_chr1:80075545-80075555(-) GAACAATAGAT >hg38_chr1:80095311-80095321(-) taacaattgta >hg38_chr1:80123855-80123865(-) TTACAATATTA >hg38_chr1:80129499-80129509(+) acacaatgaca >hg38_chr1:80171208-80171218(-) GCACAATGAAA >hg38_chr1:80216149-80216159(-) CAACAATGAAA >hg38_chr1:80216191-80216201(+) CTACAAAGGAA >hg38_chr1:80290868-80290878(-) AAACACTGGAA >hg38_chr1:80290909-80290919(+) ACACAGTGGAA >hg38_chr1:80345749-80345759(+) GAACAATAAAG >hg38_chr1:80348977-80348987(+) CCACAATAGCC >hg38_chr1:80423334-80423344(+) taacaatggag >hg38_chr1:80437040-80437050(+) ACACAATGAAA >hg38_chr1:80440687-80440697(-) CCACAATAGTA >hg38_chr1:80463939-80463949(+) AGACAATGCAA >hg38_chr1:80485500-80485510(-) TGACAATGTGC >hg38_chr1:80534187-80534197(-) AAACAATAAGT >hg38_chr1:80535692-80535702(+) CAACAATGATG >hg38_chr1:80545278-80545288(+) AGACAATATAA >hg38_chr1:80545288-80545298(+) AAACAAAGGTG >hg38_chr1:80545314-80545324(-) AAACAATGTAG >hg38_chr1:80559482-80559492(+) agacagtggaa >hg38_chr1:80566328-80566338(+) GAACAAAGGAA >hg38_chr1:80604707-80604717(-) ttacaatggaa >hg38_chr1:80647877-80647887(+) tgacaatatat >hg38_chr1:80747217-80747227(-) ttataatggac >hg38_chr1:80827500-80827510(+) ttacaaaggga >hg38_chr1:80848232-80848242(-) ggacaatgtct >hg38_chr1:80891903-80891913(+) CTACAATAGCA >hg38_chr1:80891924-80891934(+) ACACAATGAAC >hg38_chr1:80894174-80894184(-) gaacaatagag >hg38_chr1:80898847-80898857(+) ctacaatgtcc >hg38_chr1:80904345-80904355(-) TTACAATGATA >hg38_chr1:80915728-80915738(-) GAACAATAGAC >hg38_chr1:80918065-80918075(+) AAACAATGTTT >hg38_chr1:80918295-80918305(+) GAACAATGTAT >hg38_chr1:80982863-80982873(+) ttataatggaa >hg38_chr1:80982889-80982899(+) taacaatagca >hg38_chr1:81023363-81023373(+) AGACAATAGGA >hg38_chr1:81072299-81072309(-) TAACAATAAGT >hg38_chr1:81095553-81095563(+) gcacaatgtga >hg38_chr1:81158952-81158962(+) AAACAAAGGAT >hg38_chr1:81158984-81158994(+) TGACAATGTCA >hg38_chr1:81179441-81179451(+) GTACAAAGGTC >hg38_chr1:81202548-81202558(-) gcacaatgggc >hg38_chr1:81202586-81202596(+) gcacaatagcc >hg38_chr1:81203607-81203617(+) TTACCATGGTA >hg38_chr1:81203608-81203618(-) TTACCATGGTA >hg38_chr1:81203678-81203688(+) ATACAATGCCA >hg38_chr1:81204605-81204615(+) AGACAATGAAG >hg38_chr1:81205297-81205307(+) AGACAATATTA >hg38_chr1:81205303-81205313(-) TCACAATAATA >hg38_chr1:81205620-81205630(+) ATACAATTGTA >hg38_chr1:81205751-81205761(-) TTACAATAGCT >hg38_chr1:81216918-81216928(+) GTACAATATTA >hg38_chr1:81217429-81217439(-) GAACAATGCAT >hg38_chr1:81232202-81232212(-) TAACAATAAAA >hg38_chr1:81265508-81265518(+) TGACAATGAAA >hg38_chr1:81291625-81291635(-) ATACAGTGGAA >hg38_chr1:81298219-81298229(+) gaacaataaat >hg38_chr1:81298228-81298238(+) atacaaaggct >hg38_chr1:81306222-81306232(-) GCACAATGGCA >hg38_chr1:81307896-81307906(-) TAACAATGACA >hg38_chr1:81308494-81308504(+) GAACAAAGGAA >hg38_chr1:81312378-81312388(+) GAACAATGAGA >hg38_chr1:81312696-81312706(-) ATACAATGGCT >hg38_chr1:81314784-81314794(+) tgacaatgaat >hg38_chr1:81317192-81317202(+) caacaatgact >hg38_chr1:81329214-81329224(+) aaacaatagga >hg38_chr1:81369555-81369565(-) GAACAATAGAC >hg38_chr1:81381975-81381985(-) agacaaaggaa >hg38_chr1:81381985-81381995(+) taacagtggtt >hg38_chr1:81382405-81382415(+) ACACAATAGGG >hg38_chr1:81386536-81386546(-) GGACAAAGGCA >hg38_chr1:81389221-81389231(+) CAACAATGTAA >hg38_chr1:81389234-81389244(-) TAATAATGGTT >hg38_chr1:81432265-81432275(+) AAACAATAGGG >hg38_chr1:81490881-81490891(+) acacaatgccc >hg38_chr1:81490894-81490904(+) caacaatagaa >hg38_chr1:81498890-81498900(+) ATACAATAAAA >hg38_chr1:81519609-81519619(-) atacaatagtt >hg38_chr1:81538595-81538605(+) atacaataacc >hg38_chr1:81542799-81542809(+) CAACAATGGAT >hg38_chr1:81555892-81555902(-) TGACAATGGGG >hg38_chr1:81560103-81560113(+) TCACAATGCCT >hg38_chr1:81563493-81563503(+) AAACAATGGAC >hg38_chr1:81572122-81572132(+) AGACAATAGAG >hg38_chr1:81574495-81574505(+) GTACAATATGC >hg38_chr1:81575374-81575384(-) AAACAATAGTA >hg38_chr1:81577409-81577419(-) AGACAATGCAC >hg38_chr1:81579241-81579251(-) AGACAATGGAA >hg38_chr1:81580701-81580711(+) CCACAATGAAT >hg38_chr1:81580732-81580742(+) TGACAATGAGT >hg38_chr1:81586297-81586307(-) GCACAATAGAA >hg38_chr1:81597653-81597663(-) gaacaATGGGA >hg38_chr1:81597670-81597680(+) ttacaatgggg >hg38_chr1:81663631-81663641(-) TTACAATGATA >hg38_chr1:81674713-81674723(+) AGACAATGGAA >hg38_chr1:81674790-81674800(-) ctacaatgtgc >hg38_chr1:81680189-81680199(-) TAACAAAGGGC >hg38_chr1:81680197-81680207(+) TTACAATGTGC >hg38_chr1:81699389-81699399(-) ACACAATGCTC >hg38_chr1:81699401-81699411(+) CTACAATGACG >hg38_chr1:81714769-81714779(+) TAACAATAACT >hg38_chr1:81717738-81717748(+) AGACAATATAT >hg38_chr1:81724442-81724452(-) CCACAATGAAC >hg38_chr1:81724473-81724483(-) AGACAATGGGT >hg38_chr1:81732192-81732202(-) TAACAATAGTT >hg38_chr1:81744893-81744903(+) TGACAATGTTT >hg38_chr1:81744901-81744911(-) AAACAATGAAA >hg38_chr1:81780835-81780845(+) TTACAATGAAT >hg38_chr1:81797958-81797968(-) GGACAAAGGAA >hg38_chr1:81797965-81797975(-) CTACAAAGGAC >hg38_chr1:81801156-81801166(+) CGACAATGAGT >hg38_chr1:81812791-81812801(+) CAATAATGGAC >hg38_chr1:81819773-81819783(-) GAACAATGAAG >hg38_chr1:81835198-81835208(+) AAACAATGAAA >hg38_chr1:81847670-81847680(+) TAACAATGCAG >hg38_chr1:81850563-81850573(+) AAACAATGTCA >hg38_chr1:81875150-81875160(+) GAATAATGGGA >hg38_chr1:81875211-81875221(+) GAACAAAGGCA >hg38_chr1:81893578-81893588(-) CAACAATGCAG >hg38_chr1:81893616-81893626(+) CTACAATGGGC >hg38_chr1:81894408-81894418(+) GCACAATAGTC >hg38_chr1:81920557-81920567(+) gtacaaaggat >hg38_chr1:81945233-81945243(+) CTACAATAGTG >hg38_chr1:81948627-81948637(-) TTACAATGCAG >hg38_chr1:81970981-81970991(-) ATACAATATTA >hg38_chr1:81975478-81975488(-) GAACAATGTCT >hg38_chr1:81976784-81976794(+) ttacaaaggaa >hg38_chr1:81982881-81982891(-) AGACAATGGCA >hg38_chr1:81984962-81984972(+) gcacaatgctc >hg38_chr1:82095021-82095031(+) GAACAATATAG >hg38_chr1:82117347-82117357(-) ttataatggga >hg38_chr1:82125148-82125158(+) GAACAATGAAG >hg38_chr1:82154574-82154584(+) CGACAATAATG >hg38_chr1:82161791-82161801(-) taacaataaat >hg38_chr1:82226152-82226162(+) TCACAATGCAC >hg38_chr1:82226159-82226169(+) GCACAATAGGT >hg38_chr1:82227842-82227852(-) GAACAAAGGGG >hg38_chr1:82413186-82413196(-) ccacaatgatt >hg38_chr1:82464667-82464677(-) TTACAATGCAT >hg38_chr1:82562630-82562640(+) AAACAATAACT >hg38_chr1:82562670-82562680(-) ACACAATAGCC >hg38_chr1:82615017-82615027(-) taacaataatc >hg38_chr1:82632172-82632182(-) CGATAATGAAA >hg38_chr1:82646540-82646550(-) acacaatgcca >hg38_chr1:82664298-82664308(-) TTACAATGTTG >hg38_chr1:82716872-82716882(+) TCACAAAGGAA >hg38_chr1:82760522-82760532(+) TGACAATAGCA >hg38_chr1:82792407-82792417(-) AAACAATAGCA >hg38_chr1:82800943-82800953(-) GAACAATGAGA >hg38_chr1:82806398-82806408(+) GAACAAAGGGG >hg38_chr1:82819599-82819609(-) GGACAATGCAC >hg38_chr1:82844100-82844110(+) GCACAACGGAC >hg38_chr1:82847201-82847211(+) ACACAATGAAC >hg38_chr1:82915296-82915306(-) ACACAATGTGG >hg38_chr1:82962582-82962592(+) agacaatggga >hg38_chr1:83027014-83027024(+) CAACAATAGTC >hg38_chr1:83028654-83028664(+) CTACAAAGGAT >hg38_chr1:83040974-83040984(-) caacaatgtgt >hg38_chr1:83048704-83048714(+) TGACAATAGCC >hg38_chr1:83056863-83056873(+) AGACAATGGTG >hg38_chr1:83065412-83065422(+) GGATAATGGGA >hg38_chr1:83497417-83497427(+) AAATAATGGAT >hg38_chr1:83518287-83518297(+) aaacaataaac >hg38_chr1:83601624-83601634(+) gtacaatacat >hg38_chr1:83640919-83640929(+) ATACAATGAAT >hg38_chr1:83640984-83640994(-) TTACAATATTA >hg38_chr1:83640997-83641007(+) TAACAATAAGC >hg38_chr1:83692103-83692113(-) TGACAATGGCA >hg38_chr1:83705274-83705284(-) acacaatgcaC >hg38_chr1:83712382-83712392(-) TGATAATGGTG >hg38_chr1:83751935-83751945(+) caACAATAGTT >hg38_chr1:83765583-83765593(-) ATACAATATTT >hg38_chr1:83765595-83765605(+) CAACAATGTGA >hg38_chr1:83777532-83777542(+) TAATAATGGTT >hg38_chr1:83777938-83777948(-) TGACAATAGTT >hg38_chr1:83787748-83787758(-) AGACAAAGGGC >hg38_chr1:83899687-83899697(+) GCACAAAGGAA >hg38_chr1:83931877-83931887(+) TAACAATGTAT >hg38_chr1:83953203-83953213(-) TTACAATGAAA >hg38_chr1:83958044-83958054(+) TAACAATGATA >hg38_chr1:83960830-83960840(+) TAACAATAAAA >hg38_chr1:83960851-83960861(-) ACACAATGAGT >hg38_chr1:83973333-83973343(-) atacaatggag >hg38_chr1:83973352-83973362(-) tgacaatgatg >hg38_chr1:83999250-83999260(+) GAACAAAGGAC >hg38_chr1:84020041-84020051(+) TCACAATGCAT >hg38_chr1:84040455-84040465(-) gaataatggta >hg38_chr1:84088680-84088690(-) GAACTATGGCT >hg38_chr1:84089585-84089595(+) ccacaatgttt >hg38_chr1:84104632-84104642(-) agacaatagtc >hg38_chr1:84104646-84104656(+) taacaatagtc >hg38_chr1:84109111-84109121(-) acacaatggaa >hg38_chr1:84113599-84113609(+) atacagtggca >hg38_chr1:84134902-84134912(-) taacaatagta >hg38_chr1:84145269-84145279(+) AAACAATACTA >hg38_chr1:84145284-84145294(-) GTAGAATGGCG >hg38_chr1:84145343-84145353(+) GAACAATAGAA >hg38_chr1:84169135-84169145(+) caacaatgtgg >hg38_chr1:84174439-84174449(-) tcataatggaa >hg38_chr1:84174476-84174486(+) taacagtggaa >hg38_chr1:84174746-84174756(-) TAACAATATTA >hg38_chr1:84174761-84174771(-) CAACAATAGTC >hg38_chr1:84175408-84175418(+) GGATAATGGTA >hg38_chr1:84181533-84181543(-) GAACAATAATC >hg38_chr1:84203522-84203532(-) TGACAATGGTC >hg38_chr1:84203964-84203974(-) taacaatggaa >hg38_chr1:84206023-84206033(-) agacaaaggtg >hg38_chr1:84206430-84206440(+) atacagtggta >hg38_chr1:84274200-84274210(-) atacaatggaa >hg38_chr1:84288641-84288651(-) acacaaaggca >hg38_chr1:84289763-84289773(-) ctacaatagag >hg38_chr1:84318833-84318843(+) TGATAATGGAT >hg38_chr1:84329648-84329658(+) GAACAATGATC >hg38_chr1:84346676-84346686(-) CTACAATGAGC >hg38_chr1:84363876-84363886(-) GAACAATGAAA >hg38_chr1:84379058-84379068(+) AAACaataact >hg38_chr1:84391803-84391813(+) TAACTATGGCA >hg38_chr1:84419399-84419409(+) gaacaatgtta >hg38_chr1:84428334-84428344(+) AAACAATGACA >hg38_chr1:84459868-84459878(-) Taataatggca >hg38_chr1:84459899-84459909(-) ATACTATGGTA >hg38_chr1:84475130-84475140(+) ATACAATAAAC >hg38_chr1:84475187-84475197(+) CAACAATAGCT >hg38_chr1:84482565-84482575(-) TAACAAAGCGA >hg38_chr1:84547118-84547128(+) TTACAATGTGT >hg38_chr1:84547199-84547209(+) gaacaatggac >hg38_chr1:84547488-84547498(+) agacaataaac >hg38_chr1:84553188-84553198(-) GAATAATGGTT >hg38_chr1:84583907-84583917(-) ACACAAAGGAG >hg38_chr1:84600295-84600305(+) AAACAATGGAC >hg38_chr1:84600322-84600332(-) CTATAATGGAG >hg38_chr1:84609710-84609720(-) ttacaataacc >hg38_chr1:84674163-84674173(+) taacaatgaag >hg38_chr1:84674548-84674558(+) AGACAATAGTG >hg38_chr1:84698245-84698255(+) TAATAATGGGC >hg38_chr1:84774661-84774671(+) GAACAATGGAC >hg38_chr1:84870316-84870326(-) GGACAATAAAT >hg38_chr1:84906564-84906574(+) ggactatggat >hg38_chr1:84906576-84906586(+) ccacaatagct >hg38_chr1:84938585-84938595(+) ACACAATGCCT >hg38_chr1:84941077-84941087(+) AAACAATAAAT >hg38_chr1:84941093-84941103(-) TAACAATAGAC >hg38_chr1:84952781-84952791(+) atacaatgaac >hg38_chr1:84989090-84989100(+) ctacaatggac >hg38_chr1:85042606-85042616(+) atacaatgaga >hg38_chr1:85042661-85042671(+) taacaatggct >hg38_chr1:85065743-85065753(+) tcacaATGCAT >hg38_chr1:85080889-85080899(-) ttacaatgaca >hg38_chr1:85080910-85080920(+) acataatggta >hg38_chr1:85083808-85083818(-) gaataatggtt >hg38_chr1:85106448-85106458(+) gaacaaaggtt >hg38_chr1:85107048-85107058(+) ctacaatggct >hg38_chr1:85121555-85121565(-) AAACAATGAGT >hg38_chr1:85151641-85151651(+) GAACAAAGGTC >hg38_chr1:85170798-85170808(-) agactatggga >hg38_chr1:85226067-85226077(+) AGACAATGACA >hg38_chr1:85246735-85246745(-) gaacaatgcct >hg38_chr1:85257291-85257301(+) gtacaaaggag >hg38_chr1:85264222-85264232(-) AAACACTGGTA >hg38_chr1:85264241-85264251(+) ACACAATGAGT >hg38_chr1:85308445-85308455(-) AAACAATGGAA >hg38_chr1:85308979-85308989(+) TTATAATGGCC >hg38_chr1:85339249-85339259(-) CAACAATGAAA >hg38_chr1:85343553-85343563(+) CTACAATGGGA >hg38_chr1:85357014-85357024(-) TTACAATATAA >hg38_chr1:85359993-85360003(+) ATACAATGAGA >hg38_chr1:85372854-85372864(-) AGACAATGGAT >hg38_chr1:85393467-85393477(-) gtacaataggt >hg38_chr1:85393731-85393741(+) aaataatggtg >hg38_chr1:85403963-85403973(+) TAACAATGTAA >hg38_chr1:85404284-85404294(-) GTATAATGGGT >hg38_chr1:85407327-85407337(+) CAACAATGGAT >hg38_chr1:85407563-85407573(+) AGACAATGGCT >hg38_chr1:85407625-85407635(+) AAATAATGGTT >hg38_chr1:85414215-85414225(-) ctacaatgcTC >hg38_chr1:85414405-85414415(+) agacaatataa >hg38_chr1:85414675-85414685(+) ctacaatgctc >hg38_chr1:85414747-85414757(-) gaacaataaaa >hg38_chr1:85422620-85422630(-) gaacaatagga >hg38_chr1:85459288-85459298(+) TTATAATGGTT >hg38_chr1:85464562-85464572(+) GAACAATGAAC >hg38_chr1:85468159-85468169(+) atataatggca >hg38_chr1:85471651-85471661(-) ATACAATGCCT >hg38_chr1:85494889-85494899(+) ATACAAAGGCA >hg38_chr1:85494922-85494932(-) CCACAATGGGA >hg38_chr1:85580980-85580990(+) CCACAATGAGC >hg38_chr1:85581777-85581787(-) GAACAATAAGT >hg38_chr1:85597844-85597854(-) CAATAATGGAA >hg38_chr1:85597858-85597868(-) TAACTATGGGA >hg38_chr1:85639284-85639294(+) gaacaaaggag >hg38_chr1:85656921-85656931(+) TAACAATAAAA >hg38_chr1:85669116-85669126(-) GAAcaatgctt >hg38_chr1:85681204-85681214(+) ATACAATGAGC >hg38_chr1:85693486-85693496(+) ggacaatatag >hg38_chr1:85729796-85729806(+) TTACAATGTGC >hg38_chr1:85731306-85731316(+) ACACAATAGAG >hg38_chr1:85731660-85731670(+) atacaatagtt >hg38_chr1:85738585-85738595(+) ATACAAAGGAC >hg38_chr1:85787163-85787173(+) caacaatagca >hg38_chr1:85818718-85818728(-) GAACAATGGCA >hg38_chr1:85824486-85824496(+) GTACTATGGTC >hg38_chr1:85845990-85846000(+) tcacaatagta >hg38_chr1:85879357-85879367(-) atacaatggat >hg38_chr1:85888846-85888856(-) ACACAAAGGTT >hg38_chr1:85888893-85888903(-) ATACAATATAG >hg38_chr1:85888898-85888908(-) GTACAATACAA >hg38_chr1:85895590-85895600(+) AAACAATGACC >hg38_chr1:85957831-85957841(-) gaacaatcgct >hg38_chr1:85971415-85971425(+) ACACAATAGAA >hg38_chr1:85972715-85972725(-) GCACAATATAC >hg38_chr1:85976307-85976317(-) tgacaatgtgc >hg38_chr1:86025504-86025514(-) tgacaatgtgt >hg38_chr1:86025533-86025543(+) TAACAATGACA >hg38_chr1:86032550-86032560(-) TGACAATGAGT >hg38_chr1:86034761-86034771(-) TTACAATAGAA >hg38_chr1:86041832-86041842(-) AGACAGTGGAC >hg38_chr1:86057748-86057758(+) CCACAATGAGA >hg38_chr1:86071691-86071701(+) atacaatgatt >hg38_chr1:86116196-86116206(-) GAACAATGCCA >hg38_chr1:86144904-86144914(+) TAACAATAAAT >hg38_chr1:86258776-86258786(-) AAATAATGGTT >hg38_chr1:86284180-86284190(+) aaacaatagtg >hg38_chr1:86284210-86284220(-) ttataatggaa >hg38_chr1:86292727-86292737(-) tcacaatgtcc >hg38_chr1:86323357-86323367(-) ccacaatgaat >hg38_chr1:86324354-86324364(-) ccacaatgatc >hg38_chr1:86338158-86338168(-) gaacaatgaaa >hg38_chr1:86375182-86375192(-) tcacaatagct >hg38_chr1:86394641-86394651(-) GAACAATGGAA >hg38_chr1:86404065-86404075(+) TCACAATAGAG >hg38_chr1:86407513-86407523(+) CTACAATGTGT >hg38_chr1:86421188-86421198(+) AGACAATGAAC >hg38_chr1:86535006-86535016(-) gaacaataaaa >hg38_chr1:86535075-86535085(-) aaacaataaca >hg38_chr1:86546839-86546849(+) CAACAATGACA >hg38_chr1:86547115-86547125(+) GAACAATGGGG >hg38_chr1:86547451-86547461(-) acacaatgcaa >hg38_chr1:86576819-86576829(-) AGATAATGGCC >hg38_chr1:86623360-86623370(-) agacagtggaa >hg38_chr1:86668301-86668311(+) caacaatgaaa >hg38_chr1:86704119-86704129(-) agacaatgtcc >hg38_chr1:86712654-86712664(-) TGACAATGTTT >hg38_chr1:86743242-86743252(+) GGACTATGGAA >hg38_chr1:86748937-86748947(-) TGACAATAGCA >hg38_chr1:86781046-86781056(+) gaacaaagGAG >hg38_chr1:86788390-86788400(+) caacaatgtac >hg38_chr1:86788411-86788421(-) gtacaatagca >hg38_chr1:86791866-86791876(+) acacaatgcct >hg38_chr1:86793167-86793177(-) GAACAAAGGGA >hg38_chr1:86851990-86852000(+) AAACAATGTTG >hg38_chr1:86860227-86860237(+) aaacaatggtg >hg38_chr1:86869039-86869049(+) taacaatgagg >hg38_chr1:86871838-86871848(-) TTACAATGTGA >hg38_chr1:86938000-86938010(-) AGACAATGATT >hg38_chr1:86950787-86950797(-) atacaatgaag >hg38_chr1:86977255-86977265(+) AGACAATGTAT >hg38_chr1:87005517-87005527(-) CAACAATGTAA >hg38_chr1:87019692-87019702(+) GTACAATAGTG >hg38_chr1:87027367-87027377(+) ATACAGTGGAC >hg38_chr1:87034423-87034433(+) TAACAATGGAT >hg38_chr1:87034440-87034450(-) GAACAATAAAG >hg38_chr1:87049783-87049793(-) gaacaatatca >hg38_chr1:87054899-87054909(+) aaacaatcgag >hg38_chr1:87073966-87073976(+) TTACAATGATA >hg38_chr1:87083935-87083945(-) TTACAATGCTG >hg38_chr1:87083950-87083960(-) GCACAATGAAA >hg38_chr1:87104455-87104465(-) TTACAAAGGGG >hg38_chr1:87189475-87189485(-) AAACAATGTCT >hg38_chr1:87189488-87189498(-) TGATAATGGGT >hg38_chr1:87224106-87224116(+) AAACAATAATA >hg38_chr1:87255736-87255746(+) acacaatagcc >hg38_chr1:87255743-87255753(-) caacaatggct >hg38_chr1:87277409-87277419(-) GAACAGTGGAA >hg38_chr1:87322557-87322567(+) GGACAATGCTT >hg38_chr1:87328353-87328363(-) GGACAAAGGGA >hg38_chr1:87331269-87331279(+) CAATAATGGGA >hg38_chr1:87345308-87345318(-) ATACAATAAGT >hg38_chr1:87345328-87345338(+) ATACAATGTAT >hg38_chr1:87345753-87345763(-) CAATAATGGCG >hg38_chr1:87379310-87379320(-) caacaatagca >hg38_chr1:87428639-87428649(-) AAACAATGCGA >hg38_chr1:87473298-87473308(-) acacaatgagg >hg38_chr1:87605730-87605740(-) atacaatggtg >hg38_chr1:87605749-87605759(-) tgacaatgtga >hg38_chr1:87630078-87630088(+) agacaatgaag >hg38_chr1:87637161-87637171(-) ATACAATATGT >hg38_chr1:87644740-87644750(+) ACACAATAGTG >hg38_chr1:87645103-87645113(-) GAACAATGGGG >hg38_chr1:87671971-87671981(-) atacaatagtt >hg38_chr1:87672041-87672051(-) ggacaatgtaa >hg38_chr1:87685824-87685834(-) TGATAATGGAT >hg38_chr1:87707197-87707207(-) TTACAAAGGGT >hg38_chr1:87718551-87718561(+) AAACAATGTCC >hg38_chr1:87723319-87723329(-) taacaatgttt >hg38_chr1:87765344-87765354(+) AGACAATGTTT >hg38_chr1:87820932-87820942(+) GAACAATGGTG >hg38_chr1:87834003-87834013(-) ACACAATAGCT >hg38_chr1:87834218-87834228(-) agataatggat >hg38_chr1:87834239-87834249(-) taataatgtaa >hg38_chr1:87834274-87834284(-) gaacaatgact >hg38_chr1:87869355-87869365(+) atacaataatc >hg38_chr1:87927731-87927741(+) GTACAATAGAT >hg38_chr1:87927761-87927771(-) AGACAATAAAA >hg38_chr1:87974063-87974073(+) AAACAGTGGGA >hg38_chr1:88044001-88044011(+) atacaataaat >hg38_chr1:88064444-88064454(-) GGACAATGGAA >hg38_chr1:88101950-88101960(-) GGACAATGTTA >hg38_chr1:88125938-88125948(-) ACACAATGACA >hg38_chr1:88136131-88136141(-) TAACAATAGCA >hg38_chr1:88178922-88178932(+) GTATAATGGCT >hg38_chr1:88178939-88178949(+) TAACAATAATA >hg38_chr1:88200832-88200842(+) TCACAATGGTG >hg38_chr1:88255944-88255954(-) agacaaaggaa >hg38_chr1:88255961-88255971(-) aaacaatgcaa >hg38_chr1:88272477-88272487(-) ACACAATGGTA >hg38_chr1:88296815-88296825(-) AGACAATGCAG >hg38_chr1:88305445-88305455(-) AAACAAAGGAa >hg38_chr1:88330976-88330986(-) agacaacggtc >hg38_chr1:88353001-88353011(-) CAACAATGAGC >hg38_chr1:88382754-88382764(+) aaacaaaggac >hg38_chr1:88417364-88417374(+) acacaataaac >hg38_chr1:88417414-88417424(+) caacaatagca >hg38_chr1:88515328-88515338(+) TGACAATGGTA >hg38_chr1:88550473-88550483(+) aaacaatatat >hg38_chr1:88556092-88556102(-) TAACAATGGAA >hg38_chr1:88563234-88563244(+) AGACAATAGGT >hg38_chr1:88563249-88563259(+) TAACAATAGCT >hg38_chr1:88574127-88574137(+) TGACAATGAGC >hg38_chr1:88612437-88612447(-) gcacaaaggac >hg38_chr1:88612446-88612456(-) GAacaaagggc >hg38_chr1:88648975-88648985(-) TAACTATGGAA >hg38_chr1:88649564-88649574(+) AAACAATGATG >hg38_chr1:88679816-88679826(-) GTATAATGATA >hg38_chr1:88679880-88679890(-) ATATAATGGTT >hg38_chr1:88686288-88686298(+) TTACAATATAT >hg38_chr1:88689940-88689950(+) CCACAATGTTT >hg38_chr1:88702526-88702536(-) ACACAATGCCT >hg38_chr1:88704082-88704092(+) aaacaatataa >hg38_chr1:88704087-88704097(+) atataatgaac >hg38_chr1:88706946-88706956(-) agacaatgaga >hg38_chr1:88732057-88732067(+) TTACAATGTAC >hg38_chr1:88774493-88774503(+) taacaaaggca >hg38_chr1:88783642-88783652(-) GTACAATGAAT >hg38_chr1:88803912-88803922(-) tcacaatgtgc >hg38_chr1:88815277-88815287(+) ATACAATGCTG >hg38_chr1:88910050-88910060(-) ATACAATGAGC >hg38_chr1:88936059-88936069(+) AAACAATGGAA >hg38_chr1:88957510-88957520(-) ATACAATAGTC >hg38_chr1:88970849-88970859(+) CGATAATGGTT >hg38_chr1:88970868-88970878(-) GAACAATGGTC >hg38_chr1:88971120-88971130(+) ACACAATGTTT >hg38_chr1:88971161-88971171(+) ACACAAAGGAT >hg38_chr1:88973696-88973706(+) GAACAATGAAG >hg38_chr1:88980032-88980042(-) acacaatgact >hg38_chr1:89000517-89000527(-) gtacaatggaa >hg38_chr1:89000936-89000946(+) ggataatggtc >hg38_chr1:89001830-89001840(+) GAACAATGCAA >hg38_chr1:89001871-89001881(-) CAACAATGACA >hg38_chr1:89013726-89013736(-) GGACAATGGAT >hg38_chr1:89081287-89081297(-) ACACAATGACT >hg38_chr1:89135714-89135724(-) tgataatggtc >hg38_chr1:89255056-89255066(+) tcacaatagcc >hg38_chr1:89255131-89255141(+) acacaatggag >hg38_chr1:89259473-89259483(-) AAACAATGTGC >hg38_chr1:89270994-89271004(+) TGACAATAGCA >hg38_chr1:89271000-89271010(-) ATACAATGCTA >hg38_chr1:89271019-89271029(+) TAATAATAGTA >hg38_chr1:89274641-89274651(-) CAACAATGGAA >hg38_chr1:89350362-89350372(-) TAACAGTGGAA >hg38_chr1:89350604-89350614(+) GAACAATGCTC >hg38_chr1:89351534-89351544(+) CAACAATAGAA >hg38_chr1:89453374-89453384(+) CAACAATAGCA >hg38_chr1:89483508-89483518(+) CTACAATAGAA >hg38_chr1:89511879-89511889(-) ctacaataata >hg38_chr1:89524852-89524862(+) TCACAATGGAG >hg38_chr1:89530906-89530916(+) AAACAATGCCT >hg38_chr1:89539313-89539323(+) TTACTATGGTG >hg38_chr1:89539380-89539390(+) TTACAATGCTA >hg38_chr1:89557071-89557081(-) TGATAATGGAT >hg38_chr1:89557082-89557092(-) CAATAATGGAA >hg38_chr1:89563791-89563801(-) taataatggtt >hg38_chr1:89576131-89576141(-) AAACAAAGGGA >hg38_chr1:89576960-89576970(-) AAACAATGCAT >hg38_chr1:89599168-89599178(-) ATACAAAGGGC >hg38_chr1:89672344-89672354(-) aaacaatgaca >hg38_chr1:89778224-89778234(+) aaacagtggaa >hg38_chr1:89808592-89808602(-) GGACAATGAGA >hg38_chr1:89811383-89811393(+) gcacaatggtt >hg38_chr1:89830655-89830665(-) caacaatGCAC >hg38_chr1:89831994-89832004(-) ACACAAAGGGA >hg38_chr1:89833691-89833701(+) TCACAATAGCC >hg38_chr1:89855903-89855913(+) ACACAATGGGA >hg38_chr1:89864057-89864067(-) GGACAATGGGG >hg38_chr1:89887478-89887488(-) ggacaatggcc >hg38_chr1:89890313-89890323(+) tgataatggag >hg38_chr1:89905709-89905719(+) gaataatggaa >hg38_chr1:89929652-89929662(-) taacaatacat >hg38_chr1:89929668-89929678(-) caacaatgaaa >hg38_chr1:89939251-89939261(+) AAACAAAGGCT >hg38_chr1:89939951-89939961(-) acacaataaaa >hg38_chr1:89941319-89941329(-) CCACAATGACT >hg38_chr1:89943942-89943952(-) TTACAATGGAA >hg38_chr1:89944734-89944744(+) ggacaatgcct >hg38_chr1:89965667-89965677(+) tgacaatggtg >hg38_chr1:89975258-89975268(-) ATACAAAGGGG >hg38_chr1:90030422-90030432(-) TAAGAATGGTA >hg38_chr1:90056016-90056026(+) atacaatatag >hg38_chr1:90056061-90056071(+) taacaatgacg >hg38_chr1:90105146-90105156(-) GAACAAAGGGA >hg38_chr1:90174024-90174034(-) gcacaatgagg >hg38_chr1:90201729-90201739(+) TAACAATCGAC >hg38_chr1:90277152-90277162(-) ACACAATGTCT >hg38_chr1:90277578-90277588(-) ATAcaataagc >hg38_chr1:90286914-90286924(-) TCACAATGGAT >hg38_chr1:90286938-90286948(+) AGACAATGCAC >hg38_chr1:90313973-90313983(-) AAATAATGGAA >hg38_chr1:90313981-90313991(-) GAACAATGAAA >hg38_chr1:90326780-90326790(-) TAACAATGCAA >hg38_chr1:90365186-90365196(+) aaacaatgaag >hg38_chr1:90386710-90386720(+) GAACAATGCCT >hg38_chr1:90387696-90387706(-) GTACAATAGCT >hg38_chr1:90405306-90405316(+) AAACAATGGAT >hg38_chr1:90417376-90417386(-) tcacaatgaag >hg38_chr1:90460044-90460054(-) gtacaatgatg >hg38_chr1:90460114-90460124(-) TTACAATAAAt >hg38_chr1:90502887-90502897(+) agacaatgcta >hg38_chr1:90508915-90508925(-) ACACAATGTAG >hg38_chr1:90509640-90509650(-) TTACAATGATA >hg38_chr1:90510053-90510063(-) AAACAATGGTG >hg38_chr1:90581428-90581438(+) GCACAATGCCT >hg38_chr1:90585324-90585334(+) aaacaatgtct >hg38_chr1:90644615-90644625(+) GTACAATGAAT >hg38_chr1:90676506-90676516(+) aaacaaaggta >hg38_chr1:90693677-90693687(+) ACACAATATAC >hg38_chr1:90696594-90696604(-) TTACAATGATT >hg38_chr1:90721638-90721648(-) AAACAATAGCA >hg38_chr1:90728112-90728122(+) AAACAATGGCA >hg38_chr1:90762149-90762159(+) GAACAATGGCA >hg38_chr1:90854749-90854759(-) GCACAATACGC >hg38_chr1:90854769-90854779(-) TAACAATGCAG >hg38_chr1:90910198-90910208(+) taagaatggta >hg38_chr1:90911301-90911311(-) GGATAATGGAG >hg38_chr1:90919169-90919179(+) GGACAAAGGGA >hg38_chr1:90921809-90921819(+) ATACAATAAAC >hg38_chr1:90930783-90930793(-) AAACAATGATG >hg38_chr1:90947959-90947969(-) tgacaatgatt >hg38_chr1:90947965-90947975(-) ttacaatgaca >hg38_chr1:90950384-90950394(-) taacaataaca >hg38_chr1:90952303-90952313(+) ATACAATGTAC >hg38_chr1:90952514-90952524(+) TTACAATGACC >hg38_chr1:90962652-90962662(-) ctacaatgcac >hg38_chr1:90964605-90964615(+) ATACAATGTGA >hg38_chr1:90981951-90981961(+) GAACAATGAAG >hg38_chr1:90981964-90981974(+) ACACAATAAAT >hg38_chr1:91014714-91014724(+) AGACAATGAGA >hg38_chr1:91019304-91019314(-) AAATAATGGTG >hg38_chr1:91023264-91023274(-) TTACAATGAAA >hg38_chr1:91047922-91047932(+) ACACAAAGGGA >hg38_chr1:91071224-91071234(-) TGACAATGCTG >hg38_chr1:91092656-91092666(+) ACACAAAGGTT >hg38_chr1:91106177-91106187(-) AAAcaatgctt >hg38_chr1:91116890-91116900(-) ccACaatgagc >hg38_chr1:91120695-91120705(+) AAACAATGAAG >hg38_chr1:91123782-91123792(-) TCACAATGTAG >hg38_chr1:91142025-91142035(-) AAACAAAGGCA >hg38_chr1:91142054-91142064(-) GGACAATAGTT >hg38_chr1:91159026-91159036(+) ttacaatggca >hg38_chr1:91166289-91166299(-) GCACAATGTGC >hg38_chr1:91268107-91268117(-) ACACAATGCCT >hg38_chr1:91268119-91268129(-) CAACAATGCTT >hg38_chr1:91275751-91275761(-) aaataatggcc >hg38_chr1:91275768-91275778(-) ttacaataatc >hg38_chr1:91275794-91275804(-) gaacaaaggga >hg38_chr1:91276492-91276502(+) gaataatggTA >hg38_chr1:91322695-91322705(+) TGACAATGAGA >hg38_chr1:91382418-91382428(-) agacaatgaat >hg38_chr1:91383095-91383105(+) GGACAATGAGT >hg38_chr1:91383127-91383137(+) GGACAATAAAT >hg38_chr1:91402096-91402106(-) ttacaatgtat >hg38_chr1:91438161-91438171(+) ttacaataata >hg38_chr1:91510692-91510702(+) TTACAATGCAG >hg38_chr1:91510729-91510739(-) ACACAATGGAA >hg38_chr1:91524274-91524284(-) AAACAATGCTC >hg38_chr1:91553373-91553383(-) CAACAATGAGA >hg38_chr1:91559130-91559140(+) GCACAATGAGA >hg38_chr1:91613821-91613831(-) AAACAATGACC >hg38_chr1:91633262-91633272(+) taacaataaat >hg38_chr1:91633301-91633311(+) acataatggag >hg38_chr1:91633328-91633338(-) gaacaataggg >hg38_chr1:91647687-91647697(-) aaacaataacc >hg38_chr1:91647712-91647722(-) acacaatgccg >hg38_chr1:91700746-91700756(+) CAACAATGATG >hg38_chr1:91726038-91726048(-) GGACAATGCAT >hg38_chr1:91731899-91731909(-) TGACAATGGCT >hg38_chr1:91756779-91756789(+) gtacaaaggac >hg38_chr1:91791360-91791370(+) gcacaatggct >hg38_chr1:91798989-91798999(-) agacaatagca >hg38_chr1:91818388-91818398(-) AAACAATGAGG >hg38_chr1:91844841-91844851(+) GTACAAAGGCT >hg38_chr1:91883602-91883612(+) TAACAATGAAC >hg38_chr1:91993870-91993880(+) atataatggcc >hg38_chr1:92030834-92030844(+) AAACAATAAGT >hg38_chr1:92049460-92049470(-) acacaataaat >hg38_chr1:92049473-92049483(-) aaacaatgaac >hg38_chr1:92063459-92063469(+) ATACAATGTTG >hg38_chr1:92073516-92073526(+) ggacaaaggat >hg38_chr1:92099158-92099168(-) acacaatgggg >hg38_chr1:92099166-92099176(-) agacaataaca >hg38_chr1:92102889-92102899(-) GCATAATGGCT >hg38_chr1:92102905-92102915(-) GGACAATAACG >hg38_chr1:92125298-92125308(+) GAACCATGGCG >hg38_chr1:92204950-92204960(+) GTATAATGAAA >hg38_chr1:92205249-92205259(+) ATACAATGAGA >hg38_chr1:92244688-92244698(+) AAACAATAGAG >hg38_chr1:92250436-92250446(-) atataatggaa >hg38_chr1:92333316-92333326(-) GAACAATAAAC >hg38_chr1:92354365-92354375(+) CAACAATGATA >hg38_chr1:92361519-92361529(-) TCACAATAGTA >hg38_chr1:92442453-92442463(+) ATACAATTGTA >hg38_chr1:92623059-92623069(+) CAACAATGGCT >hg38_chr1:92660531-92660541(+) taacaataacg >hg38_chr1:92660539-92660549(-) atacaatacgt >hg38_chr1:92661271-92661281(+) AAACAATGAGC >hg38_chr1:92680321-92680331(+) gaataatgggg >hg38_chr1:92697563-92697573(+) TAACAATAATA >hg38_chr1:92708444-92708454(+) ttacaatcgag >hg38_chr1:92708495-92708505(+) agacaatggaa >hg38_chr1:92726774-92726784(+) gtacaaaggac >hg38_chr1:92727117-92727127(-) atacaataagt >hg38_chr1:92740339-92740349(+) CCACAATGCAA >hg38_chr1:92741425-92741435(+) CCACAATGACC >hg38_chr1:92774596-92774606(+) GAACAAAGGAG >hg38_chr1:92793720-92793730(+) GAACAATAACA >hg38_chr1:92793753-92793763(+) ATATAATGGTG >hg38_chr1:92823221-92823231(-) TCACAATGCCT >hg38_chr1:92840986-92840996(+) atacaatgtat >hg38_chr1:92854235-92854245(-) gaacaatgcct >hg38_chr1:92858031-92858041(+) gtacaatgcct >hg38_chr1:92877127-92877137(+) GCACAATAGGA >hg38_chr1:92877144-92877154(-) AAACAATGCAA >hg38_chr1:92877505-92877515(+) AGACAATGAGT >hg38_chr1:92885175-92885185(-) TAACAAAGGCA >hg38_chr1:92906894-92906904(-) ccacaatggat >hg38_chr1:92906904-92906914(-) ttataatggtc >hg38_chr1:92909386-92909396(+) acacaataaaa >hg38_chr1:92909940-92909950(-) GTACAAAGGGA >hg38_chr1:92910247-92910257(-) GAACAATAAAT >hg38_chr1:92910529-92910539(+) CAACAATAGTG >hg38_chr1:92928339-92928349(-) gcacaaaggat >hg38_chr1:92934862-92934872(+) CAACAATGGAA >hg38_chr1:92985039-92985049(-) taacaatgaac >hg38_chr1:92985066-92985076(-) aaacaaaggca >hg38_chr1:92985366-92985376(+) ggacagtggta >hg38_chr1:93115596-93115606(-) CCACAATAGCA >hg38_chr1:93123900-93123910(+) ccataatggta >hg38_chr1:93124133-93124143(+) AGATAATGGTA >hg38_chr1:93124929-93124939(+) CTATAATGGTT >hg38_chr1:93142368-93142378(-) TGACAAAGGGA >hg38_chr1:93151087-93151097(-) GCACAATGGAG >hg38_chr1:93151955-93151965(-) GTACAATAATG >hg38_chr1:93152836-93152846(-) GAACAAAGGTC >hg38_chr1:93165365-93165375(-) gaacaatgatc >hg38_chr1:93165389-93165399(+) caacaatgtat >hg38_chr1:93168245-93168255(+) gtacaatgaat >hg38_chr1:93168303-93168313(-) tcacaatgtac >hg38_chr1:93179473-93179483(+) AAATAATGGAT >hg38_chr1:93179701-93179711(-) TCACAATGCAG >hg38_chr1:93181323-93181333(-) ATACAATGGGC >hg38_chr1:93182931-93182941(+) CTACAATGCGA >hg38_chr1:93184944-93184954(-) ATACAATAAGA >hg38_chr1:93197213-93197223(+) aaacaatataa >hg38_chr1:93197254-93197264(+) tcacaatgaac >hg38_chr1:93200863-93200873(+) GCACAATACGT >hg38_chr1:93205019-93205029(+) AAACAATGCTC >hg38_chr1:93231842-93231852(+) taacaataaAT >hg38_chr1:93238567-93238577(+) ACACAAAGGAA >hg38_chr1:93301222-93301232(+) ATACAATATGC >hg38_chr1:93301401-93301411(-) TCACAATATAA >hg38_chr1:93301442-93301452(+) TTACAATAGTC >hg38_chr1:93324616-93324626(-) atacaatgaaa >hg38_chr1:93324911-93324921(+) GAACAATAGGG >hg38_chr1:93335010-93335020(-) ACACAATAGCT >hg38_chr1:93350265-93350275(-) CAACAATGAGA >hg38_chr1:93350979-93350989(+) ttacaataaga >hg38_chr1:93368691-93368701(+) GAACAATGGTA >hg38_chr1:93411051-93411061(+) ttacaaaggac >hg38_chr1:93411114-93411124(-) aaataatggtg >hg38_chr1:93411231-93411241(-) ttacaatgggg >hg38_chr1:93432672-93432682(+) tcacaatagag >hg38_chr1:93444229-93444239(-) TTACAATGTAT >hg38_chr1:93444261-93444271(-) TTACAAAGGTG >hg38_chr1:93448666-93448676(-) ATACAAAGGAA >hg38_chr1:93448703-93448713(-) GGACAATGGGC >hg38_chr1:93452121-93452131(-) TTACAATGTAA >hg38_chr1:93452190-93452200(+) AGACAATGCTT >hg38_chr1:93453220-93453230(-) ATACAATGTTG >hg38_chr1:93518075-93518085(-) AGACAATAACA >hg38_chr1:93525751-93525761(+) TAACAATGCTA >hg38_chr1:93536498-93536508(+) TAATAATGGGT >hg38_chr1:93536523-93536533(-) ACACAATAGGC >hg38_chr1:93541107-93541117(-) AAACAATGTTT >hg38_chr1:93553243-93553253(+) AGACAAAGGAC >hg38_chr1:93553251-93553261(-) TGACAATAGTC >hg38_chr1:93567462-93567472(-) AAACAATGTAT >hg38_chr1:93598205-93598215(+) CAACAATGGAC >hg38_chr1:93627598-93627608(+) aaacaatgtca >hg38_chr1:93635805-93635815(-) AAACAATGGCA >hg38_chr1:93637866-93637876(+) GAACAATAGCC >hg38_chr1:93637899-93637909(+) GGACAATGGAA >hg38_chr1:93653582-93653592(+) agacaatggaa >hg38_chr1:93663209-93663219(-) gaacaataaga >hg38_chr1:93669534-93669544(-) GGACAATGTGG >hg38_chr1:93677209-93677219(+) CAACAATAGAG >hg38_chr1:93722559-93722569(-) AGACAATGGCT >hg38_chr1:93754335-93754345(-) GAACAATGGAG >hg38_chr1:93754598-93754608(+) ttataatggag >hg38_chr1:93769508-93769518(+) gaacaataggc >hg38_chr1:93866452-93866462(-) CTACAATAGAT >hg38_chr1:93870777-93870787(+) CAACAATGGTT >hg38_chr1:93876468-93876478(+) AAACAATGCAT >hg38_chr1:93876473-93876483(-) TGACAATGCAT >hg38_chr1:93879295-93879305(+) AGACAAAGGGC >hg38_chr1:93919975-93919985(-) AAACAAAGGCA >hg38_chr1:94038665-94038675(-) gaacaatgaat >hg38_chr1:94054416-94054426(-) taactatggct >hg38_chr1:94054458-94054468(+) tgataatggga >hg38_chr1:94093502-94093512(-) CTACAATGTGT >hg38_chr1:94093533-94093543(+) GAACAAAGGCC >hg38_chr1:94119009-94119019(+) GAACAATGGCA >hg38_chr1:94119471-94119481(-) GGACAATAGCT >hg38_chr1:94172479-94172489(-) CTACAATGTGG >hg38_chr1:94199647-94199657(+) aaacaacggga >hg38_chr1:94200682-94200692(+) atacaatggaa >hg38_chr1:94204752-94204762(-) caacaatagat >hg38_chr1:94218519-94218529(+) ACACAATAGCT >hg38_chr1:94228560-94228570(-) AAATAATGGCC >hg38_chr1:94235278-94235288(+) GAACAATACAC >hg38_chr1:94321765-94321775(-) caACAATGAAA >hg38_chr1:94325956-94325966(+) TGACAATGCAT >hg38_chr1:94325986-94325996(-) AAACAATAAGA >hg38_chr1:94339539-94339549(-) GGACAATAGAT >hg38_chr1:94387718-94387728(-) TGACAATGTTT >hg38_chr1:94402152-94402162(-) agacaatgaaa >hg38_chr1:94417248-94417258(-) GTACAATAATG >hg38_chr1:94431033-94431043(+) ttacaatgatg >hg38_chr1:94441620-94441630(+) aaactatggta >hg38_chr1:94479010-94479020(+) ATATAATAGTA >hg38_chr1:94487246-94487256(+) CTACAATAGCA >hg38_chr1:94487252-94487262(-) GCACAATGCTA >hg38_chr1:94487281-94487291(-) TAACAATGCTG >hg38_chr1:94496446-94496456(-) acacaatgaaa >hg38_chr1:94519789-94519799(-) AAACAAAGGTG >hg38_chr1:94519935-94519945(-) taacaatgttc >hg38_chr1:94592325-94592335(+) ACACAATGGCT >hg38_chr1:94636108-94636118(-) aaacagtggac >hg38_chr1:94688767-94688777(-) gaacaatgctc >hg38_chr1:94689984-94689994(-) AGACAATGCCA >hg38_chr1:94695731-94695741(+) ttacaatgcag >hg38_chr1:94707204-94707214(+) ggacaatagaa >hg38_chr1:94787497-94787507(+) ttacaaaggtg >hg38_chr1:94792905-94792915(-) TTACAATAGAT >hg38_chr1:94792917-94792927(-) GCACAATGATA >hg38_chr1:94799558-94799568(-) aaacaatagat >hg38_chr1:94801328-94801338(+) ATACAATGCCT >hg38_chr1:94809134-94809144(+) AGACAATAGAT >hg38_chr1:94818628-94818638(-) ATACAATAGAT >hg38_chr1:94819907-94819917(+) taacaatagca >hg38_chr1:94860132-94860142(+) agacaatgcag >hg38_chr1:94864036-94864046(-) AAACAAAGGAG >hg38_chr1:94869286-94869296(-) TCACAATGGTC >hg38_chr1:94886671-94886681(-) AAACAATGAAA >hg38_chr1:94886709-94886719(-) ACACAATGAGG >hg38_chr1:94894844-94894854(+) AAACAATGCAA >hg38_chr1:94900303-94900313(-) tcacaatgatt >hg38_chr1:94925828-94925838(+) AGACAATGAGC >hg38_chr1:94929358-94929368(-) ACACAATGAGT >hg38_chr1:94935157-94935167(-) caacaatagga >hg38_chr1:94936570-94936580(-) CGACAATAGAT >hg38_chr1:94936621-94936631(+) TAACAATAAAA >hg38_chr1:94970952-94970962(+) tgacaatgcag >hg38_chr1:94993116-94993126(+) TAACAATAACC >hg38_chr1:94998273-94998283(-) CAACAATGGAC >hg38_chr1:95009758-95009768(+) gaacaatggtg >hg38_chr1:95045420-95045430(+) TGACAATGTTG >hg38_chr1:95080974-95080984(+) GTACAGTGGTA >hg38_chr1:95098297-95098307(-) GAACAAAGGTT >hg38_chr1:95098331-95098341(-) AAACAATGAAG >hg38_chr1:95152880-95152890(-) GTACAAAGGAT >hg38_chr1:95227711-95227721(-) AAACAATAACC >hg38_chr1:95227791-95227801(-) TCACAATGACG >hg38_chr1:95304955-95304965(-) ACACAGTGGTA >hg38_chr1:95304968-95304978(+) GAACAGTGGGT >hg38_chr1:95309014-95309024(-) GTACAAAGGCA >hg38_chr1:95312103-95312113(+) GAACAAAGGCG >hg38_chr1:95321146-95321156(+) ACACAATGCAT >hg38_chr1:95323278-95323288(+) AAATAATGGCT >hg38_chr1:95333321-95333331(+) acacaatgtga >hg38_chr1:95408120-95408130(-) AAACAATGGGC >hg38_chr1:95408958-95408968(+) GAACAATAAAC >hg38_chr1:95438384-95438394(+) ACACAATGCCC >hg38_chr1:95451267-95451277(-) gtacaatgaaa >hg38_chr1:95462418-95462428(+) gaacaatgact >hg38_chr1:95535789-95535799(+) GAACAAAGGAT >hg38_chr1:95584044-95584054(+) AAACAATAGAG >hg38_chr1:95607673-95607683(-) CAACAATAGCA >hg38_chr1:95608060-95608070(-) AAACAAAGGCT >hg38_chr1:95640962-95640972(+) GAACAATGAAA >hg38_chr1:95655497-95655507(+) TCACAATAGCG >hg38_chr1:95702975-95702985(+) gaacaatataa >hg38_chr1:95729137-95729147(+) TAATAATGGTT >hg38_chr1:95729174-95729184(-) GAACAATAAGA >hg38_chr1:95781027-95781037(+) gcacaaaggga >hg38_chr1:95794198-95794208(+) AAACAATGAGC >hg38_chr1:95810262-95810272(+) aaacaaaggaa >hg38_chr1:95916759-95916769(-) ctacaatgcac >hg38_chr1:96035427-96035437(+) AGACAATACGG >hg38_chr1:96061416-96061426(-) AAACAAAGGTA >hg38_chr1:96082044-96082054(+) ctacaatgttc >hg38_chr1:96096644-96096654(-) TAACAAAGGGG >hg38_chr1:96096756-96096766(+) TCACAATGTAA >hg38_chr1:96096797-96096807(+) CAACAATGAAT >hg38_chr1:96097275-96097285(+) GCACAATGTAG >hg38_chr1:96116528-96116538(+) GTATAATGAAT >hg38_chr1:96121222-96121232(+) gtacaaaggtc >hg38_chr1:96121293-96121303(+) ccacaatgaat >hg38_chr1:96163139-96163149(-) TTACAAAGGAA >hg38_chr1:96206624-96206634(+) aaacaatgccc >hg38_chr1:96236412-96236422(+) GGACAAAGGTG >hg38_chr1:96251008-96251018(-) CTACAATGATA >hg38_chr1:96259536-96259546(+) AAACAATGCTT >hg38_chr1:96273840-96273850(-) aaacaatggga >hg38_chr1:96273870-96273880(-) atacaatggag >hg38_chr1:96290318-96290328(+) GGACAATGGAG >hg38_chr1:96327264-96327274(-) GTACAATATCA >hg38_chr1:96329518-96329528(+) taacaatatat >hg38_chr1:96368647-96368657(+) taacaatgcat >hg38_chr1:96464340-96464350(-) AAACAATAGAT >hg38_chr1:96473899-96473909(+) GCACAATGAAT >hg38_chr1:96473914-96473924(+) GAACAATGGGG >hg38_chr1:96487653-96487663(-) CAACAATGCCA >hg38_chr1:96525110-96525120(+) acacaatgtga >hg38_chr1:96525178-96525188(+) taacaaaggga >hg38_chr1:96538608-96538618(+) GAACAATGGTA >hg38_chr1:96554484-96554494(-) GCACAATGATG >hg38_chr1:96599387-96599397(-) gaacaatgcct >hg38_chr1:96668008-96668018(-) gaacaattgta >hg38_chr1:96730895-96730905(-) agacactggac >hg38_chr1:96833612-96833622(-) ATACAAAGGGA >hg38_chr1:96834360-96834370(+) GAACAATGACT >hg38_chr1:96860026-96860036(-) atacaataata >hg38_chr1:96927393-96927403(+) AAACAATGAAG >hg38_chr1:96995699-96995709(+) TTACAAAGGGA >hg38_chr1:97033514-97033524(+) TAACTATGGGC >hg38_chr1:97033554-97033564(-) TGACAATGCAT >hg38_chr1:97042098-97042108(+) ggataatggtc >hg38_chr1:97051094-97051104(+) TCACAATGTTA >hg38_chr1:97051932-97051942(+) tgacaatgggc >hg38_chr1:97053561-97053571(+) CTACAATAGAA >hg38_chr1:97079348-97079358(+) ACACAATGTTT >hg38_chr1:97079381-97079391(-) ACACAATGAAG >hg38_chr1:97094255-97094265(+) TTACAATGggg >hg38_chr1:97094472-97094482(+) gaacaatagtc >hg38_chr1:97101784-97101794(+) AAACAAAGGAA >hg38_chr1:97115068-97115078(-) ACACTATGGTC >hg38_chr1:97123968-97123978(-) tcacaatggca >hg38_chr1:97124541-97124551(-) AAATAATGGAA >hg38_chr1:97124572-97124582(+) CTACAAAGGAT >hg38_chr1:97124596-97124606(-) GGACAATGGAG >hg38_chr1:97138342-97138352(+) AAACAATGTTG >hg38_chr1:97146469-97146479(+) ATACAATACAT >hg38_chr1:97184678-97184688(-) gaacaatgaac >hg38_chr1:97184713-97184723(-) gtacaataata >hg38_chr1:97184720-97184730(-) aaacaatgtac >hg38_chr1:97192442-97192452(+) TGACAATGGTT >hg38_chr1:97192467-97192477(+) ATACAAAGGAA >hg38_chr1:97192478-97192488(+) ATACAAAGGCA >hg38_chr1:97193734-97193744(-) gaacaatagca >hg38_chr1:97207061-97207071(-) gtacaatggta >hg38_chr1:97328333-97328343(+) AGACAATAGCC >hg38_chr1:97339550-97339560(+) gcacaatggtt >hg38_chr1:97339989-97339999(-) TGACAATGATC >hg38_chr1:97340041-97340051(-) TCACAATAATA >hg38_chr1:97343249-97343259(-) GAATAATGGTG >hg38_chr1:97347846-97347856(+) atacaatatat >hg38_chr1:97353057-97353067(+) AAACAATAGAT >hg38_chr1:97368869-97368879(+) TGACAATGCAG >hg38_chr1:97412028-97412038(+) TTACAATGCAT >hg38_chr1:97423557-97423567(-) ggacaatgcat >hg38_chr1:97442222-97442232(-) aaacaaaggac >hg38_chr1:97454801-97454811(+) TCACAATGGCC >hg38_chr1:97454810-97454820(+) CCACAATGAAC >hg38_chr1:97461878-97461888(+) GAACAATAAAT >hg38_chr1:97489632-97489642(+) TAACaatgata >hg38_chr1:97494905-97494915(+) gcacaatgcat >hg38_chr1:97504962-97504972(+) AGACAATGGAG >hg38_chr1:97531415-97531425(-) atacaatgact >hg38_chr1:97531472-97531482(-) gaacaatagag >hg38_chr1:97573108-97573118(+) CTACAATGACC >hg38_chr1:97576207-97576217(-) ACACAATAAAC >hg38_chr1:97581991-97582001(-) tgacaatagtt >hg38_chr1:97601665-97601675(+) GGACAATAGTG >hg38_chr1:97631151-97631161(-) AGACAATGACA >hg38_chr1:97650425-97650435(+) caacaatggtc >hg38_chr1:97665946-97665956(+) GAACAATAAAG >hg38_chr1:97680923-97680933(+) gcacaatgcct >hg38_chr1:97694879-97694889(+) aaacaatagcc >hg38_chr1:97738338-97738348(+) GGACAATAGAA >hg38_chr1:97796015-97796025(-) gtacaatacaa >hg38_chr1:97796761-97796771(+) gaacaatggta >hg38_chr1:97798899-97798909(-) ttacaatgcct >hg38_chr1:97817149-97817159(-) gtacaaaggca >hg38_chr1:97821659-97821669(+) CTACAATAGAC >hg38_chr1:97824347-97824357(-) CAACAATGGAG >hg38_chr1:97850095-97850105(+) AAATAATGGAC >hg38_chr1:97870844-97870854(-) AGACAATGGCA >hg38_chr1:97893886-97893896(-) AGACAATAAAA >hg38_chr1:97907117-97907127(+) gaacaatgaaa >hg38_chr1:97917580-97917590(+) ggacaatggac >hg38_chr1:97921369-97921379(-) AAACAATGTAT >hg38_chr1:97932025-97932035(+) ggacaatgtga >hg38_chr1:97941168-97941178(-) aaacaatagaa >hg38_chr1:97963461-97963471(-) ggacaaaggca >hg38_chr1:97963491-97963501(-) gaacaaaggga >hg38_chr1:97980644-97980654(-) GAACAATGAAA >hg38_chr1:97992380-97992390(-) ttacaaaggaa >hg38_chr1:97995082-97995092(-) GGACAATAATA >hg38_chr1:97997219-97997229(-) AGACAATGGCC >hg38_chr1:97998205-97998215(+) agacaatgtat >hg38_chr1:97999383-97999393(-) gaacaaaggca >hg38_chr1:98002496-98002506(-) caacaatagtg >hg38_chr1:98008558-98008568(-) ATACAATGAAA >hg38_chr1:98031224-98031234(-) TGACAATGTCA >hg38_chr1:98031685-98031695(-) ATACAATGTTC >hg38_chr1:98038735-98038745(-) GGACAATGATA >hg38_chr1:98057390-98057400(-) TCACAATGaaa >hg38_chr1:98059746-98059756(-) GAACAATGAAA >hg38_chr1:98070110-98070120(+) TAACAATAGCT >hg38_chr1:98070135-98070145(-) CAACAATAGCT >hg38_chr1:98087067-98087077(+) GGACAATGCAA >hg38_chr1:98103866-98103876(+) GAACAATGCTA >hg38_chr1:98115797-98115807(-) CTACAAAGGAC >hg38_chr1:98151739-98151749(-) tcacaatgacc >hg38_chr1:98151752-98151762(+) atacaatggag >hg38_chr1:98157703-98157713(+) ATACAAAGGAA >hg38_chr1:98178286-98178296(+) ccacaatgtgt >hg38_chr1:98178298-98178308(-) ctacaatatac >hg38_chr1:98222151-98222161(+) TCACAATAGCT >hg38_chr1:98222192-98222202(-) AGACAAAGGTC >hg38_chr1:98247666-98247676(-) ggacaatgctg >hg38_chr1:98278265-98278275(+) AAACAATGATG >hg38_chr1:98300649-98300659(+) ACACAATGATT >hg38_chr1:98300667-98300677(-) ATACAATGTGG >hg38_chr1:98303249-98303259(-) ccacaatgcaa >hg38_chr1:98323603-98323613(-) acacaatgccc >hg38_chr1:98356891-98356901(+) gcacaataggg >hg38_chr1:98377282-98377292(-) ggacaaTGGTA >hg38_chr1:98383628-98383638(+) GTACAAAGGTT >hg38_chr1:98425377-98425387(-) AGACAATAGCC >hg38_chr1:98434417-98434427(+) TGACAATAGCC >hg38_chr1:98453488-98453498(+) CGATAATAGAA >hg38_chr1:98458769-98458779(+) CAACAATGAAG >hg38_chr1:98459559-98459569(+) GAACAATGAAA >hg38_chr1:98459591-98459601(+) GGACAATGTAG >hg38_chr1:98496479-98496489(-) ggacaatgccc >hg38_chr1:98496494-98496504(+) ttacaatgaat >hg38_chr1:98496629-98496639(-) atacaatattt >hg38_chr1:98502945-98502955(-) GAACAATGCCC >hg38_chr1:98503165-98503175(-) CAACAATAGGC >hg38_chr1:98510932-98510942(-) atacaatagtt >hg38_chr1:98573246-98573256(+) atacaatattt >hg38_chr1:98608898-98608908(-) CTACAATGGTT >hg38_chr1:98617666-98617676(-) atacaaaggca >hg38_chr1:98638189-98638199(+) atacaatacac >hg38_chr1:98669721-98669731(-) TAACAATAACT >hg38_chr1:98672345-98672355(+) agacaatggct >hg38_chr1:98672378-98672388(-) ggacaatggca >hg38_chr1:98676485-98676495(-) TAACAATAGTC >hg38_chr1:98701552-98701562(+) GTACAATGCTT >hg38_chr1:98732857-98732867(+) TGATAATGGAG >hg38_chr1:98734143-98734153(-) GGACAATGAAA >hg38_chr1:98735046-98735056(+) GAACAATAAAA >hg38_chr1:98852974-98852984(+) taataatggcc >hg38_chr1:98903917-98903927(-) TTACAGTGGTC >hg38_chr1:98911090-98911100(+) TTACAAAGGCA >hg38_chr1:98949862-98949872(-) TAACAATAGAT >hg38_chr1:98975363-98975373(-) GAACAATGCAA >hg38_chr1:98985800-98985810(-) atacaatgagg >hg38_chr1:98985857-98985867(+) gcacaatgaca >hg38_chr1:98987513-98987523(+) taactatggtc >hg38_chr1:99004053-99004063(+) GCACAATCGAA >hg38_chr1:99011039-99011049(+) AAACAAAGGTT >hg38_chr1:99031312-99031322(-) aaacaatggat >hg38_chr1:99073739-99073749(+) AGACAATGTAG >hg38_chr1:99134535-99134545(-) AGACAATGGGT >hg38_chr1:99134581-99134591(-) GCACAATGGGT >hg38_chr1:99191162-99191172(-) TTATAATGGGA >hg38_chr1:99191242-99191252(+) GGACAATGGAA >hg38_chr1:99218005-99218015(-) ttacaatgaca >hg38_chr1:99236805-99236815(+) TCATAATGGTT >hg38_chr1:99240753-99240763(+) AAATAATGGAC >hg38_chr1:99241131-99241141(+) ccacaatgaaa >hg38_chr1:99261782-99261792(+) TGACAATGTGA >hg38_chr1:99267892-99267902(+) taacaataaag >hg38_chr1:99283242-99283252(+) TCACAATGGCT >hg38_chr1:99309747-99309757(-) taacaataaga >hg38_chr1:99359398-99359408(+) TCACAATAGCT >hg38_chr1:99359407-99359417(+) CTACAATGGAT >hg38_chr1:99446312-99446322(-) CAACAATAGTT >hg38_chr1:99446322-99446332(-) TGACAAAGGTC >hg38_chr1:99453755-99453765(-) CCACAATAGTC >hg38_chr1:99453793-99453803(-) AAACAATAGCT >hg38_chr1:99493844-99493854(-) CAACAATAGTT >hg38_chr1:99493912-99493922(-) AAACAATGAAA >hg38_chr1:99496122-99496132(+) caacaatgcta >hg38_chr1:99534108-99534118(-) gaacaaaggca >hg38_chr1:99545545-99545555(+) ACACAATGCAC >hg38_chr1:99560883-99560893(+) TCACAAAGGAA >hg38_chr1:99560909-99560919(-) AAACAATGCTG >hg38_chr1:99568477-99568487(-) ttataatgggc >hg38_chr1:99577526-99577536(+) GGACAAAGGAG >hg38_chr1:99600969-99600979(-) CAACAATGGGA >hg38_chr1:99614304-99614314(-) aaacaaagggg >hg38_chr1:99635842-99635852(-) AAACAAAGGTC >hg38_chr1:99638973-99638983(+) TAACAAAGGAA >hg38_chr1:99650922-99650932(-) ATACAATGATC >hg38_chr1:99650987-99650997(-) TAACAATGGGG >hg38_chr1:99674877-99674887(-) TTACAATGAGT >hg38_chr1:99685922-99685932(+) GTACACTGGCC >hg38_chr1:99693553-99693563(-) ATACAATAACA >hg38_chr1:99724163-99724173(-) TCATAATGGAC >hg38_chr1:99724182-99724192(-) ACACAATGGAA >hg38_chr1:99724195-99724205(-) TTATAATGGAG >hg38_chr1:99733624-99733634(+) acacaatggac >hg38_chr1:99761959-99761969(+) gaactatggga >hg38_chr1:99761972-99761982(-) ggacaatagta >hg38_chr1:99837671-99837681(+) CAACAATAGTT >hg38_chr1:99851495-99851505(-) ATACAAAGGCA >hg38_chr1:99880702-99880712(-) GAACAAAGGAT >hg38_chr1:99880786-99880796(-) CCACAATAGGA >hg38_chr1:99886740-99886750(-) acacaatagca >hg38_chr1:99886779-99886789(-) taacaataaaa >hg38_chr1:99891012-99891022(-) TTACAAAGGCA >hg38_chr1:99906737-99906747(-) atacaatggaa >hg38_chr1:99906767-99906777(-) tgacaatgaat >hg38_chr1:100000195-100000205(+) caacaatgtac >hg38_chr1:100029250-100029260(+) ccacaatgaat >hg38_chr1:100035663-100035673(+) gaaCAATAACC >hg38_chr1:100053283-100053293(-) ACACAATGCTG >hg38_chr1:100081003-100081013(-) GAACAAAGGTT >hg38_chr1:100081057-100081067(+) AAACAATGCTG >hg38_chr1:100109148-100109158(-) GTACATTGGTA >hg38_chr1:100112630-100112640(-) GAATAATGGCT >hg38_chr1:100148060-100148070(-) TAACAATGCCA >hg38_chr1:100164392-100164402(-) agacaatgatg >hg38_chr1:100188106-100188116(+) ACACAATGGGA >hg38_chr1:100240226-100240236(+) CCACAATGTGT >hg38_chr1:100273798-100273808(+) CCACAATGTGA >hg38_chr1:100305119-100305129(+) ACATAATGGGT >hg38_chr1:100313119-100313129(-) taataatgtat >hg38_chr1:100313139-100313149(-) aaacaatggac >hg38_chr1:100313542-100313552(-) gaacaatgaac >hg38_chr1:100388332-100388342(+) AGACAATGAGA >hg38_chr1:100388340-100388350(+) AGACAATGAAA >hg38_chr1:100401268-100401278(+) ccacaatagcc >hg38_chr1:100401289-100401299(+) gaacaatgtct >hg38_chr1:100414916-100414926(-) AAACAAAGGAA >hg38_chr1:100415533-100415543(-) AGACAATATAC >hg38_chr1:100423967-100423977(-) TTACAAAGGCA >hg38_chr1:100458922-100458932(-) AAACAATGTGA >hg38_chr1:100462569-100462579(-) CAACAATGAAG >hg38_chr1:100463413-100463423(+) TTACAATGCTG >hg38_chr1:100468197-100468207(-) TAACAATGCAG >hg38_chr1:100504314-100504324(-) AGACAATGGCA >hg38_chr1:100504753-100504763(-) ATACAATACTA >hg38_chr1:100513166-100513176(+) TTACAAAGGCT >hg38_chr1:100579114-100579124(-) caataatgggt >hg38_chr1:100608057-100608067(-) ACACAATGCTG >hg38_chr1:100624102-100624112(-) ttacaatgtag >hg38_chr1:100624146-100624156(-) atataatggtg >hg38_chr1:100630811-100630821(+) GTACAAAGGTT >hg38_chr1:100708190-100708200(-) TGACAATGATC >hg38_chr1:100725944-100725954(+) atacaatatat >hg38_chr1:100747963-100747973(+) GAACAATGCTA >hg38_chr1:100750176-100750186(+) GGACAATGGTT >hg38_chr1:100752410-100752420(+) gtacaatacaa >hg38_chr1:100753984-100753994(-) tgataatggaa >hg38_chr1:100754151-100754161(-) aaacagtggaa >hg38_chr1:100776320-100776330(-) agacaatggaa >hg38_chr1:100819326-100819336(-) ctacaatgcac >hg38_chr1:100832919-100832929(+) TTACAAAGGAA >hg38_chr1:100847910-100847920(+) CTACAATAGAG >hg38_chr1:100860606-100860616(+) TTACAAAGGAA >hg38_chr1:100860620-100860630(-) AGACAATGGAG >hg38_chr1:100870589-100870599(+) GAACAATAAAC >hg38_chr1:100870646-100870656(-) CCACAATGACT >hg38_chr1:100872793-100872803(+) AGACAATGGGT >hg38_chr1:100886145-100886155(+) TGACAATAGAA >hg38_chr1:100893663-100893673(+) TGACAATGACA >hg38_chr1:100895279-100895289(+) AAACAATGCAT >hg38_chr1:100899098-100899108(+) GAACAATAGGT >hg38_chr1:100905908-100905918(+) taacaatgagt >hg38_chr1:100908877-100908887(-) AAACAATAGAA >hg38_chr1:100909106-100909116(+) GTACAATATTT >hg38_chr1:100917332-100917342(-) TAACAATGTTC >hg38_chr1:100954138-100954148(-) gcacaatgctt >hg38_chr1:100955504-100955514(-) TCACAATGAAG >hg38_chr1:100973575-100973585(+) aaacaataaac >hg38_chr1:100989524-100989534(-) AAACAAAGGTA >hg38_chr1:101013745-101013755(-) TCACAATGCCT >hg38_chr1:101027205-101027215(+) tcacaatgagc >hg38_chr1:101051141-101051151(+) TAACAATAGCT >hg38_chr1:101059381-101059391(-) tcacaatgata >hg38_chr1:101061938-101061948(-) CAACAATGGTA >hg38_chr1:101068679-101068689(+) GAACAATGTCT >hg38_chr1:101074463-101074473(-) GTACAAAGGAC >hg38_chr1:101089697-101089707(+) TTACAAAGGAG >hg38_chr1:101091280-101091290(+) ATATAATGGCA >hg38_chr1:101121685-101121695(+) AGACAATGCCA >hg38_chr1:101121743-101121753(-) GTACAGTGGAT >hg38_chr1:101122030-101122040(+) TAATAATGGCC >hg38_chr1:101122248-101122258(-) AGACAATGAAA >hg38_chr1:101137083-101137093(-) AAATAATGGTT >hg38_chr1:101138002-101138012(+) ttacaatgtta >hg38_chr1:101138020-101138030(+) taACAATGAGA >hg38_chr1:101179311-101179321(+) ACACAATAAAA >hg38_chr1:101179324-101179334(+) AGACAAAGGAA >hg38_chr1:101201359-101201369(-) CTATAATGGCT >hg38_chr1:101201413-101201423(-) CGATAATGAAT >hg38_chr1:101201789-101201799(+) ATACAATGCTT >hg38_chr1:101201805-101201815(+) TAACAATGGAT >hg38_chr1:101204002-101204012(+) gtacaatgcct >hg38_chr1:101204386-101204396(+) caacaatgact >hg38_chr1:101218639-101218649(+) agacaataaac >hg38_chr1:101242625-101242635(+) GCATAATGGAA >hg38_chr1:101260545-101260555(-) CCACAATGGCA >hg38_chr1:101288541-101288551(-) TAACAATGAAT >hg38_chr1:101309984-101309994(-) CCACAATGAGG >hg38_chr1:101316521-101316531(-) AAACAATGGAA >hg38_chr1:101325392-101325402(-) GAACAATGCCC >hg38_chr1:101325406-101325416(+) GTACAATGAAG >hg38_chr1:101357598-101357608(+) GGACAATAGAC >hg38_chr1:101383387-101383397(+) TCACAATGGAA >hg38_chr1:101421289-101421299(-) atacaatagaa >hg38_chr1:101421314-101421324(+) caacaatagtt >hg38_chr1:101421322-101421332(-) gtataatgaac >hg38_chr1:101424745-101424755(-) ccacaatgaga >hg38_chr1:101507937-101507947(-) TCACAATGGTC >hg38_chr1:101511682-101511692(+) TTACAAAGGTA >hg38_chr1:101518263-101518273(-) gaacaatatac >hg38_chr1:101518299-101518309(-) acacaatagac >hg38_chr1:101547388-101547398(-) acacaaaggca >hg38_chr1:101548337-101548347(+) Ttacaatgatt >hg38_chr1:101566383-101566393(+) gaacaaaggat >hg38_chr1:101619073-101619083(+) tcacaataggg >hg38_chr1:101653885-101653895(+) ttacaatgctt >hg38_chr1:101714029-101714039(-) TAACAATAACT >hg38_chr1:101740053-101740063(+) CCACAATGTTA >hg38_chr1:101740062-101740072(+) TAACAATAGAT >hg38_chr1:101741930-101741940(+) AAACAAAGGTG >hg38_chr1:101762513-101762523(-) TTACAATAGTG >hg38_chr1:101790920-101790930(+) aaacaatgctc >hg38_chr1:101791750-101791760(+) tgacaatgtgg >hg38_chr1:101828725-101828735(-) GGACAATGCAA >hg38_chr1:101884772-101884782(-) taacaatgtac >hg38_chr1:101884817-101884827(+) gcacaatgccc >hg38_chr1:101926966-101926976(+) ATACAATCGCT >hg38_chr1:101952726-101952736(-) gaacaatggaa >hg38_chr1:101996395-101996405(-) AAACAATGCAA >hg38_chr1:102056634-102056644(+) acacaatgaaa >hg38_chr1:102106048-102106058(+) ctacaatgctt >hg38_chr1:102106286-102106296(-) atacaatgttc >hg38_chr1:102161650-102161660(-) GCATAATGGGA >hg38_chr1:102174719-102174729(-) gaacaatagag >hg38_chr1:102191918-102191928(+) ACACAATGGCT >hg38_chr1:102421143-102421153(+) aaacaatgcaa >hg38_chr1:102434709-102434719(-) cgacaatgtgc >hg38_chr1:102459307-102459317(-) aaacaatgaaa >hg38_chr1:102597759-102597769(-) GTACAATGACC >hg38_chr1:102597987-102597997(+) GCATAATGGTT >hg38_chr1:102598244-102598254(+) TAACAATGATT >hg38_chr1:102607152-102607162(+) CAACAATGTTA >hg38_chr1:102607830-102607840(-) ACACAATGACA >hg38_chr1:102672650-102672660(-) TAATAATGGAA >hg38_chr1:102707778-102707788(+) ccacaatgaga >hg38_chr1:102707805-102707815(+) tcacaatggct >hg38_chr1:102708798-102708808(+) aaacaataggt >hg38_chr1:102722923-102722933(+) ccacaatgaat >hg38_chr1:102764865-102764875(-) CTACAATAGAC >hg38_chr1:102821876-102821886(+) tcacaatgcca >hg38_chr1:102862423-102862433(-) acacaatggaa >hg38_chr1:102862456-102862466(-) acacaatggaa >hg38_chr1:102862472-102862482(-) acacaatggaa >hg38_chr1:102862496-102862506(-) acacaatggaa >hg38_chr1:102882559-102882569(-) GGACAATAGAG >hg38_chr1:102893003-102893013(+) CCACAATGAAA >hg38_chr1:102942189-102942199(+) ATACAATAGTT >hg38_chr1:102973363-102973373(+) ACACAATGGGC >hg38_chr1:102973414-102973424(+) TGACAATGAAA >hg38_chr1:102973422-102973432(+) AAACAATATTA >hg38_chr1:103013022-103013032(-) TAACAATAGTG >hg38_chr1:103026225-103026235(-) AGACAATAGCA >hg38_chr1:103053083-103053093(-) gcacaatagtg >hg38_chr1:103079775-103079785(-) ACACAATGGTT >hg38_chr1:103113628-103113638(+) aaacaatagat >hg38_chr1:103149796-103149806(-) atacaatgtca >hg38_chr1:103150194-103150204(-) caacaatgaga >hg38_chr1:103191703-103191713(+) AAACAATGGTC >hg38_chr1:103195201-103195211(+) GAACAATGTCT >hg38_chr1:103195258-103195268(+) TCACAATGGAA >hg38_chr1:103254109-103254119(+) atacaaaggga >hg38_chr1:103428001-103428011(+) caacaatggtt >hg38_chr1:103458017-103458027(-) AAACAATGGCC >hg38_chr1:103460642-103460652(-) AAACACTGGTA >hg38_chr1:103460702-103460712(+) AAACAATGGCT >hg38_chr1:103461396-103461406(+) TAACAATAGCA >hg38_chr1:103461450-103461460(+) GAACAGTGGTA >hg38_chr1:103461842-103461852(+) AAACAATACTA >hg38_chr1:103461889-103461899(-) GTACAATGTAG >hg38_chr1:103461899-103461909(-) AAACAATAGTG >hg38_chr1:103478976-103478986(-) aaacaatgcag >hg38_chr1:103503915-103503925(-) taataatggac >hg38_chr1:103514876-103514886(+) TAACAAAGGGT >hg38_chr1:103515125-103515135(+) TGACAATGACT >hg38_chr1:103516846-103516856(+) tgacaaaggta >hg38_chr1:103776636-103776646(+) GTACTATGGCA >hg38_chr1:103856175-103856185(-) taacaatggta >hg38_chr1:103974465-103974475(+) taactatgggt >hg38_chr1:104084549-104084559(+) gtacaaagggc >hg38_chr1:104092804-104092814(-) AGACAATGACA >hg38_chr1:104139094-104139104(+) cgacaatacag >hg38_chr1:104402333-104402343(-) AAACAATAACT >hg38_chr1:104402367-104402377(+) GCACAATGCCA >hg38_chr1:104406943-104406953(-) aaacaaagggg >hg38_chr1:104463642-104463652(+) ttacaatgcat >hg38_chr1:104488531-104488541(+) ccacaatagaa >hg38_chr1:104814378-104814388(+) gaacaatagta >hg38_chr1:104814393-104814403(+) agacaatggac >hg38_chr1:105100239-105100249(+) ATACAATGAAG >hg38_chr1:105100249-105100259(-) TCACAATGCCC >hg38_chr1:105137591-105137601(-) tgacaatgtga >hg38_chr1:105172954-105172964(+) AAACAATGAGG >hg38_chr1:105196771-105196781(-) taacaatgttc >hg38_chr1:105199180-105199190(+) caacaatgccc >hg38_chr1:105388582-105388592(-) GGACAATAGAG >hg38_chr1:105401869-105401879(+) atataatgaat >hg38_chr1:105416420-105416430(+) agacaatgggg >hg38_chr1:105483850-105483860(-) atacaatggac >hg38_chr1:105534527-105534537(+) gtacaatatct >hg38_chr1:105534789-105534799(-) gaacaatggaa >hg38_chr1:105572637-105572647(+) AGATAATGGCA >hg38_chr1:105692722-105692732(-) ATACAATAAAG >hg38_chr1:105700971-105700981(-) gtaccatggaa >hg38_chr1:105928807-105928817(-) atacaatataa >hg38_chr1:105929594-105929604(-) TGACAATGATA >hg38_chr1:106016552-106016562(+) taacaatggct >hg38_chr1:106060590-106060600(+) aaacaaaggaa >hg38_chr1:106062799-106062809(-) ggacaatgatt >hg38_chr1:106063686-106063696(+) CAACAAAGGAC >hg38_chr1:106246293-106246303(+) acacaatgctg >hg38_chr1:106268260-106268270(-) GCACAATGTAG >hg38_chr1:106268308-106268318(+) GAACAATAGGG >hg38_chr1:106392361-106392371(-) TGACAATGTAA >hg38_chr1:106436266-106436276(-) TAACAATGTAT >hg38_chr1:106487580-106487590(-) acacaatggac >hg38_chr1:106493764-106493774(-) CTACAATAGTG >hg38_chr1:106592276-106592286(-) agacaatggga >hg38_chr1:106635876-106635886(+) GGACAATAGAA >hg38_chr1:106700205-106700215(-) TAACAATGCAA >hg38_chr1:106710345-106710355(-) taacaatacga >hg38_chr1:106731415-106731425(-) gaacaatgttc >hg38_chr1:106736924-106736934(+) tgacaatgtct >hg38_chr1:106746092-106746102(-) tgataatggca >hg38_chr1:106746944-106746954(+) GCACAATGAAA >hg38_chr1:106753849-106753859(-) TGATAATGGAA >hg38_chr1:106753886-106753896(-) GAACAATAATC >hg38_chr1:106813651-106813661(+) gtacaatggtg >hg38_chr1:106867186-106867196(-) tgacaaaggaa >hg38_chr1:106867196-106867206(-) aaacaaaggat >hg38_chr1:106867238-106867248(+) agacaaaggtg >hg38_chr1:106886635-106886645(-) TTACAATAAGA >hg38_chr1:106889786-106889796(-) AGATAATGGCT >hg38_chr1:106924453-106924463(+) CAACAATGACT >hg38_chr1:106943363-106943373(+) ATACAATAATA >hg38_chr1:106960991-106961001(-) TCACAATGTAT >hg38_chr1:106984996-106985006(-) ACACAATGCTG >hg38_chr1:107025765-107025775(-) GAATAATGGTA >hg38_chr1:107029782-107029792(-) ggactatggat >hg38_chr1:107055859-107055869(-) TAACAATGCAa >hg38_chr1:107080496-107080506(-) ACACAATGCCA >hg38_chr1:107142505-107142515(+) GAATAATGGAG >hg38_chr1:107169846-107169856(-) ccacaatagca >hg38_chr1:107171561-107171571(-) ACACAATGGCA >hg38_chr1:107179140-107179150(+) GAACAATAAGA >hg38_chr1:107179148-107179158(+) AGACAATGTAC >hg38_chr1:107180815-107180825(-) GGACAATGGAC >hg38_chr1:107185322-107185332(-) taacaaaggat >hg38_chr1:107187705-107187715(+) CAACAATGTGG >hg38_chr1:107188189-107188199(+) CGACAATAGAT >hg38_chr1:107195679-107195689(-) ggacaatgtgg >hg38_chr1:107248224-107248234(-) TAACAAAGGCA >hg38_chr1:107257103-107257113(+) AAATAATGGTA >hg38_chr1:107265119-107265129(-) GAACAATGAGA >hg38_chr1:107267033-107267043(-) GTACAATGTGT >hg38_chr1:107339022-107339032(+) GTACAATATTC >hg38_chr1:107353068-107353078(-) agacaatgggg >hg38_chr1:107366045-107366055(+) CAACAATAGCA >hg38_chr1:107398887-107398897(-) ggacaatgggg >hg38_chr1:107414777-107414787(+) caacaatgagt >hg38_chr1:107423396-107423406(+) ctacaatgcac >hg38_chr1:107434540-107434550(+) TCACAATGAAA >hg38_chr1:107455702-107455712(+) GAACAATACGC >hg38_chr1:107530717-107530727(+) AGACAATGCCC >hg38_chr1:107532482-107532492(+) GAACAATGGCA >hg38_chr1:107538735-107538745(-) CAACAATGGAG >hg38_chr1:107574319-107574329(+) CGATAATGGCA >hg38_chr1:107620843-107620853(-) CTACAAAGGAA >hg38_chr1:107657563-107657573(-) AGACAATATAT >hg38_chr1:107677449-107677459(+) TTACAATGGCA >hg38_chr1:107704068-107704078(+) TGACAATGTAT >hg38_chr1:107762843-107762853(+) AAATAATGGGT >hg38_chr1:107782636-107782646(-) GTACAATAGCA >hg38_chr1:107783048-107783058(-) AGACAAAGGGA >hg38_chr1:107794942-107794952(-) GAACAATGCTG >hg38_chr1:107794963-107794973(-) TAACAATGAAA >hg38_chr1:107804666-107804676(-) gtacaatacac >hg38_chr1:107820980-107820990(-) AGACAGTGGAT >hg38_chr1:107829239-107829249(-) ATACAATAGTG >hg38_chr1:107829275-107829285(-) TAACAATATCA >hg38_chr1:107935852-107935862(+) AAACCATGGTA >hg38_chr1:107937331-107937341(+) GCACAATGAAT >hg38_chr1:107973272-107973282(-) AAACAATGAAG >hg38_chr1:107990819-107990829(+) GAACAATAAGA >hg38_chr1:108032889-108032899(-) ggacaataggg >hg38_chr1:108051722-108051732(-) ACACAATGAGA >hg38_chr1:108082802-108082812(+) agacaaagacg >hg38_chr1:108140779-108140789(+) acacaaaggga >hg38_chr1:108151819-108151829(-) atacaatatca >hg38_chr1:108171627-108171637(-) caataatggac >hg38_chr1:108199429-108199439(+) AAACAATGGAA >hg38_chr1:108200681-108200691(-) AGACAATGCCC >hg38_chr1:108312340-108312350(-) TTACAATAGCC >hg38_chr1:108343608-108343618(+) gtacaatgtaa >hg38_chr1:108563851-108563861(+) AGACAATATAT >hg38_chr1:108566951-108566961(+) taataatgtat >hg38_chr1:108629159-108629169(+) GAACAATAGTG >hg38_chr1:108629169-108629179(+) GAATAATGGAA >hg38_chr1:108679775-108679785(-) CAACAATAGCC >hg38_chr1:108697956-108697966(+) TGACAATAGCT >hg38_chr1:108705201-108705211(-) acacaatggag >hg38_chr1:108719465-108719475(-) gaacaatgcca >hg38_chr1:108760638-108760648(+) tcacaatagcc >hg38_chr1:108760645-108760655(-) ttactatggct >hg38_chr1:108778448-108778458(+) gcataatggat >hg38_chr1:108828325-108828335(-) GAACAATGTCC >hg38_chr1:108842600-108842610(+) TTACAATGACC >hg38_chr1:108852722-108852732(+) TAACAATAGCT >hg38_chr1:108857992-108858002(+) GCACAATGCTA >hg38_chr1:108870835-108870845(+) caacaatgggt >hg38_chr1:108914330-108914340(+) AAACAAAGGTG >hg38_chr1:108948121-108948131(+) AAACAAAGGGA >hg38_chr1:109022487-109022497(+) CCACAATGGAG >hg38_chr1:109030583-109030593(+) ACACAATAAAA >hg38_chr1:109040720-109040730(+) CGACAATATGC >hg38_chr1:109064937-109064947(-) GAACAATAAAG >hg38_chr1:109075394-109075404(-) ctacaaaggta >hg38_chr1:109083990-109084000(-) CAACAATAGCT >hg38_chr1:109097053-109097063(-) TCACAATGGGA >hg38_chr1:109151384-109151394(+) GAACAATGGGA >hg38_chr1:109151422-109151432(+) TCACAATGGGA >hg38_chr1:109176130-109176140(-) AAACAATAAAA >hg38_chr1:109219902-109219912(-) acataatggag >hg38_chr1:109229155-109229165(+) GAACAATAGAT >hg38_chr1:109229984-109229994(-) GAACAAAGGCT >hg38_chr1:109255216-109255226(-) AAACAAAGGGG >hg38_chr1:109319281-109319291(+) AAACAATGTCT >hg38_chr1:109327400-109327410(-) AAACAATGTGG >hg38_chr1:109362870-109362880(-) TAACAATGACT >hg38_chr1:109366534-109366544(-) GAACAATAAAG >hg38_chr1:109393577-109393587(+) GTACAAAGGTT >hg38_chr1:109398451-109398461(-) AGACAATGGGC >hg38_chr1:109399509-109399519(-) AGACAATAGAC >hg38_chr1:109441291-109441301(-) caacaatgaag >hg38_chr1:109450775-109450785(-) taacaatgaat >hg38_chr1:109451190-109451200(+) aaactatggag >hg38_chr1:109456222-109456232(-) gtacaatgtta >hg38_chr1:109469248-109469258(-) TTACAATAACT >hg38_chr1:109481405-109481415(+) GAACAAAGGGG >hg38_chr1:109484200-109484210(+) AAACAAAGGAC >hg38_chr1:109497970-109497980(-) GGACAATGAAA >hg38_chr1:109552848-109552858(+) gtataatggat >hg38_chr1:109553534-109553544(-) ATATAATGTAA >hg38_chr1:109559907-109559917(+) ATACAATGCTT >hg38_chr1:109563888-109563898(+) ggacaataggt >hg38_chr1:109564388-109564398(+) AAACAAAGGTG >hg38_chr1:109573858-109573868(-) ATACCATGGAC >hg38_chr1:109573933-109573943(+) AAACAATATAA >hg38_chr1:109585756-109585766(+) taataatagta >hg38_chr1:109589901-109589911(-) tcacaatgtta >hg38_chr1:109593782-109593792(+) GTATAATGTAC >hg38_chr1:109617517-109617527(+) AGACAATGAAA >hg38_chr1:109618278-109618288(-) ttacaatataa >hg38_chr1:109716758-109716768(-) GAACAAAGGGG >hg38_chr1:109730727-109730737(-) gtacaatgctt >hg38_chr1:109755039-109755049(+) taacaatggga >hg38_chr1:109781933-109781943(-) ttacaatgaac >hg38_chr1:109793991-109794001(+) CAATAATGGAG >hg38_chr1:109800663-109800673(+) ttacaatgtac >hg38_chr1:109812906-109812916(+) aaacaaagggt >hg38_chr1:109831073-109831083(-) agacaatgctg >hg38_chr1:109863961-109863971(-) CAACAATGCAC >hg38_chr1:109865142-109865152(-) gaacaatcgtg >hg38_chr1:109874579-109874589(+) AAACAATGCTG >hg38_chr1:109876276-109876286(-) GAACAATGACA >hg38_chr1:109877659-109877669(+) TTACAATGAGG >hg38_chr1:109879369-109879379(-) AAACAATGGCC >hg38_chr1:109896002-109896012(-) CAACAATGACT >hg38_chr1:109948813-109948823(-) AAACAATAGAT >hg38_chr1:109980098-109980108(+) ggacaataata >hg38_chr1:109986218-109986228(-) AAACACTGGAT >hg38_chr1:109986524-109986534(+) GGACAATGACC >hg38_chr1:110009969-110009979(-) GGACAATGAAT >hg38_chr1:110009996-110010006(-) ATACAATGCAA >hg38_chr1:110070927-110070937(-) AGACAAAGGGA >hg38_chr1:110243869-110243879(+) CAACAATGCAA >hg38_chr1:110259983-110259993(-) GGACAATGCAG >hg38_chr1:110280151-110280161(+) tcacaataggt >hg38_chr1:110339533-110339543(+) CAACAATGAAG >hg38_chr1:110344538-110344548(+) AAACAATGGCT >hg38_chr1:110345848-110345858(+) CAATAATGGAG >hg38_chr1:110351442-110351452(+) AGACAAAGGCA >hg38_chr1:110351711-110351721(+) agacaaagggt >hg38_chr1:110351756-110351766(-) ctacaatgtct >hg38_chr1:110356017-110356027(+) GCACAAAGGGA >hg38_chr1:110359004-110359014(+) TTACAATAGCT >hg38_chr1:110372562-110372572(-) TTACAAAGGCC >hg38_chr1:110403019-110403029(-) taacagtggta >hg38_chr1:110406357-110406367(+) CAACAATGGAG >hg38_chr1:110462253-110462263(+) acacaatggag >hg38_chr1:110477667-110477677(-) CAACAATGGGG >hg38_chr1:110506421-110506431(+) CCACAATGCAA >hg38_chr1:110506449-110506459(+) CGACAATGAAG >hg38_chr1:110542923-110542933(-) GGACAATGAGG >hg38_chr1:110565748-110565758(-) AAACAGTGGAA >hg38_chr1:110565763-110565773(+) GTACAATGCCC >hg38_chr1:110568287-110568297(-) aaacaatggaa >hg38_chr1:110578304-110578314(-) aaacaatagag >hg38_chr1:110578361-110578371(-) aaacaatgcag >hg38_chr1:110623090-110623100(+) aaacaatgtgg >hg38_chr1:110625374-110625384(+) taacaatgaga >hg38_chr1:110625762-110625772(+) atacaataaaa >hg38_chr1:110641866-110641876(-) GTACCATGGAA >hg38_chr1:110667941-110667951(+) TCACAATAGTA >hg38_chr1:110676562-110676572(-) GGACAATAAAA >hg38_chr1:110683397-110683407(+) TGACAATAGAA >hg38_chr1:110697061-110697071(-) ctacaatagct >hg38_chr1:110766309-110766319(+) AAACAATGGTG >hg38_chr1:110960397-110960407(+) TGACAATGAAG >hg38_chr1:110971921-110971931(-) ctacaataggc >hg38_chr1:110972577-110972587(-) aaacaatataa >hg38_chr1:110972620-110972630(-) atacaataaaa >hg38_chr1:111064889-111064899(-) ttacaatagtg >hg38_chr1:111142909-111142919(+) CTACAATAGCT >hg38_chr1:111150108-111150118(+) CTACAATGGAG >hg38_chr1:111162323-111162333(+) acacaatggca >hg38_chr1:111167221-111167231(+) GTACAATGGTG >hg38_chr1:111174974-111174984(-) ACACAATGTGA >hg38_chr1:111183928-111183938(-) agacaatatat >hg38_chr1:111219631-111219641(-) tcacaatagaa >hg38_chr1:111224100-111224110(-) aaataatgggt >hg38_chr1:111224107-111224117(-) aaacaataaat >hg38_chr1:111226021-111226031(+) ATACATTGGTA >hg38_chr1:111261072-111261082(-) cgacaatgtgg >hg38_chr1:111275293-111275303(-) ggacaatgaga >hg38_chr1:111305339-111305349(+) caacaatgggg >hg38_chr1:111305360-111305370(+) gaacaatgact >hg38_chr1:111305388-111305398(-) ttacaaaggtg >hg38_chr1:111309662-111309672(+) GAACAATGGTA >hg38_chr1:111359399-111359409(+) GAACAATGAGA >hg38_chr1:111366470-111366480(+) AGACAATAGCT >hg38_chr1:111377824-111377834(+) acacaatggac >hg38_chr1:111383156-111383166(-) GAACAATGGCT >hg38_chr1:111383172-111383182(+) AGACAAAGGAC >hg38_chr1:111392533-111392543(+) taacaataatg >hg38_chr1:111445059-111445069(+) acacaataaAT >hg38_chr1:111452856-111452866(+) ctacaatgcac >hg38_chr1:111466772-111466782(-) aaacaatgaat >hg38_chr1:111499154-111499164(-) AGACAATGGAT >hg38_chr1:111530653-111530663(-) aaacaatgtaa >hg38_chr1:111546994-111547004(+) gAACAatgccc >hg38_chr1:111556208-111556218(+) ccacaatgaga >hg38_chr1:111556546-111556556(+) atacaataaaa >hg38_chr1:111610844-111610854(-) ggacaatagag >hg38_chr1:111633251-111633261(-) CAACAATAGGG >hg38_chr1:111639928-111639938(+) TCACAATGGTG >hg38_chr1:111672042-111672052(+) gtacactggaa >hg38_chr1:111691275-111691285(-) TAATAATGTAC >hg38_chr1:111691703-111691713(-) atacaatgtaa >hg38_chr1:111707512-111707522(-) agacaatgtct >hg38_chr1:111707535-111707545(+) gcacaatgatt >hg38_chr1:111712104-111712114(-) AGACAATGATA >hg38_chr1:111712114-111712124(-) GTACAAAGGAA >hg38_chr1:111720830-111720840(+) caacaatgtag >hg38_chr1:111737158-111737168(+) AGACAAAGGGC >hg38_chr1:111740283-111740293(-) TAACAAAGGAG >hg38_chr1:111766975-111766985(+) GTACAGTGGTG >hg38_chr1:111768205-111768215(-) TGACAATGGCT >hg38_chr1:111768215-111768225(-) GGACAATAAAT >hg38_chr1:111813896-111813906(-) tgacaatgaat >hg38_chr1:111851615-111851625(-) atacaatgggg >hg38_chr1:111909171-111909181(+) CCACAATAGGT >hg38_chr1:111909191-111909201(-) ACATAATGGGA >hg38_chr1:111922145-111922155(-) TCATAATGGCA >hg38_chr1:111961253-111961263(-) GCACAATGGCC >hg38_chr1:112005654-112005664(+) tcacaatgact >hg38_chr1:112020077-112020087(-) TAACAATGAGC >hg38_chr1:112172565-112172575(+) ggacaatggaa >hg38_chr1:112192322-112192332(+) aaacaatgcaa >hg38_chr1:112192350-112192360(+) acacaatggaa >hg38_chr1:112192561-112192571(-) gtataatagta >hg38_chr1:112196166-112196176(+) TAACACTGGAG >hg38_chr1:112239536-112239546(-) aaacaatgtgt >hg38_chr1:112261446-112261456(+) CTACAATGACT >hg38_chr1:112262509-112262519(+) tgacaaaggga >hg38_chr1:112285717-112285727(-) AAACAAAGGTT >hg38_chr1:112311913-112311923(+) ggacaatgcta >hg38_chr1:112311939-112311949(-) tcacaatagcc >hg38_chr1:112404589-112404599(-) GAACAATAACA >hg38_chr1:112476024-112476034(+) ttacaatgata >hg38_chr1:112487114-112487124(+) atacaatggaa >hg38_chr1:112524417-112524427(+) GAACAATGTGA >hg38_chr1:112563556-112563566(-) acacaataggc >hg38_chr1:112613264-112613274(+) GAACAATGGTa >hg38_chr1:112650149-112650159(+) GGATAATGGCT >hg38_chr1:112658887-112658897(-) ACACAATAGTG >hg38_chr1:112680138-112680148(-) taacaatgctt >hg38_chr1:112698622-112698632(-) GAACAAAGGGA >hg38_chr1:112704818-112704828(+) GGACAATGACC >hg38_chr1:112715621-112715631(-) TCACAATGGAG >hg38_chr1:112771520-112771530(-) TAACAGTGGTC >hg38_chr1:112818140-112818150(+) GGACAATGCTT >hg38_chr1:112827641-112827651(+) ggacaatagat >hg38_chr1:112836678-112836688(+) atacaaaggaa >hg38_chr1:112910032-112910042(+) CCACAATGACC >hg38_chr1:112933970-112933980(+) aaacaatggta >hg38_chr1:112935682-112935692(+) gaacaatataa >hg38_chr1:112935959-112935969(-) aaacaaaggaa >hg38_chr1:112950308-112950318(+) TTACAAAGGCC >hg38_chr1:112951824-112951834(-) ATACAATATGC >hg38_chr1:112960852-112960862(-) ttacaatgtgt >hg38_chr1:112975234-112975244(+) TAACAATGTAA >hg38_chr1:113002029-113002039(-) CAACAATAGAT >hg38_chr1:113027556-113027566(+) GGACAAAGGTC >hg38_chr1:113042056-113042066(+) AAACAATGCTC >hg38_chr1:113043857-113043867(-) GGACAAAGGCA >hg38_chr1:113053842-113053852(+) ttacagtggga >hg38_chr1:113054192-113054202(-) GAACAATGAAG >hg38_chr1:113055976-113055986(+) agataatgcgt >hg38_chr1:113099878-113099888(+) ATATAATGAAT >hg38_chr1:113100522-113100532(-) GAACAATGATC >hg38_chr1:113107558-113107568(+) AAACAAAGGAT >hg38_chr1:113138593-113138603(-) ACACAATGGGG >hg38_chr1:113140756-113140766(-) ATATAATGGTA >hg38_chr1:113140792-113140802(+) TCACAATAGAG >hg38_chr1:113142959-113142969(+) AGACAATGCTC >hg38_chr1:113149243-113149253(+) TAACAATGACC >hg38_chr1:113160100-113160110(-) agacaatgaag >hg38_chr1:113196867-113196877(+) aaacaatagca >hg38_chr1:113256093-113256103(+) TGACAATCGTA >hg38_chr1:113270913-113270923(+) TGACAATGAGA >hg38_chr1:113282383-113282393(+) AGACAATGTTC >hg38_chr1:113352932-113352942(+) ATACAGTGGAA >hg38_chr1:113372627-113372637(+) CGACGATGGAA >hg38_chr1:113430364-113430374(-) GAACAATGGCT >hg38_chr1:113430518-113430528(+) TTACAATAGAA >hg38_chr1:113444324-113444334(-) caacaatgggg >hg38_chr1:113451992-113452002(-) TTATAATGGAA >hg38_chr1:113457089-113457099(-) ACACAAAGGAG >hg38_chr1:113471801-113471811(-) ttataatggag >hg38_chr1:113512209-113512219(-) TAACACTGGAC >hg38_chr1:113538359-113538369(+) GCACAATAGAT >hg38_chr1:113541322-113541332(-) TAACAAAGGTC >hg38_chr1:113577310-113577320(-) caacaatagtc >hg38_chr1:113577328-113577338(-) agacaatgtgc >hg38_chr1:113581589-113581599(-) ctacaatgagg >hg38_chr1:113594625-113594635(+) AGATAATGGGT >hg38_chr1:113597116-113597126(+) ctacaatggaa >hg38_chr1:113606586-113606596(-) GAACAAAGGAC >hg38_chr1:113616400-113616410(-) TTACTATGGTC >hg38_chr1:113624421-113624431(-) gcacaatgagg >hg38_chr1:113647798-113647808(+) TAACAATGTAG >hg38_chr1:113647843-113647853(-) TAATAATGTAT >hg38_chr1:113660256-113660266(-) GAACAAAGGCA >hg38_chr1:113681147-113681157(+) TTACAAAGGAT >hg38_chr1:113701380-113701390(+) TAACAATAGCA >hg38_chr1:113701662-113701672(-) AAACAAAGGTC >hg38_chr1:113758423-113758433(-) ACACAATGCCA >hg38_chr1:113765204-113765214(+) GAACAATAGAG >hg38_chr1:113769114-113769124(-) ggacaaaggga >hg38_chr1:113770686-113770696(+) tcacaatagac >hg38_chr1:113788062-113788072(+) aaacaatagga >hg38_chr1:113809212-113809222(+) ctacaatgagc >hg38_chr1:113809246-113809256(+) acacaatgccc >hg38_chr1:113812463-113812473(-) TTACAATAGAA >hg38_chr1:113834188-113834198(-) gaacaatgtgc >hg38_chr1:113937332-113937342(-) TGACAAAGGAT >hg38_chr1:113958485-113958495(-) TTACAATGCAT >hg38_chr1:113962582-113962592(+) GAACAATATAC >hg38_chr1:113974891-113974901(-) TAACACTGGAG >hg38_chr1:113974922-113974932(-) ATACAATGGAG >hg38_chr1:113977056-113977066(-) GGACAATGGAT >hg38_chr1:113977353-113977363(-) atataatgtac >hg38_chr1:113977364-113977374(-) atataatgtac >hg38_chr1:113977875-113977885(-) ATACAATATGC >hg38_chr1:113978989-113978999(-) AAACAATGGCC >hg38_chr1:114008961-114008971(-) acacaaaggca >hg38_chr1:114038136-114038146(+) agataatgggt >hg38_chr1:114038543-114038553(-) gtacaatgagg >hg38_chr1:114050176-114050186(-) gaacaatgctc >hg38_chr1:114088006-114088016(-) taacaatagtt >hg38_chr1:114088022-114088032(-) gtacaatggca >hg38_chr1:114094223-114094233(+) GGACAATGGAA >hg38_chr1:114123170-114123180(+) TGACAATGTGG >hg38_chr1:114145906-114145916(+) GGACAATGAGG >hg38_chr1:114174782-114174792(+) CAACAATGCCT >hg38_chr1:114200962-114200972(-) GTACAATGTTG >hg38_chr1:114213623-114213633(+) AAACAAAGGCA >hg38_chr1:114213657-114213667(+) TAACACTGGGT >hg38_chr1:114253842-114253852(+) aaacaatataa >hg38_chr1:114253896-114253906(+) atacaatggaa >hg38_chr1:114253914-114253924(-) AAACAATGCCT >hg38_chr1:114258591-114258601(-) GAACAATGGAT >hg38_chr1:114320859-114320869(+) gcacaatgcag >hg38_chr1:114392209-114392219(+) caacaatgatc >hg38_chr1:114464828-114464838(+) taacaatggac >hg38_chr1:114477691-114477701(+) caataatggat >hg38_chr1:114496412-114496422(-) CCACAATAGAT >hg38_chr1:114509722-114509732(-) GCACAATAGAG >hg38_chr1:114509736-114509746(-) GTACAATGGTT >hg38_chr1:114511058-114511068(-) AAACAAAGGCG >hg38_chr1:114618779-114618789(-) ATACAAAGGGC >hg38_chr1:114629189-114629199(+) CCACAATGGAC >hg38_chr1:114643304-114643314(-) AAATAATGCGA >hg38_chr1:114667985-114667995(-) TAACAATAACA >hg38_chr1:114745612-114745622(+) atacaatgcat >hg38_chr1:114757472-114757482(+) ACACAAAGGAC >hg38_chr1:114778225-114778235(+) GTACAATGACA >hg38_chr1:114834734-114834744(+) GCACAATAGTC >hg38_chr1:114848435-114848445(+) TCACAATAGGA >hg38_chr1:114848483-114848493(+) TTACAATGAAG >hg38_chr1:114870841-114870851(-) tgacaatagtc >hg38_chr1:114874886-114874896(-) aaacaaaggta >hg38_chr1:114874907-114874917(-) ggacaatgaca >hg38_chr1:114894727-114894737(+) CCACAATGATG >hg38_chr1:114910075-114910085(-) TCACAATGATT >hg38_chr1:114913676-114913686(+) taacaatgaat >hg38_chr1:114913714-114913724(+) gaacaaaggAT >hg38_chr1:114986507-114986517(+) ACACAATAAAC >hg38_chr1:114995199-114995209(-) ATACAATGACA >hg38_chr1:115036634-115036644(-) ggacaatagtg >hg38_chr1:115036671-115036681(+) caacaatgact >hg38_chr1:115052076-115052086(-) GCATAATGGAG >hg38_chr1:115083007-115083017(-) TAACAATGCTT >hg38_chr1:115100388-115100398(+) taagaatggta >hg38_chr1:115102211-115102221(+) ttacaataaaa >hg38_chr1:115110882-115110892(-) GTACAATAGAA >hg38_chr1:115112966-115112976(+) AGACATTGGCG >hg38_chr1:115130991-115131001(-) AGACAATGTTC >hg38_chr1:115133567-115133577(-) GAACAAAGGGG >hg38_chr1:115244701-115244711(+) gaacaatggaa >hg38_chr1:115309158-115309168(-) TAACAAAGGGG >hg38_chr1:115317579-115317589(+) AAACAATGCCA >hg38_chr1:115320561-115320571(+) agacaaaggga >hg38_chr1:115349679-115349689(+) ACACAATGTCA >hg38_chr1:115350282-115350292(+) CAACAATAGTC >hg38_chr1:115350302-115350312(-) GGACAATGCCC >hg38_chr1:115377921-115377931(+) GGACAATGCTA >hg38_chr1:115380655-115380665(-) taacaatgaaa >hg38_chr1:115400586-115400596(-) GTACAATCGCC >hg38_chr1:115505277-115505287(-) GAACAAAGGCT >hg38_chr1:115524354-115524364(-) ACACAATAGAC >hg38_chr1:115552621-115552631(-) caacaatagat >hg38_chr1:115552649-115552659(-) aaacaatggga >hg38_chr1:115604383-115604393(+) tgacaatagca >hg38_chr1:115604397-115604407(+) caacaatagca >hg38_chr1:115629832-115629842(+) GCACTATGGTA >hg38_chr1:115643781-115643791(-) AAACAAAGGGT >hg38_chr1:115665470-115665480(+) GAACAATCGGC >hg38_chr1:115705465-115705475(+) ATACAAAGGAA >hg38_chr1:115705474-115705484(+) AAACAATAAAA >hg38_chr1:115705490-115705500(+) GAACAAAGGAT >hg38_chr1:115715008-115715018(-) GAACAATAGAA >hg38_chr1:115748099-115748109(-) aaacaatagaa >hg38_chr1:115748128-115748138(-) agacaataaat >hg38_chr1:115764684-115764694(+) GAACTATGGAT >hg38_chr1:115771456-115771466(+) TCACAATATAT >hg38_chr1:115771998-115772008(+) CTACAATGTCA >hg38_chr1:115776766-115776776(-) aaataatggcg >hg38_chr1:115788477-115788487(+) acacaatgtaa >hg38_chr1:115788538-115788548(+) caacaatgttg >hg38_chr1:115826608-115826618(-) GTACAAAGGAC >hg38_chr1:115827734-115827744(-) AGATAATGGCC >hg38_chr1:115849500-115849510(-) aaacaataggt >hg38_chr1:115851207-115851217(+) ggacactggta >hg38_chr1:115851237-115851247(-) gaacaataaac >hg38_chr1:115855165-115855175(-) aaacaatgacc >hg38_chr1:115858732-115858742(-) gaacaataaaa >hg38_chr1:115905204-115905214(-) GCACAATGAAA >hg38_chr1:115960706-115960716(-) AAACAATATAA >hg38_chr1:115994621-115994631(-) taacaatagat >hg38_chr1:116033480-116033490(-) GGACAATAGTG >hg38_chr1:116037112-116037122(-) AAACAAAGGGA >hg38_chr1:116037518-116037528(+) atataatgggc >hg38_chr1:116062263-116062273(-) GAACAATGCCA >hg38_chr1:116105863-116105873(-) CTACAATGAGC >hg38_chr1:116106207-116106217(-) gtacaataata >hg38_chr1:116115796-116115806(+) CAACAATGAAC >hg38_chr1:116207268-116207278(+) gaacaaaggtc >hg38_chr1:116279958-116279968(+) tgacaaaggac >hg38_chr1:116290310-116290320(+) TGACAATGGAG >hg38_chr1:116312397-116312407(-) AAACAATGCCC >hg38_chr1:116341117-116341127(-) caacaatgagt >hg38_chr1:116346128-116346138(-) GAACAAAGGCA >hg38_chr1:116347458-116347468(+) agacaatgggc >hg38_chr1:116359710-116359720(+) GCACAATAGAA >hg38_chr1:116376411-116376421(-) TTACAATGCTT >hg38_chr1:116376927-116376937(+) GCACAATAGGC >hg38_chr1:116376972-116376982(-) AAACAATGACT >hg38_chr1:116378255-116378265(-) GAACAAAGGAA >hg38_chr1:116378263-116378273(-) TCACAAAGGAA >hg38_chr1:116478883-116478893(+) GGACAAAGGAA >hg38_chr1:116485376-116485386(+) GAACAAAGGCC >hg38_chr1:116489273-116489283(-) AAACAAAGGGC >hg38_chr1:116499212-116499222(-) AAATAATGGCA >hg38_chr1:116508123-116508133(-) CTACAATGAGA >hg38_chr1:116508158-116508168(-) AGACAATGGTG >hg38_chr1:116508962-116508972(-) ccacaatagct >hg38_chr1:116528506-116528516(+) GAACAATGTTT >hg38_chr1:116537671-116537681(-) CAACAATGGCA >hg38_chr1:116556701-116556711(+) AAACAAAGGAG >hg38_chr1:116574766-116574776(+) AGACAATGCGC >hg38_chr1:116667347-116667357(-) AAACAATAGCG >hg38_chr1:116679350-116679360(+) CAACAATGGCC >hg38_chr1:116694066-116694076(+) AAACAATGGGC >hg38_chr1:116716882-116716892(+) acacaatatat >hg38_chr1:116796295-116796305(+) aaacaatgtat >hg38_chr1:116807531-116807541(-) GAACAATGACG >hg38_chr1:116810431-116810441(-) TCACAATGCCT >hg38_chr1:116820632-116820642(-) AGATAATGGTA >hg38_chr1:116825324-116825334(-) AGACAATGGGG >hg38_chr1:116832430-116832440(-) gaacaatgacc >hg38_chr1:116832474-116832484(+) gaacaatgaag >hg38_chr1:116861104-116861114(-) ggacaatgggt >hg38_chr1:116874055-116874065(-) gaataatggac >hg38_chr1:116878358-116878368(-) GGACAATGGTT >hg38_chr1:116879247-116879257(-) GCACAATGCCG >hg38_chr1:116914073-116914083(+) taacaatgcga >hg38_chr1:116935017-116935027(-) tcacaatacgg >hg38_chr1:116941067-116941077(-) GCACAAAGGGA >hg38_chr1:116952900-116952910(+) ttactatgggg >hg38_chr1:116970721-116970731(+) GAACAATACTA >hg38_chr1:116982777-116982787(-) ggacaatgact >hg38_chr1:117017259-117017269(-) GCACAATGCCA >hg38_chr1:117045590-117045600(-) aaacaatgtca >hg38_chr1:117059673-117059683(-) CTACAATGCTT >hg38_chr1:117078200-117078210(+) AAACAATAACA >hg38_chr1:117079691-117079701(-) gcacaatgcct >hg38_chr1:117086360-117086370(-) GGACAATGAGA >hg38_chr1:117094045-117094055(-) TAACAATAGAA >hg38_chr1:117122661-117122671(+) AGACAATGGAC >hg38_chr1:117128826-117128836(-) GAACAAAGGAA >hg38_chr1:117136976-117136986(+) CTACAATGGCT >hg38_chr1:117146339-117146349(-) GAACAAAGGAG >hg38_chr1:117147133-117147143(+) TAACAATAATT >hg38_chr1:117150099-117150109(-) AAACAATGGGT >hg38_chr1:117154246-117154256(+) TGACAATgaac >hg38_chr1:117175123-117175133(-) TAACAAAGGCC >hg38_chr1:117179032-117179042(+) GTACAATAGCA >hg38_chr1:117193674-117193684(+) caataatggac >hg38_chr1:117209314-117209324(+) GAACAATGGAG >hg38_chr1:117268656-117268666(-) TTATAATGGCG >hg38_chr1:117269279-117269289(-) GCACAATGGTC >hg38_chr1:117273029-117273039(+) aaacaatggag >hg38_chr1:117284736-117284746(-) GAACAAAGGAA >hg38_chr1:117346860-117346870(-) atATAATGAAC >hg38_chr1:117366252-117366262(+) GAACAATGAAG >hg38_chr1:117371001-117371011(-) aaacaatatag >hg38_chr1:117371010-117371020(-) atacaaaggaa >hg38_chr1:117374647-117374657(-) ggacaatggtg >hg38_chr1:117393085-117393095(+) GTACACTGGAA >hg38_chr1:117411764-117411774(+) ccacaatggaa >hg38_chr1:117416652-117416662(-) tcacaatgctc >hg38_chr1:117416723-117416733(+) gaacaatggga >hg38_chr1:117423169-117423179(-) caacaaaggta >hg38_chr1:117460863-117460873(-) gcacaatgctg >hg38_chr1:117470108-117470118(+) atacaatggac >hg38_chr1:117495075-117495085(-) AAACAATGACA >hg38_chr1:117499046-117499056(+) CCACAATGGAC >hg38_chr1:117500175-117500185(-) ACATAATGGCT >hg38_chr1:117508684-117508694(+) ccacaatagta >hg38_chr1:117531225-117531235(-) atacaatgaaa >hg38_chr1:117531444-117531454(-) gtactatggaa >hg38_chr1:117535286-117535296(-) TAACAATGGga >hg38_chr1:117672250-117672260(+) GAACAATGGGG >hg38_chr1:117762692-117762702(-) acacaatagac >hg38_chr1:117786725-117786735(-) atacaatgtct >hg38_chr1:117788480-117788490(+) TAACAATGGGA >hg38_chr1:117808599-117808609(-) gtacaatgttg >hg38_chr1:117813742-117813752(-) AAATAATGGAC >hg38_chr1:117886539-117886549(-) AAACAATGTTG >hg38_chr1:117906807-117906817(-) taacaatacac >hg38_chr1:117906873-117906883(-) atacaataaag >hg38_chr1:117918696-117918706(+) TCACAATGGCT >hg38_chr1:117920525-117920535(-) ACACAATATAC >hg38_chr1:117920564-117920574(+) AAACAATGGAC >hg38_chr1:117936574-117936584(+) taacaatgtct >hg38_chr1:117936848-117936858(-) AAACAATGCTT >hg38_chr1:117936894-117936904(+) AAATAATGGTT >hg38_chr1:117946106-117946116(+) GCACAATGACC >hg38_chr1:118038832-118038842(+) gtataatggta >hg38_chr1:118038849-118038859(-) gtataatggca >hg38_chr1:118039620-118039630(+) gaacaatagac >hg38_chr1:118074580-118074590(-) AAACAATGAGA >hg38_chr1:118082589-118082599(+) acacaatggtg >hg38_chr1:118091140-118091150(-) atacaatgtgc >hg38_chr1:118115789-118115799(-) AAACAATAGAC >hg38_chr1:118120560-118120570(+) TAACAATGGCG >hg38_chr1:118138120-118138130(+) GAACAATAAGT >hg38_chr1:118169342-118169352(-) ACACAAAGGTT >hg38_chr1:118181190-118181200(+) aaacaaaggca >hg38_chr1:118181211-118181221(+) taacaaaggac >hg38_chr1:118195098-118195108(-) AGACAATGAAG >hg38_chr1:118247855-118247865(-) AGACAATAGCT >hg38_chr1:118301976-118301986(-) ATAGAATGGTA >hg38_chr1:118322817-118322827(-) ctacaatgggg >hg38_chr1:118360374-118360384(+) ctacaatgatt >hg38_chr1:118400736-118400746(+) taacaatgaaa >hg38_chr1:118412956-118412966(-) atacaatggaa >hg38_chr1:118413024-118413034(-) tcacaatagcc >hg38_chr1:118428455-118428465(+) ggacaatggtt >hg38_chr1:118444899-118444909(+) tgacaatgtga >hg38_chr1:118444908-118444918(+) gaacaatgatt >hg38_chr1:118459905-118459915(-) taacaatagta >hg38_chr1:118459929-118459939(-) ttataatggga >hg38_chr1:118469579-118469589(-) TAACAATGCTT >hg38_chr1:118474050-118474060(+) TCACAATGAGT >hg38_chr1:118492630-118492640(-) tgacaaaggga >hg38_chr1:118492675-118492685(+) caacaatgatt >hg38_chr1:118492901-118492911(-) ggataatggag >hg38_chr1:118564681-118564691(+) GGACAAAGGGA >hg38_chr1:118565361-118565371(-) gaacaatagca >hg38_chr1:118649298-118649308(+) agacaatgtag >hg38_chr1:118649311-118649321(+) tgacaatgttc >hg38_chr1:118659762-118659772(-) TCACAATAGAG >hg38_chr1:118700161-118700171(+) caacaataggc >hg38_chr1:118741991-118742001(+) GCACAATAATA >hg38_chr1:118751587-118751597(-) AAATAATGGAA >hg38_chr1:118755076-118755086(-) taataatggca >hg38_chr1:118766685-118766695(-) GCACAATGGTC >hg38_chr1:118766700-118766710(+) CAACAATGTAC >hg38_chr1:118771406-118771416(+) aaacaatgaac >hg38_chr1:118800716-118800726(+) ctacaatagta >hg38_chr1:118811441-118811451(-) AGACAAAGGGC >hg38_chr1:118826814-118826824(-) AAACAATAGTA >hg38_chr1:118830011-118830021(+) CTATAATGGTC >hg38_chr1:118864038-118864048(+) GGACAATGCTG >hg38_chr1:118880994-118881004(-) TCACAATGAGG >hg38_chr1:118881416-118881426(+) TTACAATGAAT >hg38_chr1:118934596-118934606(+) acacaatggga >hg38_chr1:118934623-118934633(+) gtacagtggag >hg38_chr1:118943658-118943668(-) GAACAATGCCT >hg38_chr1:118985234-118985244(+) AAACAATGAGG >hg38_chr1:118985272-118985282(+) GCACAATAGGG >hg38_chr1:118989980-118989990(+) GAACAATGGAA >hg38_chr1:119028917-119028927(-) AAACAATGTTA >hg38_chr1:119039152-119039162(-) tcacaataggg >hg38_chr1:119042739-119042749(-) AAACAATAGGG >hg38_chr1:119067117-119067127(-) gtacaatgtta >hg38_chr1:119067533-119067543(-) TGACAATGCCT >hg38_chr1:119067619-119067629(-) ATACAATGCTC >hg38_chr1:119075765-119075775(-) TCACTATGGAT >hg38_chr1:119107534-119107544(-) atacaatggaa >hg38_chr1:119115634-119115644(+) ATACAATACAA >hg38_chr1:119139632-119139642(+) TTACAATAGGT >hg38_chr1:119197887-119197897(-) CTACAATAGAG >hg38_chr1:119253684-119253694(-) GTACAATATAT >hg38_chr1:119275603-119275613(-) GGACAATAGCG >hg38_chr1:119279570-119279580(+) AGACAAAGGCA >hg38_chr1:119303439-119303449(-) GAACAAAGGTG >hg38_chr1:119340859-119340869(-) AGACAAAGGTA >hg38_chr1:119373555-119373565(+) GGACAATGGTA >hg38_chr1:119388330-119388340(+) ATACAATAGGT >hg38_chr1:119409566-119409576(-) CAATAATGGta >hg38_chr1:119411205-119411215(-) taacaatgtga >hg38_chr1:119435925-119435935(+) acacaatgatg >hg38_chr1:119482561-119482571(+) GAACAATATCA >hg38_chr1:119508012-119508022(-) AGACAATGACC >hg38_chr1:119508068-119508078(-) TCACAAAGGAT >hg38_chr1:119519498-119519508(-) ACACTATGGAA >hg38_chr1:119565545-119565555(+) ATATAATGGAT >hg38_chr1:119587521-119587531(+) TGACAATGAGA >hg38_chr1:119595804-119595814(-) gaacaatagtc >hg38_chr1:119595833-119595843(-) tcacaatggga >hg38_chr1:119640634-119640644(-) CCACAATGAAC >hg38_chr1:119645010-119645020(+) GGACAATGACA >hg38_chr1:119648285-119648295(+) GGACAAAGGAC >hg38_chr1:119656466-119656476(-) TCACAATGGCA >hg38_chr1:119662046-119662056(-) GTATAATGGTA >hg38_chr1:119673717-119673727(-) ggacaaagggc >hg38_chr1:119675715-119675725(+) TTACACTGGAA >hg38_chr1:119679351-119679361(-) GAACAATAGAT >hg38_chr1:119689700-119689710(-) atacaATGAtg >hg38_chr1:119712499-119712509(-) GAACAATTGCG >hg38_chr1:119713351-119713361(-) ATACAAAGGCA >hg38_chr1:119765906-119765916(-) gcacaatgcat >hg38_chr1:119783084-119783094(+) ACACAATGAAT >hg38_chr1:119783144-119783154(-) aaacaaagggc >hg38_chr1:119785785-119785795(+) ggacaatgact >hg38_chr1:119787841-119787851(+) agataatggga >hg38_chr1:119809733-119809743(-) TGACAATGTGC >hg38_chr1:119809751-119809761(-) TAACAAAGGGT >hg38_chr1:119823419-119823429(-) TAACAATGCCa >hg38_chr1:119889715-119889725(+) acacaaaggaa >hg38_chr1:119899055-119899065(+) CTACAATATAA >hg38_chr1:119928578-119928588(+) caacaatgtca >hg38_chr1:119928596-119928606(+) aaacaatgcca >hg38_chr1:119946338-119946348(+) agacaatggta >hg38_chr1:119947451-119947461(+) tcacaatgaaa >hg38_chr1:119948826-119948836(+) GGACAATGGAA >hg38_chr1:119957327-119957337(+) agataatgggc >hg38_chr1:119960040-119960050(-) aaacaatagca >hg38_chr1:119977930-119977940(+) ttataatggtg >hg38_chr1:119978000-119978010(+) aaacaatgatg >hg38_chr1:119984644-119984654(+) TTACAATAGGA >hg38_chr1:119989005-119989015(-) CAACAATAGTC >hg38_chr1:121293631-121293641(+) TGACAATAGTA >hg38_chr1:121410881-121410891(+) GAACAATGACT >hg38_chr1:121539126-121539136(+) TAACAATGGAA >hg38_chr1:145716046-145716056(+) caacaatagca >hg38_chr1:145751931-145751941(+) GGACAATGAGG >hg38_chr1:145785693-145785703(-) GCACAATGCCT >hg38_chr1:145803004-145803014(-) GGATAATGGTG >hg38_chr1:145803780-145803790(-) ACACAATGAGC >hg38_chr1:145804183-145804193(-) ACACAATGCTT >hg38_chr1:145808367-145808377(+) taataatggcc >hg38_chr1:145808390-145808400(+) gtataatggta >hg38_chr1:145825436-145825446(-) gtacgatgcgt >hg38_chr1:145825474-145825484(-) atacaatggca >hg38_chr1:145825497-145825507(-) taacaataaat >hg38_chr1:145825724-145825734(-) GTACAATAGAA >hg38_chr1:145880163-145880173(-) GAACAATGCAT >hg38_chr1:145899325-145899335(+) GGACAATGGGC >hg38_chr1:145951731-145951741(+) GCACAATGCAC >hg38_chr1:145957298-145957308(+) ACACAATGAGG >hg38_chr1:145977127-145977137(+) TGACAATGCCA >hg38_chr1:145978960-145978970(-) GGACAAAGGAC >hg38_chr1:146013143-146013153(-) AGACAATGAGG >hg38_chr1:146013356-146013366(-) GAACAAAGGAA >hg38_chr1:146024499-146024509(+) tcacaatgata >hg38_chr1:146024530-146024540(-) caacaatgacc >hg38_chr1:146037333-146037343(+) AAACAATAACT >hg38_chr1:146037365-146037375(+) TAACAATAACT >hg38_chr1:146038247-146038257(+) TAACAATAGCT >hg38_chr1:146038847-146038857(+) caacaaTAGTT >hg38_chr1:147136979-147136989(+) acataatggta >hg38_chr1:147136993-147137003(-) atacaatgatg >hg38_chr1:147163063-147163073(+) CAACAATGATT >hg38_chr1:147169387-147169397(+) GAACAATGCAC >hg38_chr1:147225371-147225381(+) CAACAACGGAC >hg38_chr1:147336853-147336863(+) AAACAATGAAA >hg38_chr1:147392072-147392082(-) atacaataata >hg38_chr1:147502794-147502804(+) ATACAATATAG >hg38_chr1:147539190-147539200(-) ACACAATGAGG >hg38_chr1:147541511-147541521(+) AGACAATAGGC >hg38_chr1:147571140-147571150(-) TAACAATGAGC >hg38_chr1:147600151-147600161(+) GGACAATGGAG >hg38_chr1:147665544-147665554(-) acacaaaggag >hg38_chr1:147676528-147676538(+) acacaatgact >hg38_chr1:147676850-147676860(-) CAACAATGCAT >hg38_chr1:147724706-147724716(+) gtacaataatg >hg38_chr1:147775189-147775199(+) atacaatacaa >hg38_chr1:147775194-147775204(+) atacaatacaa >hg38_chr1:147775199-147775209(+) atacaatgcaa >hg38_chr1:147775274-147775284(+) atacaatACAC >hg38_chr1:147781210-147781220(+) AAACAATAGCA >hg38_chr1:147877693-147877703(+) taacaaaggac >hg38_chr1:147880115-147880125(-) atacaatggaa >hg38_chr1:147891325-147891335(-) AAACAATGCCT >hg38_chr1:148265102-148265112(+) ACACAAaggtt >hg38_chr1:148312690-148312700(+) tcacaatgaga >hg38_chr1:148979241-148979251(-) TGACAATGTAT >hg38_chr1:149884485-149884495(+) taacaatagca >hg38_chr1:149988734-149988744(+) ACACAATGAAC >hg38_chr1:149996081-149996091(+) TTACAATGTTA >hg38_chr1:149996105-149996115(-) GCACAATGGTG >hg38_chr1:150009078-150009088(+) AAACAATGAAG >hg38_chr1:150012799-150012809(-) CAACAATGAAT >hg38_chr1:150050696-150050706(+) AAACAATGCAT >hg38_chr1:150050745-150050755(-) TAACACTGGGA >hg38_chr1:150067162-150067172(-) GAACAAAGGGA >hg38_chr1:150103717-150103727(-) GGACAATATAT >hg38_chr1:150110060-150110070(-) TTACAATGGCC >hg38_chr1:150110229-150110239(-) GCACAATAGTG >hg38_chr1:150149796-150149806(+) AAACAAAGGCG >hg38_chr1:150172400-150172410(-) TTACAATGGAA >hg38_chr1:150173461-150173471(-) ttataatgggg >hg38_chr1:150173512-150173522(+) aaacaatgaca >hg38_chr1:150236614-150236624(-) GGACAATGCCA >hg38_chr1:150256544-150256554(-) AAACAAAGGGA >hg38_chr1:150282378-150282388(-) GTACAAAGGGG >hg38_chr1:150303082-150303092(-) AAACAAAGGTG >hg38_chr1:150367005-150367015(-) GCACAATAGCA >hg38_chr1:150409282-150409292(-) GTACAATGAGG >hg38_chr1:150506534-150506544(-) AAACAATGAGA >hg38_chr1:150543962-150543972(+) gaacaaaggag >hg38_chr1:150568417-150568427(+) AAACAATGAGC >hg38_chr1:150578685-150578695(+) AAACAATGACT >hg38_chr1:150597191-150597201(+) AGACAATGCAA >hg38_chr1:150597225-150597235(+) taacaatggat >hg38_chr1:150604736-150604746(-) GAACACTGGAA >hg38_chr1:150621968-150621978(+) AAACAAAGGGA >hg38_chr1:150645758-150645768(-) GGACAATAATA >hg38_chr1:150645956-150645966(+) TAATAATGGTA >hg38_chr1:150646773-150646783(+) CTATAATGGTC >hg38_chr1:150696515-150696525(-) taacaatgtta >hg38_chr1:150798578-150798588(-) AGACAATAGTG >hg38_chr1:150812477-150812487(-) GGACAAAGGAA >hg38_chr1:150857870-150857880(+) gcacaatgcca >hg38_chr1:150870002-150870012(-) GTACAATGGAT >hg38_chr1:150973039-150973049(+) TGACAAAGGTC >hg38_chr1:151013461-151013471(-) ATACAGTGGTA >hg38_chr1:151060238-151060248(+) GAATAATAGCG >hg38_chr1:151060675-151060685(+) GAACAATGGGT >hg38_chr1:151061379-151061389(+) GGACAAAGGAT >hg38_chr1:151103887-151103897(-) ACACAAAGGAA >hg38_chr1:151146625-151146635(+) AAACAATGCGA >hg38_chr1:151214165-151214175(-) gaacaataggg >hg38_chr1:151214190-151214200(+) caataatggga >hg38_chr1:151282004-151282014(+) CGACAGTGGCG >hg38_chr1:151314362-151314372(-) AGACAATGGTG >hg38_chr1:151328983-151328993(+) CTACAATGTTT >hg38_chr1:151373995-151374005(-) GCACAATGGTG >hg38_chr1:151444037-151444047(-) aaacaatgcca >hg38_chr1:151444354-151444364(-) AAACAAAGGCA >hg38_chr1:151494891-151494901(+) ATACAATGAAG >hg38_chr1:151495412-151495422(+) AAACAAAGGAC >hg38_chr1:151591910-151591920(+) caACAAtaggg >hg38_chr1:151612010-151612020(-) GGACAATCGGG >hg38_chr1:151615735-151615745(-) ACACAATAGAA >hg38_chr1:151615735-151615745(-) ACACAATAGAA >hg38_chr1:151634171-151634181(-) ACACAATGGCT >hg38_chr1:151646198-151646208(-) aaacaataacc >hg38_chr1:151678386-151678396(+) acataatggcc >hg38_chr1:151699870-151699880(-) CCACAATGGTC >hg38_chr1:151699905-151699915(-) GAACAATGTCT >hg38_chr1:151700453-151700463(+) GAACAATGAAT >hg38_chr1:151710319-151710329(+) GCACAAAGGGA >hg38_chr1:151710750-151710760(-) gaacaaaggga >hg38_chr1:151716181-151716191(+) ACACAAAGGAG >hg38_chr1:151717081-151717091(-) GAACAATGGAC >hg38_chr1:151748981-151748991(-) gaacaacggag >hg38_chr1:151749004-151749014(-) gaacaatagaa >hg38_chr1:151861096-151861106(+) AAACAATGACA >hg38_chr1:151868574-151868584(-) atacaaaggaa >hg38_chr1:151894819-151894829(+) TGACAATAGGC >hg38_chr1:151918274-151918284(-) gaacaatggag >hg38_chr1:151936761-151936771(-) TAACAATGAGA >hg38_chr1:151936971-151936981(+) AGACAAAGGGA >hg38_chr1:151941589-151941599(-) ATACAATACAT >hg38_chr1:151995079-151995089(-) GAACAAAGGAA >hg38_chr1:152001751-152001761(+) CAACAATGTTA >hg38_chr1:152024492-152024502(+) GTACAATAGGG >hg38_chr1:152024501-152024511(-) TTACAATGACC >hg38_chr1:152026200-152026210(+) acacaatggac >hg38_chr1:152070818-152070828(-) ggacaatgaga >hg38_chr1:152075507-152075517(-) atacaatgcac >hg38_chr1:152091105-152091115(+) tgacaatgact >hg38_chr1:152139798-152139808(-) CAACAATAGGT >hg38_chr1:152141148-152141158(+) CCACAATAGAG >hg38_chr1:152152678-152152688(-) AAACAAAGGGA >hg38_chr1:152179385-152179395(-) CCACAATGGCG >hg38_chr1:152181916-152181926(-) CAACAATAGCA >hg38_chr1:152183248-152183258(-) ACACAATGCCA >hg38_chr1:152211079-152211089(+) ACACAATCgtg >hg38_chr1:152211095-152211105(+) taacaatgggg >hg38_chr1:152234432-152234442(-) aaacaatgtat >hg38_chr1:152234487-152234497(-) caacaatgaat >hg38_chr1:152250307-152250317(-) gaacaatgaga >hg38_chr1:152250315-152250325(-) atacaatagaa >hg38_chr1:152250325-152250335(-) atataatgtaa >hg38_chr1:152329007-152329017(-) CAACAATGATA >hg38_chr1:152339541-152339551(+) gaacaatgtca >hg38_chr1:152373178-152373188(-) ccacaatgttc >hg38_chr1:152376831-152376841(-) TAACAATAACT >hg38_chr1:152376855-152376865(-) gtacaataaat >hg38_chr1:152391569-152391579(-) atacaatggag >hg38_chr1:152393168-152393178(-) TAACAAAGGGC >hg38_chr1:152428766-152428776(+) TAACAATAGCT >hg38_chr1:152454267-152454277(-) ctacaatgaga >hg38_chr1:152481061-152481071(+) GCACAACGGAC >hg38_chr1:152573251-152573261(-) GGACAATAGGT >hg38_chr1:152574713-152574723(+) TAACAATAAAG >hg38_chr1:152649348-152649358(-) AAACAATGGTG >hg38_chr1:152701190-152701200(-) gaacaataggg >hg38_chr1:152771601-152771611(+) TGACAATGGAC >hg38_chr1:152864679-152864689(+) GCACAATGGGA >hg38_chr1:152865350-152865360(+) acacaatggct >hg38_chr1:152887275-152887285(-) gaacagtggat >hg38_chr1:152918079-152918089(-) atacaatgaaa >hg38_chr1:152932431-152932441(+) taacaatagta >hg38_chr1:152967286-152967296(-) AAACAATGGGG >hg38_chr1:153000789-153000799(+) GAACAATGACT >hg38_chr1:153038272-153038282(+) ggataatggcc >hg38_chr1:153038873-153038883(-) gcacaatagcc >hg38_chr1:153117359-153117369(+) ATACAATAAAT >hg38_chr1:153135382-153135392(+) gaacaataacc >hg38_chr1:153147768-153147778(+) atacaatgata >hg38_chr1:153147811-153147821(+) acacaatgatg >hg38_chr1:153249818-153249828(-) ccacaatgaat >hg38_chr1:153249865-153249875(+) agacaatgaac >hg38_chr1:153250159-153250169(+) GGACAATGCTC >hg38_chr1:153269883-153269893(+) ctacaatgacc >hg38_chr1:153374102-153374112(-) TTACACTGGAT >hg38_chr1:153481476-153481486(-) agacaatggag >hg38_chr1:153497092-153497102(-) ttacaaaggta >hg38_chr1:153502175-153502185(-) TTACAATAAGA >hg38_chr1:153502183-153502193(+) TAACAATGAGG >hg38_chr1:153526336-153526346(+) gcacaatgacc >hg38_chr1:153527267-153527277(+) gaacaatgagt >hg38_chr1:153533047-153533057(+) TTACAAAGGGA >hg38_chr1:153533850-153533860(+) GGACAATGATT >hg38_chr1:153535476-153535486(+) ACACAATGGCA >hg38_chr1:153538411-153538421(+) TTACAAAGGCG >hg38_chr1:153566567-153566577(-) AGACAAAGGGC >hg38_chr1:153667824-153667834(+) TAACAATGATA >hg38_chr1:153667870-153667880(-) GTACAGTGGAA >hg38_chr1:153698437-153698447(+) taacaataata >hg38_chr1:153698455-153698465(-) gcacaatcgaa >hg38_chr1:153873016-153873026(+) acacaatgcct >hg38_chr1:153881123-153881133(-) ACACAAAGGGA >hg38_chr1:153963853-153963863(-) TGACAATGCGG >hg38_chr1:153967901-153967911(-) AAACAAAGGCG >hg38_chr1:153977718-153977728(-) CCACAATGCAA >hg38_chr1:154046215-154046225(-) GCACAATGCTG >hg38_chr1:154046450-154046460(-) AAACAATAGCA >hg38_chr1:154178502-154178512(+) TTACAATGAAA >hg38_chr1:154183407-154183417(+) TTACCATGGTA >hg38_chr1:154183408-154183418(-) TTACCATGGTA >hg38_chr1:154183464-154183474(+) CCACAATGGTT >hg38_chr1:154186441-154186451(+) GCACAATGGAT >hg38_chr1:154186470-154186480(-) ACACAATAGCA >hg38_chr1:154186595-154186605(+) TAACAATGCAC >hg38_chr1:154186613-154186623(-) AAACAAAGGGA >hg38_chr1:154187444-154187454(+) TAACAAAGGGG >hg38_chr1:154192189-154192199(-) GAACAATGATG >hg38_chr1:154221914-154221924(+) TCATAATGGTA >hg38_chr1:154237341-154237351(-) atacaatatca >hg38_chr1:154305227-154305237(-) gtacaatgaat >hg38_chr1:154305236-154305246(-) gaacaatgggt >hg38_chr1:154305264-154305274(+) tgacaatggct >hg38_chr1:154353450-154353460(-) ggacaatggaa >hg38_chr1:154418398-154418408(-) ACACGATGGAC >hg38_chr1:154423900-154423910(-) TAACAATGGCC >hg38_chr1:154423936-154423946(-) GAACAATAGCA >hg38_chr1:154431048-154431058(-) tgataatggtc >hg38_chr1:154478839-154478849(+) AAACAATAGGC >hg38_chr1:154534597-154534607(+) gtacaaaggtc >hg38_chr1:154561509-154561519(+) agataatggtc >hg38_chr1:154607314-154607324(-) acataatggga >hg38_chr1:154608732-154608742(+) AAACAAAGGCA >hg38_chr1:154611345-154611355(-) tgacaatagga >hg38_chr1:154639326-154639336(+) aaacaataaga >hg38_chr1:154641444-154641454(-) tgacaatgtaa >hg38_chr1:154641459-154641469(+) gcacaatgcaa >hg38_chr1:154652828-154652838(-) gcacaatatac >hg38_chr1:154652836-154652846(-) caacaatagca >hg38_chr1:154727515-154727525(+) TCACAATGCTT >hg38_chr1:154751888-154751898(+) GAACAAAGGAG >hg38_chr1:154788504-154788514(+) gaacactggga >hg38_chr1:154849806-154849816(-) ccacaatgtca >hg38_chr1:154891666-154891676(+) aaataatggaa >hg38_chr1:154892126-154892136(+) caacaatagaa >hg38_chr1:154897225-154897235(+) GAACAATAGAA >hg38_chr1:154937328-154937338(-) TGACAATGAAA >hg38_chr1:154956115-154956125(-) AAACAAAGGGA >hg38_chr1:154982572-154982582(+) GAACAAAGGCA >hg38_chr1:155001230-155001240(-) CCACAATGGGC >hg38_chr1:155005138-155005148(+) TAACAATGCAC >hg38_chr1:155050483-155050493(-) GGACAAAGGAA >hg38_chr1:155063138-155063148(+) GAACAAAGGTG >hg38_chr1:155064051-155064061(-) AAACAAAGGAG >hg38_chr1:155094875-155094885(-) gcacaaaggcg >hg38_chr1:155094883-155094893(-) tgacaatagca >hg38_chr1:155124012-155124022(-) AGACAATGTGA >hg38_chr1:155146434-155146444(-) atacaatggtc >hg38_chr1:155166326-155166336(-) AAACAATGGTG >hg38_chr1:155196393-155196403(+) GCACAATGGGC >hg38_chr1:155201992-155202002(-) CAACAATGGTG >hg38_chr1:155379221-155379231(-) AAATAATGGTG >hg38_chr1:155379261-155379271(-) TAACAATGAAG >hg38_chr1:155383598-155383608(+) taacaatgcat >hg38_chr1:155383863-155383873(+) caacaatgcga >hg38_chr1:155419930-155419940(+) caacaatgttg >hg38_chr1:155457397-155457407(+) caacaatgggt >hg38_chr1:155467071-155467081(-) AGACAATGGAA >hg38_chr1:155473282-155473292(-) ACACAATAAAA >hg38_chr1:155524053-155524063(+) TCACAATGGCA >hg38_chr1:155678275-155678285(+) acacaatgatg >hg38_chr1:155696192-155696202(+) gaacaataacc >hg38_chr1:155737289-155737299(+) GAACAAAGGCA >hg38_chr1:155763588-155763598(+) TTATAATGGCT >hg38_chr1:155773498-155773508(+) TAACAATAGCG >hg38_chr1:155803076-155803086(+) GCACAATGCCT >hg38_chr1:155850398-155850408(+) CAACAATGCAA >hg38_chr1:155860523-155860533(-) GAACAAAGGCC >hg38_chr1:155871588-155871598(+) AGACAATGGCA >hg38_chr1:155914109-155914119(-) AAACAGTGGAA >hg38_chr1:155974894-155974904(+) GAACAATAGCT >hg38_chr1:155977370-155977380(+) GTACAAAGGCA >hg38_chr1:156026033-156026043(+) CCACAATGAGC >hg38_chr1:156054153-156054163(+) GGACAAAGGAC >hg38_chr1:156054418-156054428(+) taataatagta >hg38_chr1:156054749-156054759(+) CCACAATAGCC >hg38_chr1:156066521-156066531(+) GCACAATGCTC >hg38_chr1:156070777-156070787(+) AGACAAAGGAA >hg38_chr1:156080468-156080478(-) GAACAATAGAG >hg38_chr1:156081966-156081976(-) GAATAATGGAA >hg38_chr1:156084910-156084920(+) AAACAAAGGGC >hg38_chr1:156086470-156086480(+) GAACAAAGGAC >hg38_chr1:156123822-156123832(+) GTACAATGCCA >hg38_chr1:156130277-156130287(+) AGACAAAGGTA >hg38_chr1:156150137-156150147(-) AGACAATGGCC >hg38_chr1:156150190-156150200(-) ACACAATAGCC >hg38_chr1:156321108-156321118(+) TAATAATGGAC >hg38_chr1:156321178-156321188(-) GGACAAAGGTT >hg38_chr1:156332701-156332711(+) ttataatggct >hg38_chr1:156387871-156387881(-) AAATAATGGTG >hg38_chr1:156387893-156387903(+) GGACAATCGGA >hg38_chr1:156388586-156388596(+) GAACAATGCGG >hg38_chr1:156391277-156391287(+) AGACAAAGGCA >hg38_chr1:156413715-156413725(+) GGATAATGGAT >hg38_chr1:156422311-156422321(+) AAACAATGAGG >hg38_chr1:156503512-156503522(+) TAACAAAGGAA >hg38_chr1:156511190-156511200(+) agacaatagcc >hg38_chr1:156594270-156594280(+) AAACAATGAAA >hg38_chr1:156636739-156636749(-) taacaatgaat >hg38_chr1:156662111-156662121(-) GAACAATGGGG >hg38_chr1:156666950-156666960(-) gaacaatgtgc >hg38_chr1:156673901-156673911(-) GGACAATGGCA >hg38_chr1:156674658-156674668(+) GGACAATGAAA >hg38_chr1:156717485-156717495(+) aaacaatgccc >hg38_chr1:156717512-156717522(+) gcacaatgcct >hg38_chr1:156747577-156747587(+) GGACAATAATA >hg38_chr1:156777924-156777934(-) ttacaataaaa >hg38_chr1:156792896-156792906(-) ACACAACGGTA >hg38_chr1:156792910-156792920(+) ACATAATGGTC >hg38_chr1:156975222-156975232(-) aaataatggaa >hg38_chr1:156975267-156975277(-) tgacaatgacc >hg38_chr1:157033443-157033453(+) ctacaaaggtc >hg38_chr1:157034332-157034342(+) GGACAATGGCA >hg38_chr1:157047110-157047120(-) TTACAATGGCA >hg38_chr1:157062552-157062562(-) taacaatagca >hg38_chr1:157134791-157134801(-) GTACAGTGGCT >hg38_chr1:157138937-157138947(+) TCACAATGGGA >hg38_chr1:157140418-157140428(+) TCACAAAGGAT >hg38_chr1:157140978-157140988(-) GAACAATTGTA >hg38_chr1:157142899-157142909(-) ACACAATGAGA >hg38_chr1:157241357-157241367(-) gtacaatgagg >hg38_chr1:157266141-157266151(-) aaacaatagaa >hg38_chr1:157489540-157489550(+) GGACAATATCG >hg38_chr1:157588185-157588195(+) TTACAAAGGCT >hg38_chr1:157619668-157619678(+) caacaatagca >hg38_chr1:157626231-157626241(-) AAACAAAGACG >hg38_chr1:157641488-157641498(+) AGACAATAGAA >hg38_chr1:157671679-157671689(+) GAACAATGCAA >hg38_chr1:157671695-157671705(+) AGACAATGAAA >hg38_chr1:157671715-157671725(-) ACACAATGCAG >hg38_chr1:157701142-157701152(-) AAATAATGGGG >hg38_chr1:157701161-157701171(-) ACACAAAGGAC >hg38_chr1:157749947-157749957(-) agacaatagaa >hg38_chr1:157749978-157749988(+) gtacaatgttg >hg38_chr1:157755573-157755583(-) acacaatgcta >hg38_chr1:157787469-157787479(+) gaacaaaggat >hg38_chr1:157815811-157815821(-) tcacaatagtc >hg38_chr1:157819475-157819485(+) tgataatggaa >hg38_chr1:157832468-157832478(+) ACACAATGGGG >hg38_chr1:157882329-157882339(-) aaacaataaca >hg38_chr1:157882366-157882376(+) caacaatgaac >hg38_chr1:157889400-157889410(+) atacaatggaa >hg38_chr1:157925766-157925776(-) CGACACTGGAA >hg38_chr1:157961973-157961983(+) GGACAATGAAT >hg38_chr1:157971965-157971975(-) agacaatggga >hg38_chr1:157985595-157985605(+) tgacaatgaag >hg38_chr1:158002928-158002938(+) GAACAAAGGCA >hg38_chr1:158009908-158009918(+) TTACAATAGGA >hg38_chr1:158019165-158019175(-) TGACAATGCAC >hg38_chr1:158019188-158019198(+) ggacaaaggca >hg38_chr1:158029145-158029155(+) AAACAATAACC >hg38_chr1:158103097-158103107(-) agacaatgctg >hg38_chr1:158140951-158140961(-) tcacaatggat >hg38_chr1:158185890-158185900(-) GAAcagtggtt >hg38_chr1:158185903-158185913(-) GCACAATGTCT >hg38_chr1:158191478-158191488(-) cgacaaagccg >hg38_chr1:158203025-158203035(-) agacaatgatt >hg38_chr1:158362338-158362348(+) taacaatgctg >hg38_chr1:158381508-158381518(+) tcacaatgttt >hg38_chr1:158422452-158422462(+) TTACAATAGAA >hg38_chr1:158444789-158444799(+) AAACAATGTAG >hg38_chr1:158504849-158504859(-) gaacaatgtaa >hg38_chr1:158504862-158504872(-) aaacagtggac >hg38_chr1:158508034-158508044(-) ggacaataaga >hg38_chr1:158526680-158526690(+) gtacaatgata >hg38_chr1:158528207-158528217(+) acacaatatat >hg38_chr1:158540605-158540615(-) agacaaaggtt >hg38_chr1:158540957-158540967(-) caacaatagaa >hg38_chr1:158568597-158568607(-) GTACAATAGCA >hg38_chr1:158576934-158576944(-) ctacaatgcac >hg38_chr1:158635661-158635671(+) AAACAATAGTA >hg38_chr1:158701788-158701798(-) TTACAAAGGAG >hg38_chr1:158864751-158864761(-) caacaatggtg >hg38_chr1:158965427-158965437(+) aaacaatggaa >hg38_chr1:158966569-158966579(+) aaacaataaat >hg38_chr1:158967238-158967248(-) ACACAATATAC >hg38_chr1:158975535-158975545(+) TAACAATGAAA >hg38_chr1:158975817-158975827(+) AAACAGTGGAT >hg38_chr1:158976430-158976440(-) GAACAATAAGA >hg38_chr1:158985540-158985550(-) gtacaaaggga >hg38_chr1:159001184-159001194(+) ggacaataggt >hg38_chr1:159005681-159005691(-) CAACAATGCCA >hg38_chr1:159007300-159007310(+) AAACAATGCCC >hg38_chr1:159007317-159007327(-) TAACAATATTA >hg38_chr1:159046087-159046097(-) GTACAATAAAG >hg38_chr1:159052878-159052888(-) GTATAATGGAG >hg38_chr1:159053000-159053010(+) GAACAATGTGA >hg38_chr1:159054321-159054331(+) TAACAAAGGCT >hg38_chr1:159054355-159054365(+) AAACAAAGGCT >hg38_chr1:159073029-159073039(+) AAACAAAGGCT >hg38_chr1:159129467-159129477(+) CAacaatagga >hg38_chr1:159221309-159221319(-) gaacaataaaa >hg38_chr1:159222547-159222557(-) ggacaataata >hg38_chr1:159231635-159231645(-) TAACAATGACT >hg38_chr1:159243698-159243708(-) caacaatgaaa >hg38_chr1:159243710-159243720(+) gtacaatgtta >hg38_chr1:159245186-159245196(-) atacaataata >hg38_chr1:159290316-159290326(+) acacaatggag >hg38_chr1:159343339-159343349(+) ATACAATGATT >hg38_chr1:159511773-159511783(+) gcacaatgtaa >hg38_chr1:159630330-159630340(+) atacaatgagg >hg38_chr1:159654974-159654984(+) atacaattgaa >hg38_chr1:159656934-159656944(+) ttacaaaggca >hg38_chr1:159656968-159656978(+) aaacaataaga >hg38_chr1:159657100-159657110(+) ctacaatagac >hg38_chr1:159657126-159657136(+) acacaatggag >hg38_chr1:159923362-159923372(+) TTACAATAGGC >hg38_chr1:159925684-159925694(+) CTACAAAGGCG >hg38_chr1:159936620-159936630(+) AAACAATGCCA >hg38_chr1:159941263-159941273(-) GGACAATGCTC >hg38_chr1:159945607-159945617(+) ACACAATAGGG >hg38_chr1:160024738-160024748(-) TCACAATAGCA >hg38_chr1:160028425-160028435(-) GGACAATGACC >hg38_chr1:160045935-160045945(-) ttacaatgctc >hg38_chr1:160046622-160046632(-) ATACAAAGGCT >hg38_chr1:160062322-160062332(-) GCACAATGGGG >hg38_chr1:160062379-160062389(+) TGACAATGGGC >hg38_chr1:160064927-160064937(+) GGACAATGGGG >hg38_chr1:160183340-160183350(-) CTATAATGGCA >hg38_chr1:160209903-160209913(-) GAACAATGCTC >hg38_chr1:160245051-160245061(+) AGACAAAGGTG >hg38_chr1:160245108-160245118(+) ATATAATGGCA >hg38_chr1:160245631-160245641(+) AGACAATGGCA >hg38_chr1:160257565-160257575(+) ATACAATGTCT >hg38_chr1:160285246-160285256(-) TAAAAATGGCG >hg38_chr1:160335009-160335019(+) AAACAATGACT >hg38_chr1:160341088-160341098(-) aaacaaaggtt >hg38_chr1:160362823-160362833(+) AGACAAAGGCA >hg38_chr1:160375568-160375578(+) ACACAATGGAG >hg38_chr1:160399883-160399893(-) TTACAAAGGGA >hg38_chr1:160400436-160400446(+) AGACAATGGAC >hg38_chr1:160462974-160462984(-) GGACAAAGGAA >hg38_chr1:160463054-160463064(-) ATACAATAACC >hg38_chr1:160471480-160471490(-) GCACAATGATT >hg38_chr1:160471518-160471528(-) atacaataatg >hg38_chr1:160547630-160547640(+) agacaaaggga >hg38_chr1:160578653-160578663(+) GTACAATGACA >hg38_chr1:160578925-160578935(+) CAACAATGATA >hg38_chr1:160604954-160604964(-) taacaatagaa >hg38_chr1:160624663-160624673(-) CAACAATAGCA >hg38_chr1:160657366-160657376(+) TTACAATGACT >hg38_chr1:160747064-160747074(-) atacaataagc >hg38_chr1:160770769-160770779(+) TAACAATGGTG >hg38_chr1:160872981-160872991(-) ttacaatagca >hg38_chr1:160873014-160873024(-) gaacaatatga >hg38_chr1:160873021-160873031(-) caacaatgaac >hg38_chr1:160954439-160954449(-) GGACAATGACC >hg38_chr1:160954490-160954500(-) TGACAAAGGAA >hg38_chr1:160983392-160983402(-) ATACAATGCAT >hg38_chr1:161020192-161020202(-) GAACAATGAGG >hg38_chr1:161020218-161020228(+) TTACAAAGGAA >hg38_chr1:161022226-161022236(+) AGACAATGTTG >hg38_chr1:161032369-161032379(+) CTACAATAGAG >hg38_chr1:161072915-161072925(+) GAACAAAGGCA >hg38_chr1:161080726-161080736(+) GGACAAAGGGC >hg38_chr1:161080739-161080749(+) GTACAAAGGGC >hg38_chr1:161097919-161097929(+) aaacaatgcct >hg38_chr1:161111606-161111616(+) taacaatgaga >hg38_chr1:161111646-161111656(+) atacaatgTAG >hg38_chr1:161153918-161153928(-) TTACTATGGGA >hg38_chr1:161154248-161154258(-) CCACAATAGTC >hg38_chr1:161200832-161200842(+) AGACAAAGGGC >hg38_chr1:161201070-161201080(+) CAACAATAGAA >hg38_chr1:161285639-161285649(-) AGACAATGTGG >hg38_chr1:161300884-161300894(-) gaacaatagac >hg38_chr1:161300893-161300903(-) tgacaatagga >hg38_chr1:161302971-161302981(+) taacaatgtca >hg38_chr1:161321939-161321949(+) ATACAATGATG >hg38_chr1:161321981-161321991(+) GTATAATGGTC >hg38_chr1:161376802-161376812(+) TGACAATGCAA >hg38_chr1:161420975-161420985(-) CGATAATAGAA >hg38_chr1:161486496-161486506(-) gaacaaaggac >hg38_chr1:161685912-161685922(-) gaataatggga >hg38_chr1:161703380-161703390(+) aaacaataggt >hg38_chr1:161704017-161704027(-) tgacaatggac >hg38_chr1:161752035-161752045(-) ATACAATGCTA >hg38_chr1:161752076-161752086(-) AAACAATAGTT >hg38_chr1:161784313-161784323(-) AAACAATGAAA >hg38_chr1:161788215-161788225(-) agacaatgcca >hg38_chr1:161788249-161788259(+) gcacaatgtgt >hg38_chr1:161803552-161803562(+) AAACAATGTTG >hg38_chr1:161821346-161821356(+) tgactatggta >hg38_chr1:161835526-161835536(-) AAACAATGTAT >hg38_chr1:161868665-161868675(-) AAACAATGTAA >hg38_chr1:161873018-161873028(-) GAACAATGACT >hg38_chr1:161903474-161903484(+) GAACAATGGCT >hg38_chr1:161934845-161934855(-) AGACAATGTGA >hg38_chr1:161934875-161934885(-) TCACAATGGAT >hg38_chr1:161946533-161946543(-) TAACTATGGAA >hg38_chr1:161974510-161974520(-) GAACAAAGGGA >hg38_chr1:162023917-162023927(-) GCACAATAGAG >hg38_chr1:162092179-162092189(-) TTACAATAGTC >hg38_chr1:162115095-162115105(-) gaacaatgctt >hg38_chr1:162139468-162139478(+) GAACAATGGAT >hg38_chr1:162166723-162166733(+) GGACAATGTCA >hg38_chr1:162249057-162249067(-) GAACAAAGGCC >hg38_chr1:162249573-162249583(-) GTACAATGGAT >hg38_chr1:162269643-162269653(-) TAACAATATGT >hg38_chr1:162272899-162272909(-) GAATAATGGAA >hg38_chr1:162272913-162272923(+) CAATAATGGAA >hg38_chr1:162285465-162285475(+) ACACAATGCAA >hg38_chr1:162319633-162319643(-) ggacaatggaa >hg38_chr1:162350315-162350325(-) ACACAATGAAA >hg38_chr1:162405060-162405070(+) ctacaatggag >hg38_chr1:162471519-162471529(+) aaacaaaggag >hg38_chr1:162512541-162512551(-) GCACAATGCCA >hg38_chr1:162529236-162529246(-) AAACAATAAGA >hg38_chr1:162529438-162529448(+) GAACAATGCGT >hg38_chr1:162530550-162530560(+) AAACAACGGGC >hg38_chr1:162564629-162564639(-) ATACAATAGAT >hg38_chr1:162569291-162569301(-) GGACAATGCTT >hg38_chr1:162571884-162571894(+) GAACAATAGCT >hg38_chr1:162588386-162588396(-) TTACTATGGAT >hg38_chr1:162605407-162605417(-) CAACAATGCAC >hg38_chr1:162605437-162605447(-) GCATAATGGAG >hg38_chr1:162605445-162605455(-) AGACAATAGCA >hg38_chr1:162634234-162634244(-) TAACAAAGGTA >hg38_chr1:162691092-162691102(+) CAACAAAGGAC >hg38_chr1:162691393-162691403(+) TGACAAAGGAA >hg38_chr1:162692643-162692653(-) caacaatgctt >hg38_chr1:162703383-162703393(-) GAACAATAGCA >hg38_chr1:162707579-162707589(-) AGACAATGAGC >hg38_chr1:162732200-162732210(-) GTATAATGGTC >hg38_chr1:162732276-162732286(-) ACACAATGGGA >hg38_chr1:162743713-162743723(+) gtacaatagac >hg38_chr1:162743724-162743734(+) atacaatagac >hg38_chr1:162756103-162756113(+) AAACAAAGGGC >hg38_chr1:162765714-162765724(+) TGACAATGTGT >hg38_chr1:162873936-162873946(-) AGACAAAGGGC >hg38_chr1:162875466-162875476(+) TGATAATGGAC >hg38_chr1:162905103-162905113(-) GAACAATGACC >hg38_chr1:162906801-162906811(-) taacaattgta >hg38_chr1:163031211-163031221(-) ACACAATGCTA >hg38_chr1:163046392-163046402(+) CAACAATGACA >hg38_chr1:163046422-163046432(+) TGACAATGTGG >hg38_chr1:163046433-163046443(-) AAACAATGTAG >hg38_chr1:163056153-163056163(+) caacaatgaac >hg38_chr1:163063752-163063762(+) CCACAATGACT >hg38_chr1:163132071-163132081(+) agacaatggga >hg38_chr1:163163717-163163727(+) ACACAATAGAC >hg38_chr1:163163743-163163753(-) CAACAATGAAT >hg38_chr1:163182366-163182376(-) ATACAATGTAA >hg38_chr1:163202182-163202192(+) GAACAATGGGA >hg38_chr1:163244106-163244116(-) CAACAATAGTG >hg38_chr1:163258297-163258307(+) AAACAATGCCC >hg38_chr1:163265675-163265685(-) ggataatggga >hg38_chr1:163271944-163271954(+) caacaatgctt >hg38_chr1:163290406-163290416(-) CAACAATGGTC >hg38_chr1:163299478-163299488(-) tcacaatatat >hg38_chr1:163327775-163327785(-) TTACTATGGTT >hg38_chr1:163410223-163410233(-) AAACAATAGAC >hg38_chr1:163412460-163412470(+) GGACAATGCGG >hg38_chr1:163424726-163424736(-) TAACAATGGAT >hg38_chr1:163424765-163424775(-) TAACAATAACA >hg38_chr1:163424792-163424802(-) aCACAATATAC >hg38_chr1:163424897-163424907(-) TAACAATGAGT >hg38_chr1:163438295-163438305(-) TCACAATGTAG >hg38_chr1:163442965-163442975(-) AAACAATGGTC >hg38_chr1:163457970-163457980(+) TTACAATGTGT >hg38_chr1:163467480-163467490(+) TCACAATGAAG >hg38_chr1:163467513-163467523(+) ATACAAAGGCT >hg38_chr1:163472441-163472451(+) AGACAATGAAA >hg38_chr1:163550547-163550557(-) agacaatatag >hg38_chr1:163561944-163561954(+) AGACAATAGTC >hg38_chr1:163659409-163659419(+) GAACAATAGCT >hg38_chr1:163659659-163659669(-) atacaaagggc >hg38_chr1:163686070-163686080(-) AGACAATGAAA >hg38_chr1:163709799-163709809(-) agacaatagaa >hg38_chr1:163747490-163747500(+) ATACAATGCTT >hg38_chr1:163778002-163778012(+) ggacaatagac >hg38_chr1:163778009-163778019(+) agacaatgcag >hg38_chr1:163800258-163800268(-) agacaatgtta >hg38_chr1:163820812-163820822(+) GAACAATAATA >hg38_chr1:163836614-163836624(+) TCACAATGGGG >hg38_chr1:163842454-163842464(-) AGACAATGTTC >hg38_chr1:163842611-163842621(-) CCACAATAGCT >hg38_chr1:163893366-163893376(-) ttacaatgggt >hg38_chr1:163898250-163898260(-) AAACAATGTAT >hg38_chr1:163898273-163898283(-) GAACAATGCCA >hg38_chr1:163923449-163923459(-) gcacaatgttg >hg38_chr1:163923497-163923507(+) ctacaataata >hg38_chr1:163974331-163974341(-) aaacaatagga >hg38_chr1:164010600-164010610(+) CAACAATGGAA >hg38_chr1:164018960-164018970(+) atacaataata >hg38_chr1:164019363-164019373(+) aaacaatggac >hg38_chr1:164108148-164108158(-) CGACAGTGGGG >hg38_chr1:164155666-164155676(-) GAACAATGGAC >hg38_chr1:164272070-164272080(-) gaacaatagtg >hg38_chr1:164310460-164310470(-) taatAATGATA >hg38_chr1:164326135-164326145(+) CCACAATGGTC >hg38_chr1:164390440-164390450(-) aaacaaaggag >hg38_chr1:164420709-164420719(-) atacaatgaca >hg38_chr1:164435749-164435759(+) tcacaatgaca >hg38_chr1:164473744-164473754(+) GTACAATGGCC >hg38_chr1:164517837-164517847(-) AAACAATGCAT >hg38_chr1:164517858-164517868(+) CCACAATGGAT >hg38_chr1:164558640-164558650(+) TGACAATGCCA >hg38_chr1:164560077-164560087(+) AAACAATGGGA >hg38_chr1:164561562-164561572(-) GAACAATATAA >hg38_chr1:164565849-164565859(-) TAACAATAGAA >hg38_chr1:164566874-164566884(-) AGACAATGCAC >hg38_chr1:164575567-164575577(-) TAACAATGGTA >hg38_chr1:164583335-164583345(-) AGACAATAGCC >hg38_chr1:164588229-164588239(+) GCACAATAGAA >hg38_chr1:164589084-164589094(-) GGACAAAGGGT >hg38_chr1:164599517-164599527(-) AAACAATGGGT >hg38_chr1:164611902-164611912(+) CAACAATGCCC >hg38_chr1:164613384-164613394(-) gtacaaaggct >hg38_chr1:164624294-164624304(-) ACACAATAAAA >hg38_chr1:164624584-164624594(-) agacaatgctt >hg38_chr1:164628948-164628958(-) CAACAAAGGTA >hg38_chr1:164628971-164628981(-) AAACGATGGAA >hg38_chr1:164636021-164636031(+) CAACAATGGGC >hg38_chr1:164645205-164645215(-) GCACAATAGGC >hg38_chr1:164653873-164653883(-) AGACAATGAGC >hg38_chr1:164653885-164653895(-) GGACAATGAAG >hg38_chr1:164653907-164653917(+) TAACAATAGGT >hg38_chr1:164653919-164653929(+) TGACAATAGAA >hg38_chr1:164705174-164705184(-) tcacaatgggg >hg38_chr1:164710973-164710983(+) CAACAATAGGG >hg38_chr1:164713738-164713748(-) TGATAATGGTA >hg38_chr1:164720161-164720171(-) TTACAATAAAA >hg38_chr1:164720181-164720191(+) AAACAATGAAA >hg38_chr1:164720222-164720232(-) GAACAATGAAG >hg38_chr1:164724911-164724921(+) TTACAATGTAC >hg38_chr1:164735211-164735221(-) TTACAATGCCA >hg38_chr1:164735514-164735524(-) GAACAATGCTA >hg38_chr1:164735545-164735555(-) AAACAATAGCA >hg38_chr1:164749094-164749104(+) ggacaatatta >hg38_chr1:164756176-164756186(-) TGACAATGATG >hg38_chr1:164765789-164765799(+) gtaccatgGAA >hg38_chr1:164810338-164810348(-) GGACAATGTAT >hg38_chr1:164810350-164810360(-) agACAATGATC >hg38_chr1:164810364-164810374(+) ggacaatgcag >hg38_chr1:164823979-164823989(+) GGACAATGACT >hg38_chr1:164903177-164903187(-) taacaatggag >hg38_chr1:164911321-164911331(+) TCACAATGTGT >hg38_chr1:164948580-164948590(+) tcacaatgtat >hg38_chr1:164950771-164950781(-) TAACAATAATA >hg38_chr1:164962949-164962959(+) atacaatgcct >hg38_chr1:165008096-165008106(+) aaacaatgcct >hg38_chr1:165008127-165008137(+) gaacaaagacg >hg38_chr1:165040626-165040636(-) gtacaatggca >hg38_chr1:165048166-165048176(+) AAACAAAGGTC >hg38_chr1:165053023-165053033(+) CTACAATGGTA >hg38_chr1:165053401-165053411(+) TAACAATAATA >hg38_chr1:165070086-165070096(+) GGACAATGGTC >hg38_chr1:165070109-165070119(+) GAACAATAACC >hg38_chr1:165075860-165075870(+) GAAcaatggtt >hg38_chr1:165075899-165075909(+) tgacaatgttt >hg38_chr1:165114475-165114485(+) ACACGATGGAG >hg38_chr1:165115043-165115053(+) ACACAATGCAT >hg38_chr1:165123527-165123537(+) GCACAATGCTA >hg38_chr1:165221554-165221564(-) GAACAAAGGTG >hg38_chr1:165249210-165249220(-) TGACAATGGTG >hg38_chr1:165265665-165265675(-) TGACAATAGCA >hg38_chr1:165280111-165280121(+) AAACAAAGGCA >hg38_chr1:165285370-165285380(+) ACACAATGATG >hg38_chr1:165285388-165285398(-) GAACAATAAGA >hg38_chr1:165287502-165287512(-) ACACAAAGGAA >hg38_chr1:165298388-165298398(+) GGACAAAGGGA >hg38_chr1:165325980-165325990(-) ACACAATATTA >hg38_chr1:165351042-165351052(-) CAACAATGTCC >hg38_chr1:165357333-165357343(-) TAACAATGTCC >hg38_chr1:165376618-165376628(-) AGACAATGGGG >hg38_chr1:165421015-165421025(+) GGACAATAATA >hg38_chr1:165432222-165432232(-) CAACAATGTAC >hg38_chr1:165432235-165432245(+) ATACAATGAAA >hg38_chr1:165432411-165432421(+) TCACAATGTCC >hg38_chr1:165434258-165434268(+) AAACAATGAAT >hg38_chr1:165457700-165457710(+) AGACACTGGAC >hg38_chr1:165525198-165525208(+) GAACAATGAGG >hg38_chr1:165525975-165525985(-) GAACAAAGGCT >hg38_chr1:165543971-165543981(-) TGACAATGAGG >hg38_chr1:165551819-165551829(+) GGATAATGGCC >hg38_chr1:165561164-165561174(+) TAACAATGCAT >hg38_chr1:165586673-165586683(-) gaacaaaggcc >hg38_chr1:165592418-165592428(+) GGATAATGCGA >hg38_chr1:165592459-165592469(-) GTACAATGAGA >hg38_chr1:165593117-165593127(+) AGACAATGCTG >hg38_chr1:165601358-165601368(-) TAACAATAAAA >hg38_chr1:165616139-165616149(-) GAACAATGACT >hg38_chr1:165645757-165645767(-) taacaatggcc >hg38_chr1:165732996-165733006(+) Taataatggtt >hg38_chr1:165733035-165733045(-) gaacaatgcct >hg38_chr1:165741618-165741628(+) ATACAATGACA >hg38_chr1:165807742-165807752(-) CTACAAAGGAC >hg38_chr1:165824065-165824075(+) AGACAAAGGAC >hg38_chr1:165824092-165824102(-) GAACAATAAGA >hg38_chr1:165828265-165828275(-) CGACACTGGAG >hg38_chr1:165840445-165840455(-) tgactatggtc >hg38_chr1:165840489-165840499(-) atacactggag >hg38_chr1:165846966-165846976(-) GGACAAAGGCA >hg38_chr1:165847294-165847304(+) aaacaataaat >hg38_chr1:165884893-165884903(-) GAACAATGAAC >hg38_chr1:165885126-165885136(-) AAACAATGACA >hg38_chr1:165928286-165928296(-) TTACAATTGCG >hg38_chr1:166035115-166035125(+) aaacaaaggag >hg38_chr1:166040002-166040012(+) GTACAATGAGC >hg38_chr1:166040778-166040788(+) AAACAAAGGCA >hg38_chr1:166150081-166150091(+) GGACAATGAGG >hg38_chr1:166171426-166171436(-) ACACAATAGCT >hg38_chr1:166203390-166203400(-) aaacaatgtca >hg38_chr1:166203449-166203459(-) acacaatagaa >hg38_chr1:166304334-166304344(+) tcacaatgcca >hg38_chr1:166461937-166461947(-) ttacaatgaaa >hg38_chr1:166462628-166462638(-) gaacaatgatt >hg38_chr1:166638714-166638724(+) gaacaaaggga >hg38_chr1:166818406-166818416(-) TAACAGTGGCA >hg38_chr1:166818422-166818432(-) TAACAATACTA >hg38_chr1:166818440-166818450(-) CAACAATGGCA >hg38_chr1:166837396-166837406(+) GAACAATAACA >hg38_chr1:166837424-166837434(+) GGACAATATAT >hg38_chr1:166840219-166840229(-) GTACAAAGGGC >hg38_chr1:166888957-166888967(-) GGACAATGGTA >hg38_chr1:166938150-166938160(+) GGACAATCGAT >hg38_chr1:166938453-166938463(-) AAACAATGTTA >hg38_chr1:166947703-166947713(+) CTACAATGCAG >hg38_chr1:166950856-166950866(+) AAACAAAGGCA >hg38_chr1:166972987-166972997(-) AAACAATGGCG >hg38_chr1:167021349-167021359(-) TTACAATGTAT >hg38_chr1:167034392-167034402(-) GGACAATGGTG >hg38_chr1:167122082-167122092(-) gtacaatgtaa >hg38_chr1:167148521-167148531(+) caacaatgagg >hg38_chr1:167148542-167148552(+) caacaatggct >hg38_chr1:167148954-167148964(-) caacaatgaat >hg38_chr1:167148980-167148990(+) ctacaatgcca >hg38_chr1:167163445-167163455(-) aaacaatagtc >hg38_chr1:167163498-167163508(-) GAACAAAGGAG >hg38_chr1:167170307-167170317(+) CAACAATGACA >hg38_chr1:167198196-167198206(+) gaataatggca >hg38_chr1:167202148-167202158(+) GAACAATGCTA >hg38_chr1:167202198-167202208(-) TGACAATGTCT >hg38_chr1:167206346-167206356(-) TGACAATGAAC >hg38_chr1:167218791-167218801(-) gcacaatgcct >hg38_chr1:167220290-167220300(-) CAACAATGGAT >hg38_chr1:167225761-167225771(-) GTACAAAGGAA >hg38_chr1:167232275-167232285(+) atacaatggca >hg38_chr1:167246104-167246114(+) TAATAATGATA >hg38_chr1:167268503-167268513(+) GAACAAAGGCC >hg38_chr1:167271413-167271423(-) CTACAATGAGA >hg38_chr1:167271985-167271995(-) agacaatgcat >hg38_chr1:167296440-167296450(-) AGACAATGAGG >hg38_chr1:167297317-167297327(+) ATACAAAGGAG >hg38_chr1:167297367-167297377(+) GGACAATAGGG >hg38_chr1:167354827-167354837(-) taacaaaggaa >hg38_chr1:167394407-167394417(+) GTATAATGGAT >hg38_chr1:167403642-167403652(-) AGACAATGGCC >hg38_chr1:167404339-167404349(-) acacaatgtca >hg38_chr1:167418320-167418330(+) TGACAATGTCA >hg38_chr1:167419940-167419950(-) CAACAATGAAT >hg38_chr1:167419993-167420003(-) GGATAATGGAA >hg38_chr1:167426879-167426889(-) ACACAATAGCC >hg38_chr1:167492444-167492454(+) AGACAAAGGTA >hg38_chr1:167492489-167492499(+) GCACAaaggaa >hg38_chr1:167500645-167500655(-) TCACAATACGT >hg38_chr1:167520945-167520955(-) TAACAATGTTA >hg38_chr1:167541785-167541795(-) AAACAATGATG >hg38_chr1:167553848-167553858(+) GCACAATGCAG >hg38_chr1:167634512-167634522(-) CCACAATAGCA >hg38_chr1:167659232-167659242(-) taacaaaggat >hg38_chr1:167663195-167663205(-) ACACAATGGTC >hg38_chr1:167697952-167697962(+) AAACAAAGGTG >hg38_chr1:167721693-167721703(+) AGACAATGAAG >hg38_chr1:167746408-167746418(-) TTACAATGCTG >hg38_chr1:167748354-167748364(-) ccacaatgaga >hg38_chr1:167748989-167748999(-) CCACAATGTCA >hg38_chr1:167759232-167759242(+) GAACAATAGTT >hg38_chr1:167763737-167763747(+) CCACAATAGCA >hg38_chr1:167763815-167763825(-) GAACAATAGAT >hg38_chr1:167776941-167776951(-) agactaTGGGT >hg38_chr1:167808208-167808218(+) TGACAATGCAG >hg38_chr1:167813612-167813622(+) caacaaaggta >hg38_chr1:167813641-167813651(-) ttacaataaca >hg38_chr1:167855550-167855560(+) TAACAATGCTC >hg38_chr1:167916899-167916909(-) CCACAATGAAG >hg38_chr1:167920433-167920443(-) ACACAAAGGAA >hg38_chr1:167923342-167923352(+) atacaatggaa >hg38_chr1:167928636-167928646(+) ATACAATGTCA >hg38_chr1:167938318-167938328(-) aaacaatgttt >hg38_chr1:167946835-167946845(-) aaacaaaggat >hg38_chr1:167946875-167946885(+) cgataatggcc >hg38_chr1:167950709-167950719(-) GAACAATAATT >hg38_chr1:168021548-168021558(-) GAACAATAGCA >hg38_chr1:168050909-168050919(+) CAACAATAGGT >hg38_chr1:168064726-168064736(+) TAACAATAAAA >hg38_chr1:168065156-168065166(-) atacaataggt >hg38_chr1:168066138-168066148(+) GAACAATGAAT >hg38_chr1:168066158-168066168(+) CAACAATGAAT >hg38_chr1:168067259-168067269(-) atacaatacat >hg38_chr1:168203136-168203146(-) ctacaatagcc >hg38_chr1:168224006-168224016(+) aaacaatgcta >hg38_chr1:168224014-168224024(+) ctacaatgaat >hg38_chr1:168225674-168225684(-) GAACAAAGGCT >hg38_chr1:168225708-168225718(-) ACACAAAGACG >hg38_chr1:168255287-168255297(-) atacaatggaa >hg38_chr1:168301828-168301838(+) agataatgggt >hg38_chr1:168364453-168364463(-) TTACAAAGGTA >hg38_chr1:168377987-168377997(+) GGACAATGTGG >hg38_chr1:168428242-168428252(+) ACACTATGGAA >hg38_chr1:168525345-168525355(+) ccacaatgaaa >hg38_chr1:168570838-168570848(+) tgacaatgcca >hg38_chr1:168578241-168578251(+) ACACAATGAAC >hg38_chr1:168582735-168582745(+) agacaacggag >hg38_chr1:168672465-168672475(+) CAACAATGGAT >hg38_chr1:168672484-168672494(-) TCACAATGCAT >hg38_chr1:168672500-168672510(+) AAATAATGGTT >hg38_chr1:168709956-168709966(-) Taacaatggtg >hg38_chr1:168751524-168751534(+) gcacaatgctt >hg38_chr1:168786767-168786777(-) CTACAAAGGAT >hg38_chr1:168804865-168804875(-) GAACAATAGCA >hg38_chr1:168828816-168828826(+) TTACAATGTAA >hg38_chr1:168831340-168831350(+) AAACAATGAGA >hg38_chr1:168839420-168839430(+) gtacaatgaag >hg38_chr1:168839439-168839449(+) taataatgggc >hg38_chr1:168841056-168841066(-) caacaatagaa >hg38_chr1:168842042-168842052(-) CTATAATGGAG >hg38_chr1:168843207-168843217(-) GCACAATGTTG >hg38_chr1:168843218-168843228(+) ATACAATAGAT >hg38_chr1:168864411-168864421(-) ATACAATGGCT >hg38_chr1:168918997-168919007(-) GGACAATGGTC >hg38_chr1:168919019-168919029(+) GAACAATAGGG >hg38_chr1:168919327-168919337(+) AAACAAAGGCC >hg38_chr1:168919337-168919347(-) TAACACTGGAG >hg38_chr1:169037918-169037928(-) aaacaaaggca >hg38_chr1:169056506-169056516(-) AGACAATAAAT >hg38_chr1:169145341-169145351(+) GCATAATGGAC >hg38_chr1:169146828-169146838(+) taacaatacac >hg38_chr1:169146866-169146876(+) ggacaatgggg >hg38_chr1:169204448-169204458(-) GTATAATAGTA >hg38_chr1:169271343-169271353(+) caacaatagag >hg38_chr1:169288178-169288188(-) GAACAAAGGTT >hg38_chr1:169308216-169308226(-) TGACAATGACA >hg38_chr1:169309464-169309474(+) tgacaatgacc >hg38_chr1:169341060-169341070(+) agacaatgggg >hg38_chr1:169352471-169352481(+) tcacaatgaaa >hg38_chr1:169372795-169372805(+) AGACAATAAAT >hg38_chr1:169377777-169377787(-) taacaatgaca >hg38_chr1:169387596-169387606(-) agacaatagca >hg38_chr1:169436871-169436881(+) aaacaaaGGGA >hg38_chr1:169448727-169448737(-) taataatggca >hg38_chr1:169448788-169448798(+) caacaatgtgt >hg38_chr1:169453208-169453218(-) ATACAATGTCC >hg38_chr1:169453837-169453847(+) CCACAATAGTT >hg38_chr1:169474226-169474236(-) AAACAATGACA >hg38_chr1:169511000-169511010(+) CTACAATGCCT >hg38_chr1:169562601-169562611(-) caacaatggag >hg38_chr1:169562649-169562659(+) ttacaatatat >hg38_chr1:169577893-169577903(+) CAACAATAGTT >hg38_chr1:169578230-169578240(-) GGACAAAGGTG >hg38_chr1:169584541-169584551(-) GGACAATGCCT >hg38_chr1:169595948-169595958(-) GGACAATGGAC >hg38_chr1:169644423-169644433(-) ACACAATAAAt >hg38_chr1:169644444-169644454(-) GAACAATGGCC >hg38_chr1:169676097-169676107(-) CAACAATAGCA >hg38_chr1:169678869-169678879(-) gaacaatATAT >hg38_chr1:169762046-169762056(-) TAACAATATAT >hg38_chr1:169802003-169802013(-) ATACAATGTAG >hg38_chr1:169803167-169803177(+) AGACAATGGTG >hg38_chr1:169803625-169803635(-) TCATAATGGTA >hg38_chr1:169835244-169835254(+) AAACAAAGGTG >hg38_chr1:169844450-169844460(+) AAACAATAACT >hg38_chr1:169901067-169901077(+) gaacaatgctt >hg38_chr1:169950323-169950333(-) TAACAATATGT >hg38_chr1:169950349-169950359(+) AAACAATGGTA >hg38_chr1:170023894-170023904(+) ATACAATGGCA >hg38_chr1:170033769-170033779(-) taacaatgtca >hg38_chr1:170036496-170036506(+) TCACAATGCAG >hg38_chr1:170057691-170057701(+) tcacaatgatg >hg38_chr1:170058529-170058539(+) gcacaataggc >hg38_chr1:170132531-170132541(-) GGACAATGCCT >hg38_chr1:170146866-170146876(+) GGACAATAGAG >hg38_chr1:170147084-170147094(-) GCACAATGGGG >hg38_chr1:170151080-170151090(+) GAACAATGGTT >hg38_chr1:170193967-170193977(+) atacaatatta >hg38_chr1:170204164-170204174(-) CTACAATGATC >hg38_chr1:170204463-170204473(+) AAACAATGCAC >hg38_chr1:170209200-170209210(+) TTACAAAGGAC >hg38_chr1:170239152-170239162(-) TAACAACGGCA >hg38_chr1:170239189-170239199(+) GAACAAAGCGA >hg38_chr1:170240216-170240226(-) GTACAATTGAA >hg38_chr1:170265519-170265529(-) agacaatggga >hg38_chr1:170270736-170270746(+) ttacaatatag >hg38_chr1:170305751-170305761(+) AAACAATGAAA >hg38_chr1:170306256-170306266(+) GAACAATGCTA >hg38_chr1:170315256-170315266(+) TAAcaatggcc >hg38_chr1:170315288-170315298(-) gaacaatggtc >hg38_chr1:170333012-170333022(-) atataatggga >hg38_chr1:170375355-170375365(-) agacaatgggg >hg38_chr1:170399108-170399118(-) TAACAATAGTG >hg38_chr1:170434722-170434732(+) acactatggtt >hg38_chr1:170450146-170450156(-) GGACAATGGCA >hg38_chr1:170450158-170450168(-) CAACAATGGCC >hg38_chr1:170485494-170485504(+) GAATAATGGGC >hg38_chr1:170485934-170485944(+) tgacaatagca >hg38_chr1:170485997-170486007(-) caacaatgtaa >hg38_chr1:170519089-170519099(-) ATACAAAGGTC >hg38_chr1:170536718-170536728(+) acacaatgaaa >hg38_chr1:170543839-170543849(+) GGACAATGAAG >hg38_chr1:170553784-170553794(-) AGACAATGAAA >hg38_chr1:170564054-170564064(+) acacaataggc >hg38_chr1:170578490-170578500(+) AAACAGTGGTA >hg38_chr1:170578511-170578521(+) TGACAACGGGA >hg38_chr1:170586385-170586395(+) TCACAATGGCA >hg38_chr1:170615257-170615267(+) GGACAATGAAA >hg38_chr1:170641249-170641259(+) ATACAATAGGT >hg38_chr1:170641273-170641283(+) TGACAATGCCA >hg38_chr1:170641314-170641324(-) TGACAATGAGA >hg38_chr1:170685921-170685931(+) GAACAATATAA >hg38_chr1:170687645-170687655(-) gcacaatgcta >hg38_chr1:170699130-170699140(+) ATACAGTGGAA >hg38_chr1:170699160-170699170(-) TAACAATAAGC >hg38_chr1:170714343-170714353(-) GAACAATGTTT >hg38_chr1:170827881-170827891(-) CCACAATAGCC >hg38_chr1:170835981-170835991(-) ggacaatggtt >hg38_chr1:170858102-170858112(+) AAATAATGGCT >hg38_chr1:170865107-170865117(+) tcacaatgctg >hg38_chr1:170865131-170865141(+) acacaatgaat >hg38_chr1:170887931-170887941(-) gcacaatgccc >hg38_chr1:170887945-170887955(+) acacaatgaag >hg38_chr1:170932385-170932395(-) agacaatgagg >hg38_chr1:170933913-170933923(-) atacaaaggtt >hg38_chr1:170933955-170933965(-) aaacaatgtag >hg38_chr1:170968273-170968283(-) GGACAATGTAG >hg38_chr1:170969540-170969550(+) AGACAATGTCT >hg38_chr1:170969573-170969583(-) AAACAATGCAC >hg38_chr1:171035721-171035731(-) gtataatggta >hg38_chr1:171038563-171038573(-) GTacaatgatg >hg38_chr1:171040319-171040329(-) atacaatatta >hg38_chr1:171040776-171040786(-) ctacaaaggat >hg38_chr1:171040816-171040826(-) AAACAATAAAA >hg38_chr1:171127710-171127720(+) GAACAAAGGAA >hg38_chr1:171179733-171179743(+) aaacaatgagc >hg38_chr1:171179757-171179767(+) gAACAATGCAT >hg38_chr1:171188814-171188824(+) AGACAATAGAG >hg38_chr1:171210629-171210639(+) GAACAAAGGTC >hg38_chr1:171220218-171220228(+) ccacaatgggg >hg38_chr1:171226089-171226099(-) TAACTATGGCC >hg38_chr1:171246256-171246266(+) TAACAATGCTG >hg38_chr1:171314055-171314065(+) GAACTATGACG >hg38_chr1:171322409-171322419(+) GGACAATGTTA >hg38_chr1:171330332-171330342(-) CAACAATGGAA >hg38_chr1:171330379-171330389(-) TAAGAATGGTA >hg38_chr1:171432155-171432165(-) taacaaaggtt >hg38_chr1:171432209-171432219(-) agactatggtt >hg38_chr1:171488330-171488340(+) ACACAATGAAA >hg38_chr1:171505404-171505414(+) atacaattgaa >hg38_chr1:171525391-171525401(-) TCACAATGTTC >hg38_chr1:171566752-171566762(+) CGACAAAGGAG >hg38_chr1:171566797-171566807(+) GAACAATGATC >hg38_chr1:171590120-171590130(-) TCATAATGGAA >hg38_chr1:171674802-171674812(+) AGACAATGTGT >hg38_chr1:171710489-171710499(+) CAACAATGAAA >hg38_chr1:171710542-171710552(+) AAACAATAAGA >hg38_chr1:171711227-171711237(+) TAACAAAGGAG >hg38_chr1:171714276-171714286(+) TCACAATAGTT >hg38_chr1:171714328-171714338(-) taacaatgggg >hg38_chr1:171817827-171817837(+) ACACAATGTGT >hg38_chr1:171862384-171862394(+) atacaatggag >hg38_chr1:171877929-171877939(-) TCACAAAGGAA >hg38_chr1:171899027-171899037(-) GAACAATGCCC >hg38_chr1:171934357-171934367(-) gtacaataaaa >hg38_chr1:171939907-171939917(-) AGACAATGCCT >hg38_chr1:171966974-171966984(-) taacaatagga >hg38_chr1:171971015-171971025(-) atacaatgccc >hg38_chr1:171979756-171979766(-) GAACAATAGCC >hg38_chr1:172002831-172002841(+) GCACAATGATA >hg38_chr1:172006311-172006321(-) agataatggac >hg38_chr1:172047462-172047472(+) AGACAAAGGCA >hg38_chr1:172047478-172047488(+) ATACAATATAA >hg38_chr1:172110217-172110227(-) caataatggaa >hg38_chr1:172111183-172111193(-) CAACAATAGCA >hg38_chr1:172111236-172111246(-) TCACAATGTCT >hg38_chr1:172111249-172111259(+) ATACAATAAGA >hg38_chr1:172120848-172120858(-) acacaaaggca >hg38_chr1:172130821-172130831(-) GAACAATAGAC >hg38_chr1:172130863-172130873(+) CAACAATGCCA >hg38_chr1:172140828-172140838(-) TAACAATGAAG >hg38_chr1:172168446-172168456(-) CGATAATAGAA >hg38_chr1:172185458-172185468(-) CCACAATGGTT >hg38_chr1:172217818-172217828(+) TCACAATGAGT >hg38_chr1:172251716-172251726(+) TTACAATGAAA >hg38_chr1:172252156-172252166(-) TGACAATGTCT >hg38_chr1:172254472-172254482(-) tgacaatgaat >hg38_chr1:172270148-172270158(+) GAATAATGGCA >hg38_chr1:172271176-172271186(-) caacaatgtga >hg38_chr1:172272887-172272897(+) gcacaatgatt >hg38_chr1:172278731-172278741(-) GTACAATGAGA >hg38_chr1:172279997-172280007(-) agacaatggag >hg38_chr1:172280045-172280055(-) gaacaatgcgg >hg38_chr1:172321638-172321648(-) CAACAATGATG >hg38_chr1:172342823-172342833(-) AAACAATAGCT >hg38_chr1:172359251-172359261(-) ACACAACGGCT >hg38_chr1:172360617-172360627(+) gaacaatggag >hg38_chr1:172360626-172360636(-) caacaatagct >hg38_chr1:172374856-172374866(-) GGACAAAGGTA >hg38_chr1:172391838-172391848(+) TTACAAAGGCA >hg38_chr1:172394596-172394606(-) GAACAATGACA >hg38_chr1:172420450-172420460(+) TTACAATGTAG >hg38_chr1:172440887-172440897(+) GCACAATAGCA >hg38_chr1:172460937-172460947(+) ATACAATAGCG >hg38_chr1:172497272-172497282(+) AAACAAAGGCC >hg38_chr1:172548540-172548550(-) agacaatagca >hg38_chr1:172553352-172553362(-) CCACAATAGAA >hg38_chr1:172637724-172637734(+) atacactggaa >hg38_chr1:172637741-172637751(-) ctacaatgagt >hg38_chr1:172652631-172652641(+) atacaATAGGG >hg38_chr1:172686320-172686330(+) atactatggaa >hg38_chr1:172696727-172696737(-) agacaatagat >hg38_chr1:172704595-172704605(+) AAACAATGTGT >hg38_chr1:172704646-172704656(-) TGACAATGGAA >hg38_chr1:172716409-172716419(-) AAACACTGGAA >hg38_chr1:172718851-172718861(+) CAACAATAGTA >hg38_chr1:172724083-172724093(+) GAACAATATat >hg38_chr1:172737153-172737163(+) tgacaatgttc >hg38_chr1:172737186-172737196(+) taacaatatag >hg38_chr1:172738692-172738702(+) atacaattgta >hg38_chr1:172762453-172762463(+) GGACAATAGGG >hg38_chr1:172772673-172772683(-) CCACAATGTTT >hg38_chr1:172773393-172773403(+) caacaatgctt >hg38_chr1:172811217-172811227(+) acacaataaac >hg38_chr1:172859401-172859411(-) AAACAATGTGG >hg38_chr1:172871446-172871456(+) GGACAAAGGTC >hg38_chr1:172871519-172871529(-) TGACAAAGGTC >hg38_chr1:172889048-172889058(-) agacaatggga >hg38_chr1:172894344-172894354(+) ACACAATGTTT >hg38_chr1:172902963-172902973(-) TAACAATGTCA >hg38_chr1:172903786-172903796(-) AAACAATGTTT >hg38_chr1:172904176-172904186(-) ACACAAAGGAT >hg38_chr1:172918297-172918307(+) GAACAATGAAT >hg38_chr1:172934198-172934208(-) TGATAATGGAC >hg38_chr1:172934243-172934253(+) GAACAATGGGA >hg38_chr1:172970455-172970465(+) GAACAATGGGC >hg38_chr1:172991319-172991329(-) AAACAATGACC >hg38_chr1:172999532-172999542(+) GAACAAAGGCT >hg38_chr1:173007645-173007655(-) aaacaatgaac >hg38_chr1:173007672-173007682(-) gaacaaaggag >hg38_chr1:173007704-173007714(-) taacaaaggaa >hg38_chr1:173050662-173050672(+) TGACAATGATA >hg38_chr1:173061712-173061722(-) atacagtggga >hg38_chr1:173098567-173098577(-) GAACAATAGGA >hg38_chr1:173100274-173100284(+) ttacaatgcca >hg38_chr1:173106899-173106909(-) aaacaaagggc >hg38_chr1:173113276-173113286(+) caacaatgttc >hg38_chr1:173113461-173113471(-) tcataatggag >hg38_chr1:173162774-173162784(+) ctacaatgtta >hg38_chr1:173162782-173162792(+) ttacaatggct >hg38_chr1:173170254-173170264(-) aaacaatgaaa >hg38_chr1:173187852-173187862(-) TAACAAAGGAA >hg38_chr1:173190690-173190700(-) AAACTATGGTC >hg38_chr1:173202981-173202991(-) ggacaatggga >hg38_chr1:173203856-173203866(-) GAACAAAGGCA >hg38_chr1:173204842-173204852(+) ctacaatgttc >hg38_chr1:173210945-173210955(+) GAACAAAGGGC >hg38_chr1:173267469-173267479(-) atacaatagag >hg38_chr1:173269640-173269650(+) ggacaaaggga >hg38_chr1:173275625-173275635(-) AAACACTGGTA >hg38_chr1:173277697-173277707(+) TAAtaatggac >hg38_chr1:173277710-173277720(+) ttacaatgtat >hg38_chr1:173280532-173280542(-) CAACAATGATT >hg38_chr1:173300917-173300927(-) ctacaatgaca >hg38_chr1:173302187-173302197(+) ACATAATGGCA >hg38_chr1:173302440-173302450(-) GAACAATAACA >hg38_chr1:173347483-173347493(+) CAACAATGCAC >hg38_chr1:173347522-173347532(-) gaacaatgTTG >hg38_chr1:173400522-173400532(+) agacaatgaac >hg38_chr1:173400532-173400542(+) caacaatggtt >hg38_chr1:173418214-173418224(-) TAACAATAGCT >hg38_chr1:173439223-173439233(+) ACACAATGTAC >hg38_chr1:173439245-173439255(-) TAACAATGTAA >hg38_chr1:173480903-173480913(-) CAATAATGGAT >hg38_chr1:173531093-173531103(+) tgacaatggcT >hg38_chr1:173536217-173536227(-) TGACAAAGGAA >hg38_chr1:173585276-173585286(+) ttacaatgcag >hg38_chr1:173607102-173607112(+) TAACAATATGT >hg38_chr1:173637550-173637560(-) gaacaaaggaa >hg38_chr1:173642752-173642762(-) gaacaaaggaa >hg38_chr1:173642985-173642995(-) agacaaaggat >hg38_chr1:173712335-173712345(-) acacaatggct >hg38_chr1:173715445-173715455(-) ATACAATGTTC >hg38_chr1:173716513-173716523(-) CTACAATGCAG >hg38_chr1:173716585-173716595(+) GAACAATGTCT >hg38_chr1:173848425-173848435(-) gaacaatgcag >hg38_chr1:173862678-173862688(+) ctacaatagcc >hg38_chr1:173868160-173868170(-) CCACAATGGCG >hg38_chr1:173878226-173878236(+) GTACAATACAA >hg38_chr1:173934602-173934612(-) TAAGAATGGTA >hg38_chr1:173934617-173934627(-) AAACAAAGGTC >hg38_chr1:173984074-173984084(-) GTATAATGAAT >hg38_chr1:174052110-174052120(-) TCACAATGCGT >hg38_chr1:174063377-174063387(-) TAACAATATGA >hg38_chr1:174114243-174114253(+) CCACAATGAAT >hg38_chr1:174114284-174114294(-) GGACAATGTGT >hg38_chr1:174114470-174114480(+) GAACAAAGGTC >hg38_chr1:174115064-174115074(-) ATACAATGGGA >hg38_chr1:174152192-174152202(+) ACACAATGGCT >hg38_chr1:174153759-174153769(+) ttacaaagggg >hg38_chr1:174208638-174208648(-) caacaatgtat >hg38_chr1:174219210-174219220(+) CTACAATGAAC >hg38_chr1:174244426-174244436(+) CAACAATGGTA >hg38_chr1:174252630-174252640(-) TAACAATGTCA >hg38_chr1:174262926-174262936(-) aaacaataaat >hg38_chr1:174293896-174293906(+) TTACAATACTA >hg38_chr1:174293909-174293919(+) TGATAATGGAA >hg38_chr1:174293917-174293927(+) GAACAATAGAA >hg38_chr1:174297035-174297045(+) AAACAATGATA >hg38_chr1:174367074-174367084(+) ACACAATAGTC >hg38_chr1:174367126-174367136(-) TAACAAAGGAG >hg38_chr1:174370251-174370261(-) acacaatgtac >hg38_chr1:174370260-174370270(-) GAACAAtagac >hg38_chr1:174394308-174394318(+) AAACAATGCAC >hg38_chr1:174394331-174394341(-) ATACAATGTTC >hg38_chr1:174407482-174407492(-) caacaataggc >hg38_chr1:174418393-174418403(+) GTACAATGGTG >hg38_chr1:174445906-174445916(-) AGACAATGGAA >hg38_chr1:174451398-174451408(-) TTACTATGGGG >hg38_chr1:174452170-174452180(-) ATACAATATAA >hg38_chr1:174452222-174452232(+) AAACAATGCAT >hg38_chr1:174461056-174461066(-) TAATAATGGGA >hg38_chr1:174461077-174461087(-) AGACAATGCTG >hg38_chr1:174461089-174461099(-) ATACAATGAAA >hg38_chr1:174466812-174466822(+) gcataatggtt >hg38_chr1:174606419-174606429(-) TATCAATGGAC >hg38_chr1:174607956-174607966(+) GAACAATGAGA >hg38_chr1:174608705-174608715(+) GGACAATATTA >hg38_chr1:174627386-174627396(+) TCACAATGAGC >hg38_chr1:174687662-174687672(-) TAATAATGTAT >hg38_chr1:174687991-174688001(+) AGACAATGGAA >hg38_chr1:174727639-174727649(-) atacaataact >hg38_chr1:174753254-174753264(-) GAACAATAATa >hg38_chr1:174815197-174815207(+) TTACAATGAAT >hg38_chr1:174815204-174815214(+) GAATAATGGAA >hg38_chr1:174818179-174818189(+) atacaatgagc >hg38_chr1:174829023-174829033(+) gcacaatgtag >hg38_chr1:174829080-174829090(-) TCACAATGAGA >hg38_chr1:174872257-174872267(+) GAATAATGGTG >hg38_chr1:174991650-174991660(-) CAACAATGAAA >hg38_chr1:174998678-174998688(-) ggacaatgaca >hg38_chr1:175005228-175005238(-) gcacaatagtg >hg38_chr1:175007033-175007043(+) GAACTATGGAG >hg38_chr1:175007082-175007092(-) ACACAATGGTG >hg38_chr1:175130479-175130489(-) TTACAATGGTG >hg38_chr1:175158332-175158342(-) gcacaatgtcc >hg38_chr1:175164955-175164965(+) TGACAATGCTT >hg38_chr1:175197850-175197860(+) GAACAATGGGA >hg38_chr1:175332644-175332654(-) AAACAATGAGG >hg38_chr1:175400627-175400637(+) ACACAATGGGC >hg38_chr1:175463906-175463916(-) AGATAATGGAG >hg38_chr1:175470696-175470706(-) agacaataaca >hg38_chr1:175470714-175470724(-) gcacaatagga >hg38_chr1:175508852-175508862(+) caacaatagac >hg38_chr1:175521875-175521885(-) TGACAATATAA >hg38_chr1:175524964-175524974(-) tcaCTATGGAA >hg38_chr1:175597410-175597420(+) AAACAATGCCT >hg38_chr1:175675182-175675192(-) GCACAATGGGA >hg38_chr1:175701827-175701837(+) tcacaatgtgc >hg38_chr1:175713527-175713537(-) AGACAATAGGG >hg38_chr1:175713548-175713558(+) GGATAATGGGT >hg38_chr1:175717755-175717765(-) GGACAAAGGGA >hg38_chr1:175748888-175748898(-) ccacaatgtcc >hg38_chr1:175808777-175808787(+) caacaatgagt >hg38_chr1:175809647-175809657(+) GCACAATGCGT >hg38_chr1:175848157-175848167(+) tgacaaaggac >hg38_chr1:175848164-175848174(+) ggacaatagaa >hg38_chr1:175865301-175865311(+) AAACAATGATG >hg38_chr1:175865452-175865462(-) GAACAATGCTT >hg38_chr1:175867113-175867123(-) GAACAAAGGGA >hg38_chr1:175887826-175887836(+) GAACAATCGAC >hg38_chr1:175903017-175903027(-) ATACAATGGCT >hg38_chr1:175936137-175936147(-) tcacaatgtca >hg38_chr1:175955904-175955914(+) tcacaatgcca >hg38_chr1:175964915-175964925(+) GGACAAAGGCA >hg38_chr1:175977221-175977231(+) CTACAATGTGT >hg38_chr1:175977451-175977461(-) GAACACTGGAA >hg38_chr1:175990504-175990514(+) tgacaatgtta >hg38_chr1:175991115-175991125(+) gtacaataata >hg38_chr1:175991132-175991142(+) taacaatggag >hg38_chr1:176014870-176014880(-) ccacaatgtcc >hg38_chr1:176014884-176014894(+) atacaataatg >hg38_chr1:176022215-176022225(-) TTACAATAAGT >hg38_chr1:176027233-176027243(+) ATACAATATAG >hg38_chr1:176059266-176059276(-) TCACAATGCTT >hg38_chr1:176059292-176059302(-) ATACAAAGATA >hg38_chr1:176073686-176073696(-) AAACAATAGAC >hg38_chr1:176127917-176127927(+) tgataatggcc >hg38_chr1:176137232-176137242(-) TTACAATGTTT >hg38_chr1:176150499-176150509(+) CAACAATGACG >hg38_chr1:176150528-176150538(-) AAACAATGTGG >hg38_chr1:176156568-176156578(+) ggacaatgaca >hg38_chr1:176156607-176156617(+) ataccatggaa >hg38_chr1:176187751-176187761(+) atacaatgcct >hg38_chr1:176189353-176189363(-) aaacaatgaaa >hg38_chr1:176200721-176200731(-) AAACAATATAT >hg38_chr1:176207106-176207116(+) GAACAATAAAG >hg38_chr1:176223631-176223641(+) AAACAATGAAC >hg38_chr1:176223696-176223706(+) TGACAATGACG >hg38_chr1:176225217-176225227(-) caacaatgtcc >hg38_chr1:176225229-176225239(+) aaacaatagag >hg38_chr1:176241035-176241045(+) gaacaatacta >hg38_chr1:176241076-176241086(+) taacaatacaa >hg38_chr1:176247602-176247612(+) acacaatgtgg >hg38_chr1:176366728-176366738(-) ATACAATAACA >hg38_chr1:176434557-176434567(-) GGACAATGAAA >hg38_chr1:176461700-176461710(+) atacagtggta >hg38_chr1:176461751-176461761(+) ctataatggga >hg38_chr1:176510323-176510333(+) agacaatgtaa >hg38_chr1:176515466-176515476(+) TCACAATGGGA >hg38_chr1:176539945-176539955(-) AGACAATGAAA >hg38_chr1:176598441-176598451(-) atacaattgat >hg38_chr1:176639368-176639378(-) ACACAATGGAA >hg38_chr1:176653191-176653201(+) CCACAATGTGT >hg38_chr1:176811265-176811275(-) ACACAATGCAC >hg38_chr1:176866108-176866118(+) GAACAATAGCC >hg38_chr1:176887719-176887729(+) TTACAATGGCA >hg38_chr1:176887737-176887747(-) agacaatgggg >hg38_chr1:176896191-176896201(+) AAACAATGAGG >hg38_chr1:176966339-176966349(+) acacaatgact >hg38_chr1:176989434-176989444(+) GTACAATGGCC >hg38_chr1:177044607-177044617(-) AAACAATAGCA >hg38_chr1:177068173-177068183(-) TCACAATGAGA >hg38_chr1:177089046-177089056(+) GGACAATGGCA >hg38_chr1:177089056-177089066(+) AGACAAAGGTG >hg38_chr1:177095368-177095378(+) agataatggaa >hg38_chr1:177095421-177095431(+) tcacaatagca >hg38_chr1:177136774-177136784(+) AAACAATAATA >hg38_chr1:177142789-177142799(+) GGACAATGAAA >hg38_chr1:177142831-177142841(+) TAACAATGAGA >hg38_chr1:177170923-177170933(-) TAACAATGCTG >hg38_chr1:177233850-177233860(-) GAACAAAGGAG >hg38_chr1:177283030-177283040(+) GAACAATGCAT >hg38_chr1:177308653-177308663(+) TAACAGTGGAT >hg38_chr1:177310486-177310496(+) GGACAATGGCC >hg38_chr1:177353647-177353657(+) TAACAGTGGCA >hg38_chr1:177413602-177413612(-) ctacaataggt >hg38_chr1:177431127-177431137(-) GTATAATGGAG >hg38_chr1:177431833-177431843(-) GAACAATGTCA >hg38_chr1:177435023-177435033(-) TAACAATAAAA >hg38_chr1:177443377-177443387(+) CAACAATAGAC >hg38_chr1:177464195-177464205(-) TAACAATAGAC >hg38_chr1:177468553-177468563(+) gaacaatgaaa >hg38_chr1:177488470-177488480(+) atacaaagacg >hg38_chr1:177527653-177527663(+) GGACAATGGGA >hg38_chr1:177595816-177595826(-) gaacaatgctt >hg38_chr1:177613631-177613641(-) caacaatgtct >hg38_chr1:177613990-177614000(+) atacaaaggag >hg38_chr1:177630849-177630859(-) GTACAAAGGTA >hg38_chr1:177630856-177630866(+) GTACAACGTAT >hg38_chr1:177744568-177744578(-) gtacaatggaa >hg38_chr1:177784378-177784388(-) gaacaatagga >hg38_chr1:177794787-177794797(+) TCACAATGTCT >hg38_chr1:177798021-177798031(+) AGACAATAAGA >hg38_chr1:177881026-177881036(-) CTACAAAGGGA >hg38_chr1:177881079-177881089(-) AGACAATAGAG >hg38_chr1:177881105-177881115(-) AGACAATGTGA >hg38_chr1:177929056-177929066(+) TAACAATATCA >hg38_chr1:177946171-177946181(+) ACACAAAGGCA >hg38_chr1:177960090-177960100(+) GCATAATGGAA >hg38_chr1:177973783-177973793(+) atacaatgagt >hg38_chr1:177973798-177973808(+) gtacaatgcaa >hg38_chr1:177984463-177984473(-) ATACAAAGGGG >hg38_chr1:177984818-177984828(-) ATATAATGGCA >hg38_chr1:177997052-177997062(+) ggacaatgcaa >hg38_chr1:178011697-178011707(+) CGACAATAGAA >hg38_chr1:178016794-178016804(-) gcacaatgaaa >hg38_chr1:178016802-178016812(-) aaacaatagca >hg38_chr1:178058504-178058514(-) GGACAATGCTC >hg38_chr1:178113129-178113139(-) atacaaaggca >hg38_chr1:178122083-178122093(+) AAACAAAGGAC >hg38_chr1:178128495-178128505(+) AAACAATAATA >hg38_chr1:178149470-178149480(-) ATACAATGATT >hg38_chr1:178156250-178156260(+) GAACAATGGTG >hg38_chr1:178168615-178168625(+) CAACAATAGCA >hg38_chr1:178168980-178168990(+) GGACAATGAAA >hg38_chr1:178173346-178173356(+) agataatggcc >hg38_chr1:178187272-178187282(-) gaacaataaga >hg38_chr1:178226267-178226277(-) AAACAATGCAA >hg38_chr1:178231955-178231965(-) TTACAATGTTC >hg38_chr1:178239426-178239436(-) TTACAATATAT >hg38_chr1:178240254-178240264(-) TAACAATATCT >hg38_chr1:178262562-178262572(-) AAACAATGACA >hg38_chr1:178263230-178263240(-) AAACAATGGGT >hg38_chr1:178263948-178263958(-) GCACAATGGCA >hg38_chr1:178294778-178294788(+) GTACAATAGAC >hg38_chr1:178310891-178310901(-) TGACAATAGCC >hg38_chr1:178312318-178312328(+) atacaaaggat >hg38_chr1:178327655-178327665(-) CAACAATGAAC >hg38_chr1:178341356-178341366(-) AAACAATAGCC >hg38_chr1:178343002-178343012(-) CCACAATGGTC >hg38_chr1:178347765-178347775(+) gaacaatgctc >hg38_chr1:178356770-178356780(+) agacaatgttc >hg38_chr1:178357190-178357200(-) GAACAATAAAA >hg38_chr1:178358797-178358807(+) ctacaaaggat >hg38_chr1:178372633-178372643(-) AAACAATAATA >hg38_chr1:178376143-178376153(+) taacaatgtgg >hg38_chr1:178382289-178382299(-) AAACAATAACA >hg38_chr1:178397269-178397279(+) atacaatggct >hg38_chr1:178398966-178398976(+) ttacaaaggct >hg38_chr1:178407819-178407829(-) GGACAATGCCT >hg38_chr1:178422186-178422196(+) AAACAAAGGGC >hg38_chr1:178438533-178438543(-) GTACAATATTC >hg38_chr1:178518144-178518154(+) taacaaaggcc >hg38_chr1:178518190-178518200(-) taacaataatt >hg38_chr1:178558863-178558873(-) ggacaatagga >hg38_chr1:178564315-178564325(-) GAACAATAGCT >hg38_chr1:178593643-178593653(-) ACATAATGGGA >hg38_chr1:178612542-178612552(+) ACACAAAGGGA >hg38_chr1:178639051-178639061(-) gtacaattgaa >hg38_chr1:178650951-178650961(+) GAACAATGCCC >hg38_chr1:178662075-178662085(+) TAACAATAAGA >hg38_chr1:178682695-178682705(-) caacaatgtac >hg38_chr1:178700174-178700184(-) gaacaatgaac >hg38_chr1:178710914-178710924(+) GCATAATGGAA >hg38_chr1:178716694-178716704(-) ACACAATGTCA >hg38_chr1:178805808-178805818(+) ttacaATCGAA >hg38_chr1:178811831-178811841(-) TAACAATGTGG >hg38_chr1:178823762-178823772(-) ccacaatggtc >hg38_chr1:178835245-178835255(+) CCACAATGACT >hg38_chr1:178845779-178845789(+) ttacaatgggc >hg38_chr1:178845827-178845837(+) TTACAAAGGTC >hg38_chr1:178868705-178868715(-) CCACAATGAGC >hg38_chr1:178870380-178870390(-) AAACAAAGGAA >hg38_chr1:178870393-178870403(-) GCACAATGATT >hg38_chr1:178893870-178893880(-) CTACAATAGTC >hg38_chr1:178905160-178905170(-) caacaatgacc >hg38_chr1:178923041-178923051(+) ttataatggtt >hg38_chr1:178929196-178929206(-) AAACAATAGTA >hg38_chr1:179017674-179017684(-) CCACAATGTGA >hg38_chr1:179024622-179024632(+) ATACAATGCCT >hg38_chr1:179027545-179027555(+) GTACAATGGAA >hg38_chr1:179029380-179029390(+) TTACAATGTCA >hg38_chr1:179036431-179036441(-) CAACAATGTCC >hg38_chr1:179037023-179037033(-) CCACAATGGAT >hg38_chr1:179037050-179037060(+) GAACAATGGGA >hg38_chr1:179049011-179049021(-) TTACAATGGAC >hg38_chr1:179055838-179055848(+) aaataatggga >hg38_chr1:179056090-179056100(+) tcacagtggta >hg38_chr1:179056150-179056160(-) aaactatggag >hg38_chr1:179056502-179056512(-) agacaatggaa >hg38_chr1:179058693-179058703(-) tgacaatgctg >hg38_chr1:179077838-179077848(+) GAATAATGGTA >hg38_chr1:179077897-179077907(-) gcacaATGGCC >hg38_chr1:179088102-179088112(+) GAACAATAGTC >hg38_chr1:179099514-179099524(+) TTACAATAACA >hg38_chr1:179141247-179141257(-) gtacaatggtg >hg38_chr1:179141266-179141276(+) agacaatagaa >hg38_chr1:179163843-179163853(+) atactatggaa >hg38_chr1:179163866-179163876(+) atacaaaggaa >hg38_chr1:179164694-179164704(-) TTACAAAGGAT >hg38_chr1:179175275-179175285(+) CCACAATAGCA >hg38_chr1:179197338-179197348(+) caacaatggca >hg38_chr1:179230421-179230431(+) TTACAATGCCT >hg38_chr1:179247253-179247263(-) GAATaatggcc >hg38_chr1:179251978-179251988(-) GAACAATGCAT >hg38_chr1:179268679-179268689(+) aaacaatgaac >hg38_chr1:179268732-179268742(+) acactatggga >hg38_chr1:179318686-179318696(-) tgacaatgtcc >hg38_chr1:179370322-179370332(-) ATACAAAGGCA >hg38_chr1:179446257-179446267(-) GAACAATGGTA >hg38_chr1:179493365-179493375(-) atacaatgaaa >hg38_chr1:179554601-179554611(-) GAACAATGGCC >hg38_chr1:179560084-179560094(-) taacaatagtg >hg38_chr1:179563403-179563413(+) aaacaatggat >hg38_chr1:179605435-179605445(+) CAACAATGagg >hg38_chr1:179629579-179629589(+) ATACAATAAAT >hg38_chr1:179629597-179629607(-) AGACAATAAAT >hg38_chr1:179629623-179629633(+) CTACAATAGAT >hg38_chr1:179646230-179646240(+) taacaatgcaa >hg38_chr1:179691387-179691397(-) ACATAATGGTG >hg38_chr1:179761395-179761405(-) CTACAATGTGC >hg38_chr1:179860753-179860763(-) AAATAATggcc >hg38_chr1:179868635-179868645(+) ccacaatggcc >hg38_chr1:179921735-179921745(-) gtacaaagggg >hg38_chr1:179955929-179955939(-) CAACAATAACG >hg38_chr1:180032104-180032114(-) ATACAATATTA >hg38_chr1:180033622-180033632(-) ATACAATGGAA >hg38_chr1:180041122-180041132(-) AAATAATGGTC >hg38_chr1:180044370-180044380(-) gtacaatgtag >hg38_chr1:180044381-180044391(-) atacaatgctt >hg38_chr1:180073489-180073499(+) CCACAATGTAC >hg38_chr1:180080583-180080593(+) GGACAATGTCA >hg38_chr1:180088829-180088839(-) acacaatgctt >hg38_chr1:180114377-180114387(+) CTACAATGACA >hg38_chr1:180167428-180167438(-) GGACAATGCTT >hg38_chr1:180227983-180227993(+) caacaatgggt >hg38_chr1:180238235-180238245(+) GAACAAAGGAG >hg38_chr1:180268943-180268953(+) AAACAAAGGAT >hg38_chr1:180344618-180344628(-) acacaatgggt >hg38_chr1:180354135-180354145(-) GAACAATCGGA >hg38_chr1:180392627-180392637(+) agacaatgcac >hg38_chr1:180416187-180416197(+) ATACAATGTCA >hg38_chr1:180482542-180482552(+) TAACAATATCA >hg38_chr1:180486214-180486224(-) gaactatgggg >hg38_chr1:180516305-180516315(+) TAACAATGAGA >hg38_chr1:180527520-180527530(+) ggacaATGACT >hg38_chr1:180549128-180549138(-) AAACAATAGCT >hg38_chr1:180566290-180566300(+) GAACAATGGAA >hg38_chr1:180574534-180574544(+) GTACAATAAAC >hg38_chr1:180574541-180574551(+) AAACAATGTGC >hg38_chr1:180595029-180595039(+) TAACAATAGGT >hg38_chr1:180599141-180599151(+) TGACAATGGGT >hg38_chr1:180599150-180599160(+) GTACAATACAT >hg38_chr1:180606802-180606812(-) gaacaatatga >hg38_chr1:180606843-180606853(+) ctacaatagta >hg38_chr1:180606851-180606861(+) gtacaaagata >hg38_chr1:180616589-180616599(+) acacaatgagg >hg38_chr1:180622811-180622821(+) ttactatggct >hg38_chr1:180637895-180637905(+) tcacaatgaac >hg38_chr1:180637937-180637947(-) ttacaaagGCA >hg38_chr1:180692183-180692193(-) AAACAATGCTA >hg38_chr1:180692215-180692225(-) AGACAATAAAT >hg38_chr1:180694845-180694855(-) tcacaatagcc >hg38_chr1:180747362-180747372(-) AAACAATAGGT >hg38_chr1:180747399-180747409(-) AAACAATGTAT >hg38_chr1:180766945-180766955(-) TGACTATGGTC >hg38_chr1:180776043-180776053(+) aaacaaaggaa >hg38_chr1:180776073-180776083(-) CTACAATGAGT >hg38_chr1:180779007-180779017(-) tcacaatgtta >hg38_chr1:180836559-180836569(-) GAACAATGGCC >hg38_chr1:180843095-180843105(-) AAACAATGATA >hg38_chr1:180854289-180854299(+) ttacaaagggg >hg38_chr1:180859204-180859214(-) GGACAATGTGG >hg38_chr1:180859259-180859269(+) ACACAATGGCT >hg38_chr1:180861174-180861184(+) AAACAATAGCT >hg38_chr1:180864136-180864146(+) atacaatgcga >hg38_chr1:180864516-180864526(+) AGACAAAGGAG >hg38_chr1:180864793-180864803(+) AAACAatgaac >hg38_chr1:180879356-180879366(-) gaacaatgaga >hg38_chr1:180879386-180879396(+) aaactatgggt >hg38_chr1:180885452-180885462(-) TGACAATGGGC >hg38_chr1:180928109-180928119(+) GAACAATAGAA >hg38_chr1:180956290-180956300(-) AGACAAaggca >hg38_chr1:180974556-180974566(+) GAACAATAGCA >hg38_chr1:180988619-180988629(+) CAATAATGGAG >hg38_chr1:180988631-180988641(+) AGACAATGGTT >hg38_chr1:180990326-180990336(+) TCACAATGGGC >hg38_chr1:180996301-180996311(+) acacaatgcag >hg38_chr1:180996337-180996347(-) GCACAATGCCT >hg38_chr1:181022189-181022199(+) GGACAATGGAG >hg38_chr1:181025980-181025990(+) CAACAATGGAG >hg38_chr1:181073834-181073844(-) gaacaatgaga >hg38_chr1:181081571-181081581(+) TGACAATGTat >hg38_chr1:181094665-181094675(-) GAACAATGGTT >hg38_chr1:181102180-181102190(-) ATACAGTGGAG >hg38_chr1:181105646-181105656(+) TAACAATGCGC >hg38_chr1:181134717-181134727(+) TGACAATGCCA >hg38_chr1:181142548-181142558(-) TGACAAAGGAT >hg38_chr1:181177754-181177764(+) tcacaatagca >hg38_chr1:181180218-181180228(+) GAACAATGACT >hg38_chr1:181180290-181180300(-) AAACAAAGGGC >hg38_chr1:181180689-181180699(-) AAACAATGGGG >hg38_chr1:181182322-181182332(+) taacAATGGGG >hg38_chr1:181232789-181232799(-) tgataatggat >hg38_chr1:181327260-181327270(-) GCACAATGACC >hg38_chr1:181376548-181376558(-) GAACAAAGGAA >hg38_chr1:181377393-181377403(-) CTACAATAATA >hg38_chr1:181420861-181420871(-) ttacaatgtag >hg38_chr1:181520948-181520958(+) TGACAATGCCC >hg38_chr1:181544038-181544048(+) tcacaatagac >hg38_chr1:181544106-181544116(+) agacaatggaa >hg38_chr1:181551530-181551540(-) CAACAATGAAG >hg38_chr1:181562337-181562347(-) acacaatggcc >hg38_chr1:181583718-181583728(-) tgacaatggga >hg38_chr1:181592512-181592522(+) AAACAATGAAA >hg38_chr1:181609137-181609147(-) CAACAAAGGTA >hg38_chr1:181647792-181647802(-) GGACAAAGGGA >hg38_chr1:181675834-181675844(+) GGACAATGCGC >hg38_chr1:181675874-181675884(-) ACACAATGCAT >hg38_chr1:181747742-181747752(+) aaacaatgtgc >hg38_chr1:181760647-181760657(+) taacaatatat >hg38_chr1:181768411-181768421(-) AGACAATGAAA >hg38_chr1:181898400-181898410(+) ATACactggac >hg38_chr1:181939812-181939822(-) gcacaatagtt >hg38_chr1:181970060-181970070(-) AGACAATGGCA >hg38_chr1:181987618-181987628(+) AAACAAAGGCT >hg38_chr1:181994669-181994679(-) AGACAATATTA >hg38_chr1:181994731-181994741(+) GAACAAAGGAA >hg38_chr1:182002287-182002297(-) CAATAATGGGT >hg38_chr1:182025132-182025142(+) TTAcaatgtag >hg38_chr1:182175562-182175572(+) cgacaatggtt >hg38_chr1:182189601-182189611(-) ataccatggat >hg38_chr1:182189731-182189741(-) gaacaatgcaa >hg38_chr1:182250908-182250918(+) ATACAATAGTG >hg38_chr1:182279745-182279755(-) TAACAATAGCA >hg38_chr1:182279751-182279761(-) GAACAATAACA >hg38_chr1:182290041-182290051(-) GGACAATAGCT >hg38_chr1:182300410-182300420(-) TGACAATGTAA >hg38_chr1:182332564-182332574(-) aaacaatgagg >hg38_chr1:182395668-182395678(-) GTATAATGTAA >hg38_chr1:182411639-182411649(+) atacaattgaa >hg38_chr1:182424013-182424023(+) ttacaatgtgc >hg38_chr1:182450304-182450314(-) aaaCAAAGGCA >hg38_chr1:182466532-182466542(+) ttacaatgaac >hg38_chr1:182489852-182489862(+) gtacaatgttt >hg38_chr1:182501020-182501030(+) gtacaatgcta >hg38_chr1:182520266-182520276(-) aaacaataaat >hg38_chr1:182520483-182520493(-) TCACAATGGGA >hg38_chr1:182544606-182544616(-) atacaataata >hg38_chr1:182556460-182556470(+) AGACAATGACt >hg38_chr1:182556474-182556484(-) agacaatgggt >hg38_chr1:182589557-182589567(-) acacaataggt >hg38_chr1:182589573-182589583(+) acacaatgctt >hg38_chr1:182596197-182596207(-) gcacaatgtta >hg38_chr1:182602246-182602256(+) GAACAATGACC >hg38_chr1:182607288-182607298(-) AAACAATAGTT >hg38_chr1:182662727-182662737(-) AAACAATGCAG >hg38_chr1:182666963-182666973(-) GAACAAAGGGA >hg38_chr1:182670502-182670512(-) GAACAAAGGGT >hg38_chr1:182672421-182672431(-) GCACAATAGAA >hg38_chr1:182683782-182683792(-) TCACAATGAGT >hg38_chr1:182700089-182700099(+) TAACAATGCGC >hg38_chr1:182739166-182739176(+) GAACAATGAAA >hg38_chr1:182759568-182759578(-) GAACAATGATA >hg38_chr1:182762098-182762108(-) taacaATAGGT >hg38_chr1:182802441-182802451(-) AAACAAAGGCA >hg38_chr1:182834423-182834433(-) caacaatgaga >hg38_chr1:182834432-182834442(-) caacaatagca >hg38_chr1:182835504-182835514(+) GTACAATGGAG >hg38_chr1:182855274-182855284(+) GAACAATGAGA >hg38_chr1:182914486-182914496(+) taacaataaca >hg38_chr1:182914505-182914515(+) ggacgatggag >hg38_chr1:182958077-182958087(+) TAACAATAGCA >hg38_chr1:182971936-182971946(+) AAACAAAGGTG >hg38_chr1:182989173-182989183(+) gcacaatgctc >hg38_chr1:183019961-183019971(-) aaacaacggat >hg38_chr1:183029855-183029865(+) CAACAATGCAA >hg38_chr1:183032246-183032256(+) AGACAATGGCA >hg38_chr1:183035871-183035881(+) ttacaatggag >hg38_chr1:183040291-183040301(+) GAATAATGGAC >hg38_chr1:183046806-183046816(+) GAACAATATAC >hg38_chr1:183059063-183059073(-) ACACAATAGAA >hg38_chr1:183060556-183060566(-) gtactatggaa >hg38_chr1:183060572-183060582(+) acacaatatta >hg38_chr1:183095990-183096000(+) ATATAATGGCT >hg38_chr1:183096580-183096590(-) GAACAAAGGGC >hg38_chr1:183097486-183097496(-) TGACAATAGCC >hg38_chr1:183142378-183142388(-) ACACAATGGTA >hg38_chr1:183163421-183163431(-) GGACAATGTAC >hg38_chr1:183279294-183279304(-) CAACAATGGGC >hg38_chr1:183292110-183292120(-) AAATAATGGCA >hg38_chr1:183304820-183304830(-) CAACAATGAGG >hg38_chr1:183306721-183306731(-) TTACAAAGGCT >hg38_chr1:183313280-183313290(+) GAACAATAGGC >hg38_chr1:183330436-183330446(+) GAACAAAGGAG >hg38_chr1:183336853-183336863(+) aaacaatggaa >hg38_chr1:183350075-183350085(-) gcacaatgtct >hg38_chr1:183381061-183381071(-) TCACAATGGTt >hg38_chr1:183388909-183388919(-) GAACAAAGGCT >hg38_chr1:183404616-183404626(-) ACACAATGGGC >hg38_chr1:183438732-183438742(+) tcacaatagtc >hg38_chr1:183468368-183468378(+) TCACAATGTCC >hg38_chr1:183470309-183470319(-) GTACAATGCCC >hg38_chr1:183471840-183471850(-) AGACAATCGGA >hg38_chr1:183479666-183479676(-) ATACAATAAGT >hg38_chr1:183487505-183487515(-) gaataatggct >hg38_chr1:183487522-183487532(+) ccacaATAGCT >hg38_chr1:183491257-183491267(-) caacaatgtgc >hg38_chr1:183491285-183491295(-) aaacaaaggaa >hg38_chr1:183500052-183500062(-) AAACAATGCTT >hg38_chr1:183506114-183506124(-) GAAcaataaaa >hg38_chr1:183514524-183514534(-) acacaatagat >hg38_chr1:183514949-183514959(-) acacaatggaa >hg38_chr1:183530160-183530170(-) AAACAATGACC >hg38_chr1:183535216-183535226(-) acacaatgaaa >hg38_chr1:183549295-183549305(+) GAACAATGAAG >hg38_chr1:183549335-183549345(-) AGACAATGATC >hg38_chr1:183587169-183587179(+) GGACAATGGTG >hg38_chr1:183588942-183588952(+) GGACAATGTGC >hg38_chr1:183622201-183622211(+) tgacaatagtt >hg38_chr1:183629471-183629481(-) AAACAAtagtt >hg38_chr1:183630088-183630098(-) atacaatggaa >hg38_chr1:183630490-183630500(-) TGACAATAGCA >hg38_chr1:183632190-183632200(+) GGACAACGGGG >hg38_chr1:183634441-183634451(-) CAACAATGTCC >hg38_chr1:183634766-183634776(-) AAACAACGGGG >hg38_chr1:183644981-183644991(-) GGACAATGTTT >hg38_chr1:183655964-183655974(-) aaacaatggcc >hg38_chr1:183668041-183668051(-) caacaatgcat >hg38_chr1:183687681-183687691(+) CAACAATGATG >hg38_chr1:183712313-183712323(-) TGACAATGGCA >hg38_chr1:183715357-183715367(-) CAACAATGAGG >hg38_chr1:183719503-183719513(-) GAACAAAGGAG >hg38_chr1:183723028-183723038(+) caacaatagca >hg38_chr1:183726320-183726330(+) gaacaatgaaa >hg38_chr1:183739949-183739959(-) GAACAATGGAA >hg38_chr1:183754645-183754655(-) ATACAATGCAT >hg38_chr1:183773744-183773754(-) CAACAATGAGT >hg38_chr1:183773784-183773794(+) ATACAATGCAA >hg38_chr1:183780879-183780889(+) AAACAAAGGAG >hg38_chr1:183790678-183790688(+) GAACAATGTTA >hg38_chr1:183813028-183813038(+) gaacaatgact >hg38_chr1:183814246-183814256(-) CTACAATGACA >hg38_chr1:183825112-183825122(+) gaacagtggta >hg38_chr1:183827926-183827936(+) ATATAATGGTC >hg38_chr1:183827973-183827983(-) ACACAATGGTC >hg38_chr1:183854033-183854043(+) gtataatggtg >hg38_chr1:183869405-183869415(+) GGACAATGGTC >hg38_chr1:183871307-183871317(-) AGACAATGCTT >hg38_chr1:183871347-183871357(-) AAACAATGGGC >hg38_chr1:183872552-183872562(-) GAACAATATAC >hg38_chr1:183873271-183873281(-) TTACAATGCTG >hg38_chr1:183877600-183877610(-) GCACAATAGAA >hg38_chr1:183943367-183943377(+) ACACAATGCTT >hg38_chr1:183967580-183967590(+) ATACAATGTAT >hg38_chr1:183978498-183978508(-) TCACAATGTGG >hg38_chr1:183979011-183979021(-) TCACAATGATT >hg38_chr1:183979024-183979034(+) CTACAATAATA >hg38_chr1:183995113-183995123(+) AAACAATAACA >hg38_chr1:183996605-183996615(+) GAACAAAGGCC >hg38_chr1:183997955-183997965(+) aaacaatgctg >hg38_chr1:183998002-183998012(+) gaacaatagtg >hg38_chr1:184025299-184025309(+) GGACAAAGGCA >hg38_chr1:184030463-184030473(-) GGACAATAATA >hg38_chr1:184030495-184030505(+) TTATAATGGTC >hg38_chr1:184048976-184048986(+) TCACAATAGGT >hg38_chr1:184056644-184056654(-) gaacaatagaa >hg38_chr1:184056687-184056697(-) tgacaatggta >hg38_chr1:184056644-184056654(-) gaacaatagaa >hg38_chr1:184056687-184056697(-) tgacaatggta >hg38_chr1:184059453-184059463(-) aaacaatgatg >hg38_chr1:184065702-184065712(+) acacaatggca >hg38_chr1:184065713-184065723(-) gaacaatgaga >hg38_chr1:184068579-184068589(-) GAACAATGAAG >hg38_chr1:184068782-184068792(-) ATATAATGGAA >hg38_chr1:184071188-184071198(-) gtacaaaggca >hg38_chr1:184071196-184071206(-) tgacaaaggta >hg38_chr1:184071263-184071273(+) acacaaaggat >hg38_chr1:184073192-184073202(-) CAACAATGAGC >hg38_chr1:184092874-184092884(-) ACACAACGGTG >hg38_chr1:184092902-184092912(-) AGACAATAAAA >hg38_chr1:184157316-184157326(-) GAACTATGGGC >hg38_chr1:184197882-184197892(-) TCACAATGAAA >hg38_chr1:184197924-184197934(-) AGATAATGGCA >hg38_chr1:184204346-184204356(+) ttacaataggg >hg38_chr1:184218888-184218898(-) AAACAAAGGAG >hg38_chr1:184223570-184223580(+) TGACAATGCTG >hg38_chr1:184243097-184243107(+) ACACAATGGTA >hg38_chr1:184246958-184246968(+) AGACAATAGGG >hg38_chr1:184272634-184272644(-) TCACAAAGGAA >hg38_chr1:184323065-184323075(-) AAACAAAGGAA >hg38_chr1:184323101-184323111(-) ATATAATGGCT >hg38_chr1:184330414-184330424(-) ACACAATGGGA >hg38_chr1:184345692-184345702(+) agaCAATGACT >hg38_chr1:184348836-184348846(-) gcacaaaggat >hg38_chr1:184348844-184348854(-) caacaatagca >hg38_chr1:184366010-184366020(-) tgacaatgtca >hg38_chr1:184386006-184386016(-) AAACAATAGGG >hg38_chr1:184403249-184403259(+) aaacaatagta >hg38_chr1:184408285-184408295(-) TAACAATATTA >hg38_chr1:184408907-184408917(+) TCACAATGCTT >hg38_chr1:184424421-184424431(-) ggacaatggaa >hg38_chr1:184442941-184442951(-) ACACAATGTTA >hg38_chr1:184480515-184480525(-) GAACAATAGAG >hg38_chr1:184526046-184526056(-) AAATAATGGGA >hg38_chr1:184526081-184526091(-) TAACAATACAA >hg38_chr1:184554017-184554027(+) tgacaatgcca >hg38_chr1:184554025-184554035(+) ccacAATGGAG >hg38_chr1:184593774-184593784(+) acacaatggga >hg38_chr1:184594646-184594656(-) gaacaatgccc >hg38_chr1:184669635-184669645(-) caacaatggcc >hg38_chr1:184677413-184677423(+) ttacaaaggga >hg38_chr1:184685306-184685316(+) ATACAATAGCC >hg38_chr1:184701194-184701204(-) ACACAATGTAA >hg38_chr1:184701241-184701251(+) CAACAATGCCT >hg38_chr1:184701247-184701257(-) TAACAAAGGCA >hg38_chr1:184731015-184731025(+) ATACAATAAAA >hg38_chr1:184735124-184735134(+) atacaatgttg >hg38_chr1:184735140-184735150(+) atacaatgttg >hg38_chr1:184744630-184744640(+) atacaataata >hg38_chr1:184760201-184760211(+) gaacaatggct >hg38_chr1:184821161-184821171(-) GTACAATGGCT >hg38_chr1:184836989-184836999(+) CGACAAAGGTT >hg38_chr1:184854792-184854802(-) CTACAATAGAA >hg38_chr1:184854834-184854844(-) TTACAATACGT >hg38_chr1:184867925-184867935(+) ACACAACGGGA >hg38_chr1:184883175-184883185(+) gcacaatgggt >hg38_chr1:184946406-184946416(-) taacaatagtt >hg38_chr1:184973709-184973719(-) GAACACTGGCG >hg38_chr1:184973762-184973772(-) GGACAATGTCA >hg38_chr1:184982310-184982320(+) gcacaaaggga >hg38_chr1:185008912-185008922(+) tcacaaaggac >hg38_chr1:185086899-185086909(-) AAACAATAAAT >hg38_chr1:185095364-185095374(-) gtacaaaggct >hg38_chr1:185102742-185102752(-) atacaatatta >hg38_chr1:185103523-185103533(-) tcacaatggat >hg38_chr1:185145534-185145544(+) ATACAATGATA >hg38_chr1:185145540-185145550(+) TGATAATGGGC >hg38_chr1:185155803-185155813(+) GCACAATAATA >hg38_chr1:185165039-185165049(-) caacaatgacc >hg38_chr1:185165408-185165418(+) gtacaataaag >hg38_chr1:185176014-185176024(+) atacaatggct >hg38_chr1:185178608-185178618(-) CTACAATGAAT >hg38_chr1:185225743-185225753(+) taacaatgcaa >hg38_chr1:185225784-185225794(-) agacaataaga >hg38_chr1:185240564-185240574(-) ACACAACGGTT >hg38_chr1:185241273-185241283(-) TAACAATGGCT >hg38_chr1:185268522-185268532(-) ATACAATGGTG >hg38_chr1:185271978-185271988(-) acacaatgaag >hg38_chr1:185290950-185290960(-) CTACAATGAGA >hg38_chr1:185316382-185316392(+) GAACAAAGGAC >hg38_chr1:185317534-185317544(-) GGACAAAGGCG >hg38_chr1:185368529-185368539(-) acacaatagat >hg38_chr1:185474900-185474910(-) AAACAATGGAA >hg38_chr1:185475041-185475051(+) taacaATAATA >hg38_chr1:185475054-185475064(+) TAACaataata >hg38_chr1:185480062-185480072(-) TAACAAAGGGT >hg38_chr1:185484027-185484037(-) TGACAATAGGA >hg38_chr1:185542305-185542315(+) GGACAAAGGAC >hg38_chr1:185700077-185700087(-) aaacaatgCTG >hg38_chr1:185718433-185718443(-) CAACAATGGAA >hg38_chr1:185734151-185734161(-) AAACAATGGCT >hg38_chr1:185734367-185734377(+) AAACAGTGGAA >hg38_chr1:185751106-185751116(-) caacaatgagt >hg38_chr1:185751329-185751339(-) aaacaataaaa >hg38_chr1:185767844-185767854(+) AAACTATGGGG >hg38_chr1:185767888-185767898(+) ACACAATAGTT >hg38_chr1:185802463-185802473(+) gtacaatggcc >hg38_chr1:185826097-185826107(+) atacaataaag >hg38_chr1:185828585-185828595(-) aaacaatgttc >hg38_chr1:185844109-185844119(+) TGACAATGCTA >hg38_chr1:185848228-185848238(-) atacagtggga >hg38_chr1:185883190-185883200(-) ATACAAAGATA >hg38_chr1:185907446-185907456(-) agacaatggat >hg38_chr1:185939308-185939318(+) GGATAATGGTA >hg38_chr1:185958621-185958631(-) gaacaatgcct >hg38_chr1:185980671-185980681(-) ttacaaaggca >hg38_chr1:185989677-185989687(-) AGACAATGTCA >hg38_chr1:185991476-185991486(+) aaacaatgtag >hg38_chr1:185992727-185992737(+) ACACAATGCAA >hg38_chr1:185994206-185994216(+) GCACAATGCAA >hg38_chr1:186009122-186009132(+) AAACAATAGGT >hg38_chr1:186009352-186009362(-) TGACAATGCCT >hg38_chr1:186015001-186015011(+) TGACAATAGAG >hg38_chr1:186017275-186017285(-) ATACAATGGAA >hg38_chr1:186017314-186017324(-) ATACAATGGAA >hg38_chr1:186034594-186034604(-) gaacaataggt >hg38_chr1:186081195-186081205(-) TAACAAAGGAT >hg38_chr1:186139660-186139670(-) AAACAATAGCT >hg38_chr1:186152385-186152395(-) TAACAAAGGAC >hg38_chr1:186153199-186153209(+) TAACAATCGCC >hg38_chr1:186170842-186170852(+) GTACAATGTGG >hg38_chr1:186202139-186202149(+) GGACAATGGGA >hg38_chr1:186324036-186324046(+) agacaatggga >hg38_chr1:186328690-186328700(-) GGACAATGATA >hg38_chr1:186351352-186351362(-) GAACAAAGGCA >hg38_chr1:186367614-186367624(-) CTACAATGCTG >hg38_chr1:186373511-186373521(-) TCACAATGTAT >hg38_chr1:186387378-186387388(-) GCACAATGAAT >hg38_chr1:186387616-186387626(-) caataatgggt >hg38_chr1:186387619-186387629(-) taacaataatg >hg38_chr1:186404749-186404759(-) GAACAATGCCT >hg38_chr1:186417622-186417632(+) CTACAATGTTA >hg38_chr1:186422343-186422353(+) caacaataggg >hg38_chr1:186422372-186422382(+) caataatggga >hg38_chr1:186442873-186442883(+) taacaatagtc >hg38_chr1:186443794-186443804(-) ATACAATGCTT >hg38_chr1:186478613-186478623(-) gtacaatgtct >hg38_chr1:186486294-186486304(+) AAACAATGGAG >hg38_chr1:186502785-186502795(-) TAACAATGATA >hg38_chr1:186544881-186544891(-) aaacaatggat >hg38_chr1:186544917-186544927(-) atacaataatg >hg38_chr1:186606702-186606712(+) tcacaatgcct >hg38_chr1:186610250-186610260(-) GGACAATAGAA >hg38_chr1:186671735-186671745(+) AGATAATGGTT >hg38_chr1:186678363-186678373(-) TTACAATGCTG >hg38_chr1:186749022-186749032(-) TAACAATGGAT >hg38_chr1:186789901-186789911(-) ggactatggaa >hg38_chr1:186824120-186824130(+) GGATAATGGAG >hg38_chr1:186844252-186844262(-) AAACAATAGGA >hg38_chr1:186844268-186844278(-) GCACAAAGGAA >hg38_chr1:186872555-186872565(+) AGACAACGGCT >hg38_chr1:186902129-186902139(+) atacaataggc >hg38_chr1:186902147-186902157(+) gcacaatggta >hg38_chr1:186926621-186926631(+) GTACAATGAAC >hg38_chr1:186927152-186927162(-) GAACAATCGCA >hg38_chr1:186956168-186956178(+) TGATAATGGCC >hg38_chr1:186968039-186968049(+) AAACAATGGGG >hg38_chr1:186975092-186975102(+) CCACAATGTGA >hg38_chr1:186990911-186990921(-) GAACAATGAAC >hg38_chr1:187010514-187010524(-) TGACAATGAGT >hg38_chr1:187076202-187076212(-) GGACAAAGGCA >hg38_chr1:187076215-187076225(-) AGACAATGATG >hg38_chr1:187145206-187145216(-) ctataatggtg >hg38_chr1:187211009-187211019(-) agacaatgtaa >hg38_chr1:187211050-187211060(-) aaacaatgata >hg38_chr1:187235247-187235257(-) taacaatgacc >hg38_chr1:187235304-187235314(+) GTATAATGGTT >hg38_chr1:187265261-187265271(-) ctacaatgcAG >hg38_chr1:187277413-187277423(-) gtacaatggct >hg38_chr1:187278757-187278767(+) TAACAATGTCA >hg38_chr1:187471823-187471833(-) AGACAATGAGT >hg38_chr1:187477697-187477707(+) AAACAATAACG >hg38_chr1:187565866-187565876(-) AAACAATGCAT >hg38_chr1:187596148-187596158(-) aaacaatgaac >hg38_chr1:187605862-187605872(+) TCACAAAGGAA >hg38_chr1:187788933-187788943(+) atacaaaggca >hg38_chr1:187897343-187897353(-) TGACAATGGTG >hg38_chr1:187953701-187953711(+) aaacgatggtg >hg38_chr1:187996096-187996106(-) tgacaatgatg >hg38_chr1:188027748-188027758(-) aaacaataaac >hg38_chr1:188137397-188137407(-) AAACAATGAGG >hg38_chr1:188137421-188137431(-) ACACAATGGCT >hg38_chr1:188326499-188326509(-) TAACAATAGAA >hg38_chr1:188397848-188397858(+) gaacaaaggat >hg38_chr1:188462820-188462830(-) ACACAATGTTG >hg38_chr1:188491237-188491247(+) TAACAATAAAA >hg38_chr1:188515208-188515218(-) ACACAAAGGAC >hg38_chr1:188515230-188515240(-) AAACAATGAGA >hg38_chr1:188591852-188591862(-) ctacaatgcat >hg38_chr1:188652940-188652950(+) GAATAATGGGA >hg38_chr1:188764176-188764186(-) taacaatgagc >hg38_chr1:188764192-188764202(-) ggacaataaca >hg38_chr1:188764214-188764224(-) agacaatgaca >hg38_chr1:188822512-188822522(-) ccacaatacga >hg38_chr1:188827446-188827456(-) tcacaaaggaa >hg38_chr1:188827896-188827906(+) taacaatggct >hg38_chr1:188871407-188871417(+) gtacaataaaa >hg38_chr1:188907422-188907432(-) gcacaatggcg >hg38_chr1:189185219-189185229(-) GAACAATAGAC >hg38_chr1:189217353-189217363(-) ATACAATGTGA >hg38_chr1:189217364-189217374(-) TCACAATAGCA >hg38_chr1:189217377-189217387(+) AGACAATGTAC >hg38_chr1:189312087-189312097(-) ATACAATCGCA >hg38_chr1:189429775-189429785(-) tgacaatgcct >hg38_chr1:189496919-189496929(+) CAACAATGCAT >hg38_chr1:189530641-189530651(-) agacaatgttg >hg38_chr1:189580341-189580351(+) agacaataaaa >hg38_chr1:189580370-189580380(+) taacaatgcct >hg38_chr1:189604505-189604515(-) AAACAATAGGC >hg38_chr1:189658556-189658566(-) agacaaaggaa >hg38_chr1:189658627-189658637(-) tcacaatggtt >hg38_chr1:189671285-189671295(-) TTACAATGCAG >hg38_chr1:189820776-189820786(-) TCACAATGTCC >hg38_chr1:189833303-189833313(-) ATACAATGAGA >hg38_chr1:189851560-189851570(-) taacactggtc >hg38_chr1:189851901-189851911(+) atactatggca >hg38_chr1:189986613-189986623(-) acacaatgggg >hg38_chr1:190067202-190067212(+) gcacaatggct >hg38_chr1:190068545-190068555(+) GCACAATGAGT >hg38_chr1:190138796-190138806(+) GGACAAAGGAC >hg38_chr1:190162603-190162613(-) TGACAATGAAA >hg38_chr1:190162640-190162650(-) ATACAATAAAG >hg38_chr1:190479666-190479676(+) CCACAATGGCA >hg38_chr1:190540114-190540124(-) AGATAATGGTA >hg38_chr1:190551899-190551909(+) ttacaatgaaa >hg38_chr1:190563231-190563241(-) ttacaatgctg >hg38_chr1:190680505-190680515(-) TCACTATGGAC >hg38_chr1:190806141-190806151(+) gtacagtggga >hg38_chr1:190863873-190863883(+) aaacaataggc >hg38_chr1:190899888-190899898(+) gtacaatgact >hg38_chr1:190908873-190908883(-) ccacaatggca >hg38_chr1:190908956-190908966(-) tcacaatgaag >hg38_chr1:190936175-190936185(-) GAACAATGTGT >hg38_chr1:190936215-190936225(+) GAACAATTGCG >hg38_chr1:190965397-190965407(-) TTACAATAACG >hg38_chr1:191112340-191112350(+) tgacaatgatt >hg38_chr1:191249575-191249585(-) aaacaatgaca >hg38_chr1:191254829-191254839(-) gaacaaaggct >hg38_chr1:191340652-191340662(+) gaacaatagca >hg38_chr1:191549357-191549367(+) ACATAATGGAT >hg38_chr1:191575934-191575944(+) ACACAATGCAC >hg38_chr1:191592989-191592999(+) taataatgggc >hg38_chr1:191631750-191631760(+) caataatggga >hg38_chr1:191714957-191714967(+) acacaatgaga >hg38_chr1:191748376-191748386(+) caacaatgcct >hg38_chr1:191771346-191771356(+) GCACAATGTCT >hg38_chr1:191782012-191782022(+) caacaatagga >hg38_chr1:191853111-191853121(-) taacaaagggg >hg38_chr1:191899655-191899665(+) ACACAATAGCA >hg38_chr1:191907384-191907394(+) GAACAATGGCT >hg38_chr1:191954137-191954147(+) TGACAATGCAG >hg38_chr1:191959255-191959265(-) ATACAATGTAA >hg38_chr1:191959709-191959719(+) TTACAATGTTC >hg38_chr1:191959750-191959760(+) GTACAATGGTG >hg38_chr1:192028509-192028519(+) taacaatgata >hg38_chr1:192034315-192034325(-) gaacaatgcct >hg38_chr1:192034328-192034338(+) acacaatagat >hg38_chr1:192034339-192034349(+) agataatggta >hg38_chr1:192034361-192034371(+) aaaCAATGGTG >hg38_chr1:192076860-192076870(-) AGACAAAGGTG >hg38_chr1:192121401-192121411(+) aaacaatagta >hg38_chr1:192126225-192126235(+) TTACAAAGGCT >hg38_chr1:192126257-192126267(+) TAACAATGGTA >hg38_chr1:192141825-192141835(-) taacactggta >hg38_chr1:192153860-192153870(+) gtacaaaggtc >hg38_chr1:192170988-192170998(+) GAACAATAATC >hg38_chr1:192187882-192187892(+) taacagTGGGA >hg38_chr1:192187932-192187942(-) AGACAATATAC >hg38_chr1:192207952-192207962(+) ATACAATGAGC >hg38_chr1:192216758-192216768(-) acacaatgttt >hg38_chr1:192244657-192244667(-) ttacagtggat >hg38_chr1:192255145-192255155(-) aaacaatggcc >hg38_chr1:192265736-192265746(+) TAACAATGGAG >hg38_chr1:192270318-192270328(+) CAACAATGTGT >hg38_chr1:192271038-192271048(-) CAACAATGCAA >hg38_chr1:192271082-192271092(+) CGACAATATTT >hg38_chr1:192272231-192272241(+) CCACAATGACC >hg38_chr1:192302503-192302513(+) caataatggat >hg38_chr1:192326655-192326665(+) ACACAATGATA >hg38_chr1:192374613-192374623(+) GCACAATGCAT >hg38_chr1:192396190-192396200(-) ttacaatagaa >hg38_chr1:192432111-192432121(-) taacaatatta >hg38_chr1:192445095-192445105(-) AAATAATGGTC >hg38_chr1:192467217-192467227(-) ggacaatagga >hg38_chr1:192468504-192468514(+) tcacaatgatt >hg38_chr1:192490056-192490066(+) TAACAATGTGT >hg38_chr1:192577619-192577629(-) GAACAATGTGA >hg38_chr1:192633428-192633438(+) aaacAATGTGA >hg38_chr1:192690534-192690544(-) GAACAATAGAA >hg38_chr1:192691354-192691364(-) TGATAATGGGT >hg38_chr1:192708127-192708137(-) ACACAATGTCA >hg38_chr1:192708180-192708190(+) CCACAATGTAC >hg38_chr1:192708435-192708445(+) AAACACTGGAA >hg38_chr1:192709771-192709781(+) acacaaaggag >hg38_chr1:192749469-192749479(-) taacaatagac >hg38_chr1:192767085-192767095(+) GAACAATGGCA >hg38_chr1:192768652-192768662(-) TAACAAAGGTG >hg38_chr1:192768717-192768727(+) TAACAATGTCT >hg38_chr1:192770711-192770721(+) taacaatacta >hg38_chr1:192806054-192806064(-) AAACAATGCAG >hg38_chr1:192888135-192888145(+) gtacaaaggtc >hg38_chr1:192930707-192930717(-) AGACAATAGCA >hg38_chr1:192968720-192968730(+) caacaatgcag >hg38_chr1:192969270-192969280(+) CCACAATGAAA >hg38_chr1:192969955-192969965(-) TGACAATGAAA >hg38_chr1:192984733-192984743(-) AGACAAAGGAC >hg38_chr1:193014727-193014737(-) cgacaaaggtg >hg38_chr1:193025917-193025927(+) acacaatgtag >hg38_chr1:193025952-193025962(+) gaacaatggac >hg38_chr1:193033938-193033948(+) AGACAATGAGC >hg38_chr1:193035799-193035809(+) agacaatataa >hg38_chr1:193040176-193040186(+) taacaaaggaa >hg38_chr1:193047749-193047759(-) ttacaatggaa >hg38_chr1:193048435-193048445(+) gtacaatgtta >hg38_chr1:193061328-193061338(-) CAACAATAGAA >hg38_chr1:193089107-193089117(-) ATACAATATAA >hg38_chr1:193089172-193089182(-) TTACAAAGGCT >hg38_chr1:193096485-193096495(-) GAACAATAAAT >hg38_chr1:193096520-193096530(+) AGACAATGCAT >hg38_chr1:193096975-193096985(+) tcacaatgatg >hg38_chr1:193122789-193122799(-) CAACAATGAAA >hg38_chr1:193130390-193130400(+) atacagtggat >hg38_chr1:193148250-193148260(-) caacaatgagt >hg38_chr1:193148276-193148286(-) taacaatggtt >hg38_chr1:193148328-193148338(-) ATACAATGCCA >hg38_chr1:193156025-193156035(+) TAACAATATAT >hg38_chr1:193156093-193156103(-) ATACAATAAAC >hg38_chr1:193174645-193174655(-) GAACAATGTAA >hg38_chr1:193185308-193185318(-) AAACAAAGGGA >hg38_chr1:193185354-193185364(-) CCACAATAGAG >hg38_chr1:193186363-193186373(+) GGACAATGCAG >hg38_chr1:193188122-193188132(+) CCACAATGacc >hg38_chr1:193190714-193190724(+) TAACAATGAGC >hg38_chr1:193195038-193195048(+) ttacaatgaat >hg38_chr1:193208509-193208519(-) cAACAATGgtg >hg38_chr1:193208574-193208584(-) ggacaaaggat >hg38_chr1:193208587-193208597(-) aaacaatggat >hg38_chr1:193221801-193221811(-) AAACAATGAAG >hg38_chr1:193228501-193228511(+) aaataatggag >hg38_chr1:193230704-193230714(+) gtacaatataa >hg38_chr1:193230709-193230719(+) atataatggtg >hg38_chr1:193231427-193231437(+) gcacaatgtat >hg38_chr1:193265692-193265702(+) tcacaatataa >hg38_chr1:193346736-193346746(-) GGACAATGTTT >hg38_chr1:193407069-193407079(-) ACACAAAGGTC >hg38_chr1:193416908-193416918(-) taacaatgaga >hg38_chr1:193423155-193423165(+) GAACTATGGTG >hg38_chr1:193440201-193440211(+) agacaatgctg >hg38_chr1:193463165-193463175(-) GAACAATGGGA >hg38_chr1:193487106-193487116(+) TAACAAAGGAT >hg38_chr1:193504199-193504209(+) agacaatggaa >hg38_chr1:193504461-193504471(+) agacaatatat >hg38_chr1:193533657-193533667(-) GTATAATGGAG >hg38_chr1:193533722-193533732(+) TAATAATGGTT >hg38_chr1:193534259-193534269(-) ACACAATGTCA >hg38_chr1:193538134-193538144(-) ggacaaaggaa >hg38_chr1:193558521-193558531(+) TAACAATGTGT >hg38_chr1:193569766-193569776(-) AAACAAAGGAT >hg38_chr1:193636706-193636716(-) TTACAATGGTC >hg38_chr1:193692656-193692666(+) GTACAATTGTA >hg38_chr1:193697320-193697330(-) aaacaatagat >hg38_chr1:193707568-193707578(+) CTACAATGGGA >hg38_chr1:193729701-193729711(+) TAACAATGGCT >hg38_chr1:193737019-193737029(-) CAACAATAGCC >hg38_chr1:193771760-193771770(+) CTACAATGCTA >hg38_chr1:193771769-193771779(-) GAACAATGATA >hg38_chr1:193777341-193777351(+) gtataatgggg >hg38_chr1:193777373-193777383(-) ttacaatgtca >hg38_chr1:193794507-193794517(+) aaacaatgagg >hg38_chr1:193881702-193881712(-) ctacaaaggaa >hg38_chr1:193916611-193916621(-) taacaatagaa >hg38_chr1:194072251-194072261(+) CAACAATGCTT >hg38_chr1:194123635-194123645(-) atacaaaggca >hg38_chr1:194123966-194123976(-) taacaatgcat >hg38_chr1:194124520-194124530(+) gaacaatagag >hg38_chr1:194174696-194174706(-) TAACAATGGGG >hg38_chr1:194174720-194174730(-) GGACAATAGAG >hg38_chr1:194291426-194291436(+) CTACAATGATG >hg38_chr1:194338691-194338701(+) CAACAATGCTT >hg38_chr1:194350429-194350439(+) acacaaaggat >hg38_chr1:194380867-194380877(+) acacaatgaaa >hg38_chr1:194407316-194407326(-) ATACAATGAAA >hg38_chr1:194414327-194414337(+) aaacaatagca >hg38_chr1:194414540-194414550(-) ttataatggct >hg38_chr1:194443064-194443074(+) GCACAATCGTA >hg38_chr1:194443955-194443965(+) gtacaatagga >hg38_chr1:194444218-194444228(-) gaacaataaac >hg38_chr1:194444236-194444246(-) gtacaatagaa >hg38_chr1:194477088-194477098(-) GAACAATGGCA >hg38_chr1:194487411-194487421(+) gtacaatataa >hg38_chr1:194501133-194501143(-) GTACAATGCAT >hg38_chr1:194516092-194516102(-) CGACATTGGAA >hg38_chr1:194516100-194516110(-) CGACAATACGA >hg38_chr1:194532335-194532345(-) caacaatggca >hg38_chr1:194558416-194558426(-) TTACAATGTGT >hg38_chr1:194689508-194689518(+) GGACAATGGAC >hg38_chr1:194689547-194689557(-) GAACAATAATC >hg38_chr1:194710227-194710237(-) ggacaatagca >hg38_chr1:194735159-194735169(-) agacaatgact >hg38_chr1:194739987-194739997(-) ggacaatgtaa >hg38_chr1:194775923-194775933(-) agacaatgctc >hg38_chr1:194775949-194775959(+) taacgatggag >hg38_chr1:194812804-194812814(-) CCACAATAGGT >hg38_chr1:194812819-194812829(-) TCACTATGGAA >hg38_chr1:194878620-194878630(-) GGACAATGACT >hg38_chr1:194884582-194884592(+) acacaaaggga >hg38_chr1:194928576-194928586(+) GAACAATGGTA >hg38_chr1:194966477-194966487(+) TTACTATGGCC >hg38_chr1:194966544-194966554(-) TGATAATGGTG >hg38_chr1:194966863-194966873(-) ATACAATATTC >hg38_chr1:194975770-194975780(-) atataatggct >hg38_chr1:195080857-195080867(+) ACATAATGGCA >hg38_chr1:195102433-195102443(+) TTACAATAGGC >hg38_chr1:195118672-195118682(+) caacaatagca >hg38_chr1:195188944-195188954(+) AAACAATGTCT >hg38_chr1:195196884-195196894(-) taacaatatat >hg38_chr1:195204101-195204111(-) GAACAATAATA >hg38_chr1:195218167-195218177(+) gcacaatgcaa >hg38_chr1:195218182-195218192(+) gtacaatgaac >hg38_chr1:195263924-195263934(+) ATACAACGTAC >hg38_chr1:195291427-195291437(-) taacaatagat >hg38_chr1:195303100-195303110(-) CAACAATGTTC >hg38_chr1:195336758-195336768(-) taacaAAGGGA >hg38_chr1:195351486-195351496(-) TCACAATGTCA >hg38_chr1:195394693-195394703(-) GAACAATGAGT >hg38_chr1:195425259-195425269(-) ATACAATGACA >hg38_chr1:195425345-195425355(+) TTACAATGATT >hg38_chr1:195430845-195430855(+) taacaattgta >hg38_chr1:195434934-195434944(-) GGACAATGAGG >hg38_chr1:195463248-195463258(-) GTACAATATAC >hg38_chr1:195463286-195463296(+) CTACAATGCAA >hg38_chr1:195549156-195549166(+) taacaatgtct >hg38_chr1:195592087-195592097(-) ATACAATGACA >hg38_chr1:195617293-195617303(-) GAACTATGGTG >hg38_chr1:195657874-195657884(-) CAACAATGTTA >hg38_chr1:195660213-195660223(+) atataatgtaa >hg38_chr1:195664787-195664797(-) TCACAATGTCA >hg38_chr1:195745745-195745755(-) atacaatgcat >hg38_chr1:195745774-195745784(-) caacaatggca >hg38_chr1:195776791-195776801(-) atacaatgcaa >hg38_chr1:195786357-195786367(+) atactatggcc >hg38_chr1:195794466-195794476(+) acacaaaggaa >hg38_chr1:195803290-195803300(-) tgacaatgaac >hg38_chr1:195806079-195806089(+) ATACAATTGTA >hg38_chr1:195817751-195817761(-) acacaatgcct >hg38_chr1:195828581-195828591(-) CTACAATGTCA >hg38_chr1:195846889-195846899(+) GAACAATGTTG >hg38_chr1:195858091-195858101(+) taacaatgttg >hg38_chr1:195858614-195858624(+) caacaatggaa >hg38_chr1:195865510-195865520(+) TAACAATAGCA >hg38_chr1:195881927-195881937(-) caacaatggag >hg38_chr1:195940078-195940088(+) CAACAATGACC >hg38_chr1:195950945-195950955(-) gaacaataaat >hg38_chr1:195958411-195958421(-) AGACAAAGGCA >hg38_chr1:195981372-195981382(+) GGACAATATTA >hg38_chr1:196030312-196030322(-) tgacaatgggc >hg38_chr1:196036294-196036304(-) tcacaatagcc >hg38_chr1:196054481-196054491(+) aaataatggac >hg38_chr1:196054521-196054531(-) taacaataaca >hg38_chr1:196077696-196077706(-) TGACAATGACA >hg38_chr1:196097533-196097543(-) gaacaataaga >hg38_chr1:196149596-196149606(-) ctacaatagga >hg38_chr1:196169873-196169883(+) TAACAATAAAA >hg38_chr1:196170092-196170102(+) acacaataggg >hg38_chr1:196254706-196254716(+) TAACAATAACA >hg38_chr1:196254758-196254768(+) AGACAATGACT >hg38_chr1:196259234-196259244(+) AAACAATGAAC >hg38_chr1:196259460-196259470(-) GTACAATAGTA >hg38_chr1:196262285-196262295(+) GAACAATGTAG >hg38_chr1:196267268-196267278(-) agacaatggtc >hg38_chr1:196273492-196273502(+) ACACAACGGGA >hg38_chr1:196273532-196273542(+) AAACAATGACT >hg38_chr1:196273543-196273553(+) AAACAATAGAT >hg38_chr1:196289431-196289441(+) acacaatgcct >hg38_chr1:196289443-196289453(+) acacaatgcct >hg38_chr1:196289714-196289724(+) TTACAATAAAT >hg38_chr1:196289730-196289740(-) CAACAATAGCA >hg38_chr1:196293142-196293152(-) ccacaataacg >hg38_chr1:196293525-196293535(+) taacaaaggtg >hg38_chr1:196297454-196297464(-) AGACAATGCAG >hg38_chr1:196355498-196355508(+) AAACAATGAAC >hg38_chr1:196355951-196355961(-) GCACAATGGCA >hg38_chr1:196360414-196360424(+) CAACAATAGCA >hg38_chr1:196374002-196374012(-) taacaatgctt >hg38_chr1:196409288-196409298(-) GAACAATAAAT >hg38_chr1:196409729-196409739(-) tcacaataggc >hg38_chr1:196429681-196429691(+) TCACAATGCAG >hg38_chr1:196449676-196449686(+) AGACAATGTAC >hg38_chr1:196478508-196478518(-) GCACAATAGAG >hg38_chr1:196497730-196497740(-) gaacaatatac >hg38_chr1:196498589-196498599(-) ggacaatgaac >hg38_chr1:196544135-196544145(+) taacaataagt >hg38_chr1:196588554-196588564(-) TAACAATAAAA >hg38_chr1:196588599-196588609(-) AAACAAAGGCA >hg38_chr1:196588626-196588636(+) CTACAATGTTA >hg38_chr1:196649014-196649024(+) ttataatggga >hg38_chr1:196866663-196866673(-) gaacaatacac >hg38_chr1:196878179-196878189(-) GGACAATCGGA >hg38_chr1:196890706-196890716(-) gtacaatggca >hg38_chr1:196895580-196895590(-) gtacaataatt >hg38_chr1:196979111-196979121(-) GCACAATATAT >hg38_chr1:196983589-196983599(+) AAACAATGGGA >hg38_chr1:197050400-197050410(+) TCACAATGAAT >hg38_chr1:197064428-197064438(+) atacaatggaa >hg38_chr1:197065931-197065941(+) gcacaaTGGGA >hg38_chr1:197077405-197077415(-) ttacaatgcat >hg38_chr1:197101344-197101354(+) TTACAATGCTT >hg38_chr1:197101360-197101370(+) TAATAATGGCA >hg38_chr1:197101656-197101666(-) AGACAATGGCA >hg38_chr1:197124171-197124181(-) GAACAATATAG >hg38_chr1:197140721-197140731(+) ggacaatggaa >hg38_chr1:197140775-197140785(+) ttacaatgacc >hg38_chr1:197154209-197154219(+) TAACAATGAGA >hg38_chr1:197179268-197179278(+) aaacaatgctg >hg38_chr1:197179289-197179299(+) ttacaacggag >hg38_chr1:197229740-197229750(+) ggataatggtc >hg38_chr1:197244897-197244907(-) gaacaatagaa >hg38_chr1:197246798-197246808(+) ctacaatgtct >hg38_chr1:197321234-197321244(+) ATACACTGGGC >hg38_chr1:197362334-197362344(-) aaacaatagca >hg38_chr1:197430688-197430698(-) gaacaataaga >hg38_chr1:197433409-197433419(+) atacaataaaa >hg38_chr1:197433458-197433468(-) ttacaatgaat >hg38_chr1:197471366-197471376(-) GAACAATGGTG >hg38_chr1:197477957-197477967(+) TGACAATGTTA >hg38_chr1:197477982-197477992(+) TTACACTGGAA >hg38_chr1:197506846-197506856(+) GTACAGTGGCT >hg38_chr1:197506880-197506890(-) CTATAATGGAA >hg38_chr1:197507917-197507927(-) AAACAAAGGCA >hg38_chr1:197526141-197526151(-) TAACTATGGGG >hg38_chr1:197526840-197526850(+) TGACAATATAA >hg38_chr1:197528058-197528068(+) ATACAATACTA >hg38_chr1:197530170-197530180(+) AAATAATGGAA >hg38_chr1:197530980-197530990(+) TGACAatagtg >hg38_chr1:197540840-197540850(+) GAACAAAGGGC >hg38_chr1:197541243-197541253(+) ATACAAAGGCT >hg38_chr1:197541654-197541664(-) GAACAAAGGCT >hg38_chr1:197541970-197541980(-) GCACTATGGAA >hg38_chr1:197548432-197548442(-) ggacaatgact >hg38_chr1:197598796-197598806(+) TCACAAAGGAC >hg38_chr1:197602029-197602039(+) GCACAATGATT >hg38_chr1:197616367-197616377(+) TAACAAAGGGC >hg38_chr1:197616415-197616425(+) TAACAATATAA >hg38_chr1:197623709-197623719(-) taacaatgtac >hg38_chr1:197623743-197623753(-) tgacaatgatg >hg38_chr1:197657100-197657110(+) aaacaatacta >hg38_chr1:197665928-197665938(+) TGACAATGAAC >hg38_chr1:197665951-197665961(-) TAATAATGGCT >hg38_chr1:197725244-197725254(-) taataatgtat >hg38_chr1:197739639-197739649(+) agacaatgtta >hg38_chr1:197740536-197740546(+) ACACAATATAT >hg38_chr1:197740613-197740623(+) AAACAATAATA >hg38_chr1:197741353-197741363(+) TTACAATGATG >hg38_chr1:197750281-197750291(+) tcacaatagat >hg38_chr1:197750308-197750318(+) gtacaatgtaa >hg38_chr1:197764104-197764114(-) GAACAATAGCA >hg38_chr1:197859157-197859167(+) GGACAATAGTG >hg38_chr1:197859480-197859490(+) CTACaataata >hg38_chr1:197864413-197864423(-) ggacaatataa >hg38_chr1:197869630-197869640(+) GTACAATGACA >hg38_chr1:197869701-197869711(+) AGATAATGGAG >hg38_chr1:197888435-197888445(-) aaacaaaggct >hg38_chr1:197888687-197888697(-) caacaatagaa >hg38_chr1:197891085-197891095(+) ttacaaaggga >hg38_chr1:197895871-197895881(+) agacaatgctc >hg38_chr1:197931635-197931645(-) CAACAATGTGT >hg38_chr1:197967874-197967884(-) atacaatgtaa >hg38_chr1:198012230-198012240(-) TTACAATGCCA >hg38_chr1:198019580-198019590(-) AAACTATGGTG >hg38_chr1:198019700-198019710(-) taacaaaggta >hg38_chr1:198030373-198030383(-) agacaatgcac >hg38_chr1:198030405-198030415(-) CAACAATGAta >hg38_chr1:198031422-198031432(+) agacaatgtat >hg38_chr1:198067020-198067030(+) taacaataata >hg38_chr1:198074592-198074602(+) GGACAATGAAA >hg38_chr1:198084432-198084442(+) ACACAATGGCC >hg38_chr1:198084457-198084467(+) ACACAATGGCC >hg38_chr1:198084464-198084474(-) AGACAATGGCC >hg38_chr1:198086232-198086242(-) GAACAATGGAG >hg38_chr1:198089837-198089847(+) TAACAATGCTG >hg38_chr1:198097177-198097187(+) TGACAATGAAT >hg38_chr1:198097227-198097237(+) AGACAAAGGAA >hg38_chr1:198109634-198109644(+) gaacaatggct >hg38_chr1:198129092-198129102(+) aaacaatatac >hg38_chr1:198161071-198161081(+) ATACAATGAGT >hg38_chr1:198161361-198161371(-) ctacaatggca >hg38_chr1:198177412-198177422(-) gaacaataatt >hg38_chr1:198187629-198187639(+) tcacaatgtct >hg38_chr1:198199957-198199967(-) gaacaatagaa >hg38_chr1:198205736-198205746(+) TAATAATGGTA >hg38_chr1:198215253-198215263(+) aaacaataaca >hg38_chr1:198220053-198220063(-) gaacaatggga >hg38_chr1:198220251-198220261(-) gaacaatgtgg >hg38_chr1:198233674-198233684(-) tcacaatgcac >hg38_chr1:198269498-198269508(+) GAACAATGCCT >hg38_chr1:198269516-198269526(+) TTACAAAGGAC >hg38_chr1:198281842-198281852(+) ATACAATAAAA >hg38_chr1:198295217-198295227(-) GAACAATAAAG >hg38_chr1:198296193-198296203(-) GGACAATGTGT >hg38_chr1:198304614-198304624(-) TAACAATAGGG >hg38_chr1:198304673-198304683(+) CAACAATGACT >hg38_chr1:198308572-198308582(-) GAACAATGCCA >hg38_chr1:198343777-198343787(-) GTACAATGAAA >hg38_chr1:198367311-198367321(-) GTACAATGCAA >hg38_chr1:198367322-198367332(+) ATACAATATGA >hg38_chr1:198367364-198367374(+) ACACAATAGAG >hg38_chr1:198367373-198367383(+) AGACAATGACT >hg38_chr1:198595118-198595128(-) caacaatgcaa >hg38_chr1:198617480-198617490(+) taacaatagat >hg38_chr1:198735713-198735723(-) CCACAATGACC >hg38_chr1:198735769-198735779(-) atataatggaa >hg38_chr1:198739709-198739719(-) ctacaatgcac >hg38_chr1:198742006-198742016(-) CCACAATGGGA >hg38_chr1:198744979-198744989(+) TTATAATGGAA >hg38_chr1:198763447-198763457(-) GAACAATGACA >hg38_chr1:198763455-198763465(-) GGACAATGGAA >hg38_chr1:198771771-198771781(+) TTACAATGGCT >hg38_chr1:198788737-198788747(+) tcacaaaggaa >hg38_chr1:198800289-198800299(+) AAACAATGATA >hg38_chr1:198800330-198800340(+) GAACAATGCCT >hg38_chr1:198826719-198826729(+) CAATAATGGAA >hg38_chr1:198849982-198849992(+) AAACAATGCAA >hg38_chr1:198860114-198860124(+) TGATAATGGTC >hg38_chr1:198860552-198860562(-) CCACAATAGGC >hg38_chr1:198875025-198875035(+) TTACAATGTTT >hg38_chr1:198875041-198875051(+) TAACAATACAA >hg38_chr1:198876785-198876795(-) GGACAATGGGT >hg38_chr1:198888642-198888652(+) TCACAATGCAG >hg38_chr1:198890403-198890413(-) ACACAAAGGAG >hg38_chr1:198904098-198904108(-) AGACAATGAGA >hg38_chr1:198907478-198907488(-) CGACAATGCAA >hg38_chr1:198907522-198907532(-) TGACAATGACA >hg38_chr1:198907842-198907852(+) TTACAATAAAA >hg38_chr1:198924240-198924250(-) GCACAATGAAC >hg38_chr1:198927549-198927559(+) TTACAATAATC >hg38_chr1:198933616-198933626(-) ACACAATGGCA >hg38_chr1:198936072-198936082(+) GGACAATGTTT >hg38_chr1:198936740-198936750(-) GAACAATGGCT >hg38_chr1:198978746-198978756(-) gcacagtggta >hg38_chr1:199006922-199006932(-) aaataatggaa >hg38_chr1:199224902-199224912(-) ggacAATGGAA >hg38_chr1:199226488-199226498(+) GTACAATAAAA >hg38_chr1:199227470-199227480(+) ACATAATGGAA >hg38_chr1:199231847-199231857(+) aaacaatggaa >hg38_chr1:199231864-199231874(+) aaacaatgcaa >hg38_chr1:199302335-199302345(+) TAACAATGAAG >hg38_chr1:199321341-199321351(+) gtacaatggac >hg38_chr1:199369452-199369462(-) TTATAATGGCT >hg38_chr1:199376381-199376391(+) caacaatggac >hg38_chr1:199376866-199376876(+) ccacaatgaga >hg38_chr1:199434744-199434754(+) AAACAAAGGAA >hg38_chr1:199464118-199464128(+) acataatggat >hg38_chr1:199464144-199464154(+) gtacaatagag >hg38_chr1:199467259-199467269(-) CGACAATGAAT >hg38_chr1:199491268-199491278(+) taacaaaggac >hg38_chr1:199506219-199506229(+) agacaaaggaa >hg38_chr1:199507637-199507647(+) ATACAATGCCA >hg38_chr1:199507669-199507679(+) TAATAATGGTT >hg38_chr1:199519630-199519640(-) aaacaatgttg >hg38_chr1:199519667-199519677(-) agacaaagggc >hg38_chr1:199525179-199525189(+) TCACAATGTGA >hg38_chr1:199525200-199525210(-) GGACAATGTAT >hg38_chr1:199545689-199545699(+) acacaatgtgt >hg38_chr1:199560744-199560754(+) caacaatagaa >hg38_chr1:199561033-199561043(+) aaacaataata >hg38_chr1:199582630-199582640(+) caacaatggca >hg38_chr1:199582686-199582696(+) ctacaatgtac >hg38_chr1:199597169-199597179(+) taacaATGATT >hg38_chr1:199613402-199613412(-) gaacaataggg >hg38_chr1:199644966-199644976(+) ccacaatgaat >hg38_chr1:199676718-199676728(+) AAACAATAGAA >hg38_chr1:199676772-199676782(+) AGACAAAGGAT >hg38_chr1:199678714-199678724(+) agacaatagat >hg38_chr1:199678939-199678949(+) ACACAATGTAG >hg38_chr1:199682344-199682354(-) atacaatgctt >hg38_chr1:199691631-199691641(+) GAACAATGCAT >hg38_chr1:199691649-199691659(+) GAACAATGAAG >hg38_chr1:199716796-199716806(+) gaacaaagggg >hg38_chr1:199748270-199748280(+) GCACAACGGAA >hg38_chr1:199759541-199759551(-) CCACAATGGAG >hg38_chr1:199770977-199770987(+) acacaataggg >hg38_chr1:199774506-199774516(+) ggacaatgtgt >hg38_chr1:199774569-199774579(-) taacaatatat >hg38_chr1:199789213-199789223(+) TCACAATAGCA >hg38_chr1:199789245-199789255(+) CAACAATGGAT >hg38_chr1:199806752-199806762(-) TAACAAAGGTG >hg38_chr1:199809775-199809785(-) GTACAATAGAT >hg38_chr1:199841161-199841171(+) atacaataaca >hg38_chr1:199978537-199978547(+) AAACAATGTGT >hg38_chr1:199978560-199978570(+) GGACAATAGGG >hg38_chr1:200002396-200002406(-) TCACAATGATA >hg38_chr1:200042002-200042012(-) TAACAATCGCG >hg38_chr1:200048422-200048432(-) TTACAAAGGGA >hg38_chr1:200048448-200048458(+) TGACAATGCCC >hg38_chr1:200056312-200056322(-) GAACAATACAC >hg38_chr1:200076353-200076363(+) GGACAATGCCA >hg38_chr1:200084718-200084728(-) CTACAAAGGGA >hg38_chr1:200129797-200129807(-) CCACAATGGCC >hg38_chr1:200138214-200138224(-) CAACAATAGAG >hg38_chr1:200139668-200139678(-) gaaCAATGAAA >hg38_chr1:200146479-200146489(+) GTACCATGGAA >hg38_chr1:200166607-200166617(+) ACACAATAGGG >hg38_chr1:200173059-200173069(-) agacaATAGGC >hg38_chr1:200184392-200184402(-) ACACAATAGTT >hg38_chr1:200223920-200223930(+) GAACAATAGGG >hg38_chr1:200242669-200242679(-) ACACAATGGAA >hg38_chr1:200262579-200262589(+) TAACAATAGCT >hg38_chr1:200302546-200302556(-) TGACAATGCCG >hg38_chr1:200319408-200319418(+) GAACAATGAGT >hg38_chr1:200366525-200366535(+) AAACAATGGGG >hg38_chr1:200381823-200381833(-) gaacaataaac >hg38_chr1:200391618-200391628(-) CTATAATGGAG >hg38_chr1:200392778-200392788(+) TAACAATGGAA >hg38_chr1:200400750-200400760(+) CCACAATGATA >hg38_chr1:200401149-200401159(-) CGACAAAGGAA >hg38_chr1:200401170-200401180(-) GTACAAAGGCA >hg38_chr1:200401732-200401742(-) GAATAATGGGC >hg38_chr1:200439024-200439034(-) GTACAATAGAG >hg38_chr1:200475839-200475849(-) GAACAATAGCA >hg38_chr1:200475855-200475865(+) AAACAAAGGAC >hg38_chr1:200537025-200537035(-) GTACAATGACC >hg38_chr1:200551931-200551941(-) GTACAATGTAG >hg38_chr1:200618906-200618916(-) ACACAATGGGA >hg38_chr1:200618940-200618950(+) TTACAATAGAT >hg38_chr1:200633533-200633543(-) TAACAAAGGta >hg38_chr1:200688221-200688231(-) AAACAATGAGA >hg38_chr1:200691737-200691747(-) agacaatcgtt >hg38_chr1:200715228-200715238(+) tcacaatggag >hg38_chr1:200726702-200726712(-) ccacaatgatt >hg38_chr1:200730452-200730462(+) CAACAATGACT >hg38_chr1:200732655-200732665(+) aaacaaaggag >hg38_chr1:200735365-200735375(-) AAACAATGAGC >hg38_chr1:200739866-200739876(-) GAACAATGAAC >hg38_chr1:200768269-200768279(-) ATACAATACCG >hg38_chr1:200768286-200768296(+) GTATAATGGGA >hg38_chr1:200789541-200789551(-) acacaatgtgg >hg38_chr1:200796347-200796357(-) acacaatacgg >hg38_chr1:200813536-200813546(-) atacaatggac >hg38_chr1:200818681-200818691(+) TAACAATGGGA >hg38_chr1:200833835-200833845(+) GTACAATGAGT >hg38_chr1:200846530-200846540(-) GAATAATGGCT >hg38_chr1:200846593-200846603(-) CTACAATGGAC >hg38_chr1:200858496-200858506(-) ATACAAAGGTA >hg38_chr1:200860010-200860020(+) AGACAATGGAG >hg38_chr1:200868602-200868612(+) ttacaatggaa >hg38_chr1:200868668-200868678(+) atacaatgcca >hg38_chr1:201023711-201023721(+) GAACAAAGGGG >hg38_chr1:201084364-201084374(-) taaccatggta >hg38_chr1:201084422-201084432(-) AAACAATAGAG >hg38_chr1:201108848-201108858(-) GAACAATGGAA >hg38_chr1:201154670-201154680(-) ACACAAAGGCA >hg38_chr1:201164784-201164794(-) caacaatgcgg >hg38_chr1:201168177-201168187(-) aaacaatgcaa >hg38_chr1:201168193-201168203(-) tcacaatagcc >hg38_chr1:201168214-201168224(-) ttacaatagta >hg38_chr1:201177524-201177534(+) GAAGAATGGCG >hg38_chr1:201214597-201214607(-) AGACGATGGCA >hg38_chr1:201246830-201246840(+) aaacaatagac >hg38_chr1:201266936-201266946(-) GAACAATATGA >hg38_chr1:201295037-201295047(-) TGACAATGTCT >hg38_chr1:201309578-201309588(-) atacaaaggac >hg38_chr1:201358722-201358732(-) GAACAATGCAG >hg38_chr1:201448374-201448384(+) GGACAATGGGG >hg38_chr1:201464218-201464228(-) ggagaatggcg >hg38_chr1:201505704-201505714(+) AAACAATGGGT >hg38_chr1:201506748-201506758(+) ATACAATGAGC >hg38_chr1:201506778-201506788(-) GAACAATCGGC >hg38_chr1:201539123-201539133(-) CCACAATGAGG >hg38_chr1:201543416-201543426(-) acacaatgaag >hg38_chr1:201546138-201546148(-) AGACAAAGGCA >hg38_chr1:201553066-201553076(-) TTACAATGCCC >hg38_chr1:201571287-201571297(+) agataatggat >hg38_chr1:201605311-201605321(-) ACACAATGGGG >hg38_chr1:201628727-201628737(-) GAACAAAGGCT >hg38_chr1:201641570-201641580(+) GAACAATGGCT >hg38_chr1:201662791-201662801(+) TCACAATGGGC >hg38_chr1:201678716-201678726(-) AAACAATGAAT >hg38_chr1:201694308-201694318(-) GAACAAAGGGA >hg38_chr1:201752059-201752069(+) GCACAATGTGG >hg38_chr1:201759738-201759748(+) CTACAATGGAA >hg38_chr1:201760757-201760767(+) TTACAAAGGGT >hg38_chr1:201760765-201760775(-) ACACAATGACC >hg38_chr1:201773856-201773866(-) GGACAATGAAG >hg38_chr1:201777009-201777019(+) gaacaatgcct >hg38_chr1:201797608-201797618(+) taacaatgtta >hg38_chr1:201888323-201888333(-) CTACGATGGAC >hg38_chr1:202069788-202069798(+) aaacaatggag >hg38_chr1:202075181-202075191(-) ggacaaaggga >hg38_chr1:202085498-202085508(+) AGACAAAGGAC >hg38_chr1:202091229-202091239(-) gaataatggtg >hg38_chr1:202131072-202131082(+) GAACAATGTAG >hg38_chr1:202133261-202133271(-) GCACAATGGCG >hg38_chr1:202214359-202214369(-) GAACAATGGAA >hg38_chr1:202222374-202222384(+) GAACAATGAGG >hg38_chr1:202255702-202255712(+) acacaatgctt >hg38_chr1:202255769-202255779(-) TCACAAAGGAA >hg38_chr1:202258617-202258627(-) agacaatgatg >hg38_chr1:202433495-202433505(-) agactatggag >hg38_chr1:202442378-202442388(-) ATACAATGCAG >hg38_chr1:202545761-202545771(+) GAACAATAGAA >hg38_chr1:202545801-202545811(+) ttacaaaggta >hg38_chr1:202577004-202577014(+) AAACAATGCAA >hg38_chr1:202577374-202577384(-) taacaataata >hg38_chr1:202638326-202638336(+) AAACAAAGGAA >hg38_chr1:202713402-202713412(+) AAACAATGACT >hg38_chr1:202716574-202716584(+) gtataatggtt >hg38_chr1:202731617-202731627(+) GGACAATGGAT >hg38_chr1:202737000-202737010(-) GAACAAaggga >hg38_chr1:202748440-202748450(+) agacactggta >hg38_chr1:202750205-202750215(-) ccacaatgaga >hg38_chr1:202793360-202793370(+) TTACTATGGTT >hg38_chr1:202802281-202802291(+) CAACAATGAAA >hg38_chr1:202805467-202805477(+) ATACAAAGGTA >hg38_chr1:202805765-202805775(-) GAACAAAGGCT >hg38_chr1:202954422-202954432(+) AAACAATGGAG >hg38_chr1:202964851-202964861(+) TGACAATAATA >hg38_chr1:202964870-202964880(+) GGACAATGGGG >hg38_chr1:202964911-202964921(+) ACACAATGTAT >hg38_chr1:202968033-202968043(-) GCACAATGATT >hg38_chr1:202998102-202998112(+) acacaatgtgg >hg38_chr1:203002357-203002367(+) tcacaatgCCT >hg38_chr1:203054790-203054800(-) cgataatagat >hg38_chr1:203087533-203087543(+) GGACAATAAGA >hg38_chr1:203107347-203107357(-) atacaatagaa >hg38_chr1:203144784-203144794(-) GAACAATGAAG >hg38_chr1:203147691-203147701(+) AGACAATGAAG >hg38_chr1:203208804-203208814(-) tgataatggac >hg38_chr1:203208826-203208836(-) gtacagtggtg >hg38_chr1:203252422-203252432(+) TCATAATGGca >hg38_chr1:203290779-203290789(+) AAACAATGCCC >hg38_chr1:203307930-203307940(+) AAACAATACTA >hg38_chr1:203328024-203328034(-) GTACAATGGAG >hg38_chr1:203329013-203329023(-) TAACAATGTGA >hg38_chr1:203480970-203480980(+) TGACAATGAAG >hg38_chr1:203507269-203507279(+) GTAGAATGGTA >hg38_chr1:203507327-203507337(+) GTACAAAGGAA >hg38_chr1:203508727-203508737(+) GGACAAAGGTC >hg38_chr1:203519534-203519544(+) TCACAATGCTG >hg38_chr1:203522208-203522218(+) CAACAATAGCT >hg38_chr1:203522539-203522549(+) AGACAAAGGGA >hg38_chr1:203625823-203625833(+) GCACAATGCTA >hg38_chr1:203629985-203629995(+) GCACAATGGAA >hg38_chr1:203632165-203632175(+) aaacaatggta >hg38_chr1:203632182-203632192(+) atacaatagaa >hg38_chr1:203669945-203669955(+) CAACAATGACC >hg38_chr1:203669983-203669993(-) AGACAAAGGGC >hg38_chr1:203669998-203670008(+) CAACAATGAGC >hg38_chr1:203673975-203673985(-) GAACAAAGGGG >hg38_chr1:203691606-203691616(-) GAACAATGCTT >hg38_chr1:203706523-203706533(-) CAACAATGCCT >hg38_chr1:203773070-203773080(+) caacaatgcca >hg38_chr1:203776547-203776557(+) aaacaatgttt >hg38_chr1:203790286-203790296(+) tgacaatggtt >hg38_chr1:203803108-203803118(-) GAACAATGGCC >hg38_chr1:203816679-203816689(+) TTACAATGCTG >hg38_chr1:203816752-203816762(-) CTACAATGTAA >hg38_chr1:203818436-203818446(-) AAACAATGGAC >hg38_chr1:203846618-203846628(-) atacaatggta >hg38_chr1:203848418-203848428(-) ATACAATAATC >hg38_chr1:203848444-203848454(+) AAACAAAGGCT >hg38_chr1:203856476-203856486(-) TCACAATGTTC >hg38_chr1:203856528-203856538(-) GGATAATGGAA >hg38_chr1:203862102-203862112(+) AAACAAAGGTG >hg38_chr1:203876332-203876342(-) ccacaatgata >hg38_chr1:203889053-203889063(-) TAACAATGGAA >hg38_chr1:203889083-203889093(+) TTACAATGTAT >hg38_chr1:203889112-203889122(+) CTACAATAGTC >hg38_chr1:203992793-203992803(-) gtacaatgcct >hg38_chr1:203995384-203995394(+) AAACAATGACT >hg38_chr1:203995408-203995418(-) GGACAATGGGA >hg38_chr1:203995428-203995438(+) GAACAATGAGA >hg38_chr1:203996917-203996927(+) GAACAATAGGG >hg38_chr1:204013218-204013228(+) ACACAATGGAG >hg38_chr1:204013252-204013262(+) AGACAATAGCA >hg38_chr1:204026604-204026614(+) AGACAAAGGCA >hg38_chr1:204065480-204065490(-) TAACAAAGGCA >hg38_chr1:204065770-204065780(-) ACACAATGGTG >hg38_chr1:204074482-204074492(-) AAACAATGGCG >hg38_chr1:204091076-204091086(-) GGACAATGAGA >hg38_chr1:204094469-204094479(-) CAACAATGGGG >hg38_chr1:204104512-204104522(-) AAACAATAGGC >hg38_chr1:204108787-204108797(-) AGACAATAGGG >hg38_chr1:204131036-204131046(-) TGACAATGGTG >hg38_chr1:204267220-204267230(-) ATACACTGGGT >hg38_chr1:204267257-204267267(-) CAACAATGCTT >hg38_chr1:204287842-204287852(-) AGACAATGGTT >hg38_chr1:204287865-204287875(+) AGACAATGGTT >hg38_chr1:204290400-204290410(+) tgacaaaggta >hg38_chr1:204313886-204313896(-) AAACAATAGAG >hg38_chr1:204340305-204340315(-) GGATAATGGGT >hg38_chr1:204343133-204343143(+) gtacaatgagc >hg38_chr1:204348798-204348808(-) TGACAATGGAG >hg38_chr1:204348819-204348829(+) AGACAATGAGA >hg38_chr1:204378421-204378431(+) GAACAAAGGTC >hg38_chr1:204407634-204407644(-) ACACAATGAAT >hg38_chr1:204414298-204414308(-) gtacagtggga >hg38_chr1:204455259-204455269(-) GAACAAAGGAG >hg38_chr1:204456517-204456527(+) aaacaaaGGAA >hg38_chr1:204494233-204494243(-) CAACAATGGAA >hg38_chr1:204494664-204494674(+) AGACAATAGCG >hg38_chr1:204508946-204508956(-) aaacaatgtct >hg38_chr1:204530494-204530504(+) AGACAATGAGT >hg38_chr1:204542479-204542489(-) GAACAATGACC >hg38_chr1:204576554-204576564(-) GAACAAAGGTC >hg38_chr1:204602053-204602063(+) TGACAATAGTA >hg38_chr1:204602082-204602092(-) ACACAAAGGAT >hg38_chr1:204652085-204652095(+) AGACAATAGGA >hg38_chr1:204652112-204652122(+) AGACAAAGGTT >hg38_chr1:204663896-204663906(+) GAACAATGGAG >hg38_chr1:204693036-204693046(+) AGACAATGAag >hg38_chr1:204709701-204709711(+) tgacaatggat >hg38_chr1:204763869-204763879(+) GAACAACGGGG >hg38_chr1:204789388-204789398(+) gaacaatgaaa >hg38_chr1:204834599-204834609(-) TCACAATAACG >hg38_chr1:204862358-204862368(-) caacaatgcac >hg38_chr1:204864222-204864232(-) ataccatggaa >hg38_chr1:204864276-204864286(-) agacaatgcaa >hg38_chr1:204870321-204870331(-) GAACAAtggct >hg38_chr1:204870340-204870350(-) GGACAATGGCT >hg38_chr1:204883576-204883586(-) AAACAAAGGAC >hg38_chr1:204902523-204902533(-) agacaatgact >hg38_chr1:204902571-204902581(+) agacaatgaca >hg38_chr1:204929274-204929284(+) TGACAATGAAG >hg38_chr1:204958831-204958841(+) GAACAATGGCT >hg38_chr1:204958911-204958921(-) CGATAATGGTC >hg38_chr1:204990430-204990440(-) GGACAATAGGG >hg38_chr1:205002991-205003001(+) AAACAAAGGAT >hg38_chr1:205114993-205115003(+) CAACAATAGCC >hg38_chr1:205115447-205115457(+) CCACAATGTCT >hg38_chr1:205142671-205142681(+) TAACAATATTA >hg38_chr1:205192368-205192378(-) aaacaaaggca >hg38_chr1:205204293-205204303(+) GAACAAAGGAA >hg38_chr1:205224555-205224565(+) TAACAAAGGAG >hg38_chr1:205226592-205226602(-) GCACAATGTGG >hg38_chr1:205227107-205227117(-) ACACAATGGAT >hg38_chr1:205227129-205227139(+) ATACAATAGAC >hg38_chr1:205256315-205256325(-) GGACAATGAGC >hg38_chr1:205271025-205271035(-) AAACAATGACT >hg38_chr1:205284845-205284855(+) AGACAATGGCC >hg38_chr1:205294209-205294219(+) CAACAAAGGCG >hg38_chr1:205314154-205314164(+) TCACAATGCCC >hg38_chr1:205366464-205366474(-) AAACAATAGAG >hg38_chr1:205397184-205397194(+) ATACCATGGAA >hg38_chr1:205442124-205442134(+) GAACAAAGGAA >hg38_chr1:205446912-205446922(-) GAACAATAGGA >hg38_chr1:205446973-205446983(+) GGACAAAGGGT >hg38_chr1:205448613-205448623(+) ACACAATGGTC >hg38_chr1:205451426-205451436(-) GGACAATGGGA >hg38_chr1:205455660-205455670(+) GAACAAAGGCA >hg38_chr1:205455952-205455962(-) acacaaaggaa >hg38_chr1:205543675-205543685(-) GAACAATGACG >hg38_chr1:205543692-205543702(-) ACACAATGGGC >hg38_chr1:205609583-205609593(+) AAACAATAAAT >hg38_chr1:205609611-205609621(+) ATACAAAGGCC >hg38_chr1:205609648-205609658(+) AAACAATGAAA >hg38_chr1:205611482-205611492(+) AAACAATAACA >hg38_chr1:205616433-205616443(+) TCACAATAGTC >hg38_chr1:205616450-205616460(-) CTACAATGCTG >hg38_chr1:205670199-205670209(+) AGACAATGCGC >hg38_chr1:205720210-205720220(+) TCACAATGCCT >hg38_chr1:205723195-205723205(-) ATACAAAGGGG >hg38_chr1:205733409-205733419(+) TAACAATGGCT >hg38_chr1:205748956-205748966(+) ATACAATAGCC >hg38_chr1:205792303-205792313(-) aaacaatggaa >hg38_chr1:205826554-205826564(+) CAACAATAGAA >hg38_chr1:205827155-205827165(+) TAACAAAGGCT >hg38_chr1:205878125-205878135(-) taacaatatga >hg38_chr1:205913691-205913701(-) GGACAATGTTA >hg38_chr1:205933703-205933713(-) GAACAATAGAG >hg38_chr1:205994544-205994554(-) TGACAATGGAA >hg38_chr1:205998754-205998764(+) ACACAATAGCA >hg38_chr1:205998760-205998770(-) TGACAATGCTA >hg38_chr1:205998776-205998786(+) AAACAATACGT >hg38_chr1:206076114-206076124(-) taataatggac >hg38_chr1:206122810-206122820(-) atacaaaggga >hg38_chr1:206431535-206431545(+) AGACAATAGGA >hg38_chr1:206594323-206594333(+) aaacaatagct >hg38_chr1:206607341-206607351(+) ggacaatgaca >hg38_chr1:206607371-206607381(+) tgataatggtt >hg38_chr1:206622902-206622912(-) atacaatggaa >hg38_chr1:206672259-206672269(-) GGACAAAGGTT >hg38_chr1:206673587-206673597(-) AGACAAAGGAC >hg38_chr1:206684932-206684942(-) CGACAATGTAC >hg38_chr1:206686429-206686439(+) ACACAATGGAC >hg38_chr1:206815906-206815916(-) aaacaatgtta >hg38_chr1:206815934-206815944(+) ttacaatgttg >hg38_chr1:206871117-206871127(+) CAACAATGTTG >hg38_chr1:206871142-206871152(+) CAACAATGGAG >hg38_chr1:206892788-206892798(-) atacaatgttt >hg38_chr1:206984943-206984953(+) agacaatggga >hg38_chr1:206984951-206984961(-) acacaatgtcc >hg38_chr1:206995223-206995233(-) aaataatggga >hg38_chr1:207007518-207007528(-) ATACAAGGGTA >hg38_chr1:207007585-207007595(+) GAACAATGAAC >hg38_chr1:207027375-207027385(+) CAACAATGAAA >hg38_chr1:207038226-207038236(-) ctacaatagac >hg38_chr1:207047261-207047271(-) AGACAATATAA >hg38_chr1:207051705-207051715(-) TTACAATGAAG >hg38_chr1:207107004-207107014(+) tcacaatgtat >hg38_chr1:207126841-207126851(-) TCACAATGAAT >hg38_chr1:207130139-207130149(-) aaacaatgagc >hg38_chr1:207172587-207172597(+) TTACAATAACT >hg38_chr1:207172616-207172626(+) TAACAATGTCA >hg38_chr1:207223806-207223816(-) CTACAATGCTC >hg38_chr1:207268329-207268339(+) TTATAATGGAG >hg38_chr1:207276403-207276413(-) taacactggag >hg38_chr1:207299118-207299128(+) TAACAATGGAA >hg38_chr1:207304997-207305007(-) ggaCAATGAGT >hg38_chr1:207321044-207321054(+) GAACAATGTTC >hg38_chr1:207332501-207332511(-) CCACAATGATG >hg38_chr1:207343777-207343787(-) atacaataatt >hg38_chr1:207372955-207372965(-) AAATAATGGTG >hg38_chr1:207372983-207372993(+) CCACAATGTGT >hg38_chr1:207388500-207388510(+) ccacaatgagt >hg38_chr1:207390664-207390674(-) TGACAATGTAT >hg38_chr1:207405151-207405161(-) TGACAATGGCC >hg38_chr1:207451239-207451249(+) aaactatggca >hg38_chr1:207482510-207482520(+) Caacaatggct >hg38_chr1:207493723-207493733(+) aaacaatagtg >hg38_chr1:207493750-207493760(+) gtataatggtc >hg38_chr1:207493793-207493803(-) ccacaatgact >hg38_chr1:207579182-207579192(+) TGACAAAGGAC >hg38_chr1:207597915-207597925(+) atacaaaggaa >hg38_chr1:207600748-207600758(-) atacaatgagg >hg38_chr1:207608853-207608863(-) AAATAATGGCA >hg38_chr1:207653647-207653657(+) CTACAATGTGA >hg38_chr1:207653693-207653703(-) GAACAAAGGCT >hg38_chr1:207672648-207672658(-) tcacaatgtgg >hg38_chr1:207753914-207753924(+) TTACACTGGTA >hg38_chr1:207762084-207762094(+) tcacaatagaa >hg38_chr1:207767196-207767206(+) GTACAAAGGTT >hg38_chr1:207826946-207826956(-) GAACAAGGGCG >hg38_chr1:207893029-207893039(+) GCACAATGCTT >hg38_chr1:207896421-207896431(-) ctacaatatat >hg38_chr1:207944773-207944783(+) taacaatgtat >hg38_chr1:207949440-207949450(-) GAACAATAGAG >hg38_chr1:207949992-207950002(+) TCACAATGTGG >hg38_chr1:207986242-207986252(-) CGACACTGGCC >hg38_chr1:208027795-208027805(-) ACACAATAAAT >hg38_chr1:208027806-208027816(+) TAACAATGAGT >hg38_chr1:208027820-208027830(+) AGACAATGAAG >hg38_chr1:208071700-208071710(-) GAATAATGGAG >hg38_chr1:208102232-208102242(-) GCACAATAGCT >hg38_chr1:208106522-208106532(+) gtacaatagcc >hg38_chr1:208166918-208166928(+) GCACAATGGAA >hg38_chr1:208198446-208198456(-) AGACAAAGGAG >hg38_chr1:208198478-208198488(+) AAACAATGATG >hg38_chr1:208203530-208203540(-) AAACAATAGCC >hg38_chr1:208233718-208233728(-) AGACAATGACC >hg38_chr1:208238693-208238703(+) ATACAATGTTG >hg38_chr1:208245620-208245630(+) GAACAATCGCA >hg38_chr1:208248320-208248330(+) GAACAAAGGGA >hg38_chr1:208280781-208280791(+) AGACAATAGAA >hg38_chr1:208336344-208336354(-) AGACAATAGTT >hg38_chr1:208349786-208349796(+) AGACAAAGGGA >hg38_chr1:208367057-208367067(-) AAACAGTGGTC >hg38_chr1:208374638-208374648(-) GGACAATGGGC >hg38_chr1:208397478-208397488(-) acacaATGGAA >hg38_chr1:208429973-208429983(-) GGACAATGGAA >hg38_chr1:208433217-208433227(+) CCACAATGAAG >hg38_chr1:208479629-208479639(-) AAACTATGGCA >hg38_chr1:208494406-208494416(-) acacaataata >hg38_chr1:208519631-208519641(-) gaataatggaa >hg38_chr1:208519650-208519660(-) ggacaaaggat >hg38_chr1:208519658-208519668(-) aaacaatagga >hg38_chr1:208521223-208521233(-) taacaaaggaa >hg38_chr1:208537440-208537450(+) GAACAATGAAC >hg38_chr1:208598779-208598789(+) CCACAATGGGT >hg38_chr1:208604592-208604602(-) AGACAATGAGG >hg38_chr1:208607115-208607125(-) GCACAATGCAG >hg38_chr1:208607147-208607157(-) ACACAATGGCC >hg38_chr1:208608280-208608290(+) ttacagtggta >hg38_chr1:208702138-208702148(+) agacaatggca >hg38_chr1:208702144-208702154(-) tgacaatgcca >hg38_chr1:208702162-208702172(+) agacaatgagt >hg38_chr1:208722089-208722099(+) aaacaataaca >hg38_chr1:208732459-208732469(+) GAACAATGCAA >hg38_chr1:208733249-208733259(+) AAACAATGGAG >hg38_chr1:208733503-208733513(+) ACACAATGAAA >hg38_chr1:208778607-208778617(+) AAACAATAAAA >hg38_chr1:208787009-208787019(-) TGACAACGGTC >hg38_chr1:208820880-208820890(+) AAACAATGATC >hg38_chr1:208842679-208842689(+) AGACAATAGCG >hg38_chr1:208853185-208853195(-) CAACAATAGAA >hg38_chr1:208876893-208876903(+) TAACAGTGGTA >hg38_chr1:208912142-208912152(+) atacaataagc >hg38_chr1:208936784-208936794(-) TTACAATGTTA >hg38_chr1:208936795-208936805(-) caacaATAGTC >hg38_chr1:208949248-208949258(-) ACACAATGTTC >hg38_chr1:208949298-208949308(+) CAACAATGTTA >hg38_chr1:208965618-208965628(-) agacaatgaac >hg38_chr1:208967081-208967091(-) gaacaatagga >hg38_chr1:209080722-209080732(+) ttataatggtt >hg38_chr1:209125788-209125798(+) GAACAATGACT >hg38_chr1:209162942-209162952(-) AAACAATGCAA >hg38_chr1:209163003-209163013(-) CAACAATGCAG >hg38_chr1:209193271-209193281(+) AAACAAAGGGC >hg38_chr1:209193490-209193500(-) GTACAATATTT >hg38_chr1:209203373-209203383(+) GAACAATGCAT >hg38_chr1:209203384-209203394(+) ACACAATGTCC >hg38_chr1:209222166-209222176(+) TAACAATGAGT >hg38_chr1:209223904-209223914(-) CTACAATATAA >hg38_chr1:209227433-209227443(-) CAACAATAGAT >hg38_chr1:209248841-209248851(-) CAACAAAGGAC >hg38_chr1:209277158-209277168(+) taacaatggaa >hg38_chr1:209277183-209277193(-) taacaatgcat >hg38_chr1:209298004-209298014(-) CAACAATGAAC >hg38_chr1:209303948-209303958(+) ACACGATGGAA >hg38_chr1:209324100-209324110(+) CTACAATATAT >hg38_chr1:209335325-209335335(+) ggacaatgccc >hg38_chr1:209339599-209339609(+) TGACAATGATG >hg38_chr1:209364912-209364922(+) AGACAATAGCT >hg38_chr1:209383579-209383589(-) CCACAATGAAA >hg38_chr1:209387494-209387504(-) AAACAATGGCG >hg38_chr1:209404224-209404234(+) CGACACTGGTT >hg38_chr1:209411761-209411771(+) CAACAATGAGT >hg38_chr1:209433447-209433457(+) ATACAATAGCT >hg38_chr1:209443760-209443770(+) atacaatgcca >hg38_chr1:209443808-209443818(+) atacaatagaa >hg38_chr1:209444371-209444381(+) AGACAATGATG >hg38_chr1:209454488-209454498(-) gcacaatgaca >hg38_chr1:209468087-209468097(+) agacaatgaat >hg38_chr1:209468121-209468131(+) agacaaaggaa >hg38_chr1:209477336-209477346(-) GGACAATGTGC >hg38_chr1:209504175-209504185(+) atacaatgatc >hg38_chr1:209544316-209544326(+) CCACAATGGGC >hg38_chr1:209566214-209566224(-) TAATAATGGCT >hg38_chr1:209575187-209575197(-) TCACAATAGCC >hg38_chr1:209575232-209575242(-) GGACAATGGCC >hg38_chr1:209575266-209575276(-) GCACAATGCTT >hg38_chr1:209583683-209583693(-) TAACAATAAAC >hg38_chr1:209618984-209618994(+) GAACAATGCCT >hg38_chr1:209660500-209660510(-) GAACAATATGA >hg38_chr1:209684484-209684494(-) GAACAATAAGT >hg38_chr1:209845533-209845543(-) TTACAAAGGGC >hg38_chr1:209884206-209884216(-) ACACAAAGGTC >hg38_chr1:209884227-209884237(-) GCACAAAGGAT >hg38_chr1:209884254-209884264(-) TCACAATGTCC >hg38_chr1:209934632-209934642(+) gaacaatgttt >hg38_chr1:209934645-209934655(-) atacaatgctg >hg38_chr1:209940380-209940390(+) GTACAATAGct >hg38_chr1:209940393-209940403(+) atacaatgttc >hg38_chr1:209953895-209953905(-) CAACAATAGTT >hg38_chr1:209954225-209954235(+) CTACAAAGGGA >hg38_chr1:209954244-209954254(+) CTACAATGGAG >hg38_chr1:209957260-209957270(-) aaacaaaggaa >hg38_chr1:210006118-210006128(-) GGACAATGGAA >hg38_chr1:210010877-210010887(+) ttacaatgggg >hg38_chr1:210010898-210010908(-) tcacaatgatc >hg38_chr1:210012284-210012294(-) agacaatatag >hg38_chr1:210012357-210012367(+) taacaatgcct >hg38_chr1:210016402-210016412(+) AGACACTGGTA >hg38_chr1:210016444-210016454(+) GAATAATGGTG >hg38_chr1:210061186-210061196(-) CTACAATGACA >hg38_chr1:210072334-210072344(-) TTACTATGGCT >hg38_chr1:210073321-210073331(+) TTACAAAGGTC >hg38_chr1:210073351-210073361(-) TCACAATGTTG >hg38_chr1:210117127-210117137(+) CTACAATGATG >hg38_chr1:210117993-210118003(+) CAACAATGAAA >hg38_chr1:210118021-210118031(-) AAACAATGCCT >hg38_chr1:210152999-210153009(-) atacaatggca >hg38_chr1:210156160-210156170(-) AGATAATGGCT >hg38_chr1:210234084-210234094(-) GAACAAAGGCA >hg38_chr1:210237996-210238006(-) AGACAATGGGC >hg38_chr1:210238039-210238049(+) ACACTATGGGA >hg38_chr1:210250711-210250721(-) AGACAATAGAT >hg38_chr1:210251563-210251573(-) GCACAATGAGC >hg38_chr1:210273348-210273358(-) taacaatgaaa >hg38_chr1:210273367-210273377(-) acacaatggtg >hg38_chr1:210299748-210299758(+) GAACAATGCCC >hg38_chr1:210301589-210301599(-) TTACAATAGAA >hg38_chr1:210353888-210353898(-) tgacaatgaaa >hg38_chr1:210484013-210484023(+) GGACAATGCTG >hg38_chr1:210516566-210516576(+) CTATAATGGAC >hg38_chr1:210516595-210516605(-) TTACAAAGGGA >hg38_chr1:210538120-210538130(-) ATACAATGAAT >hg38_chr1:210590080-210590090(-) AGACAATGGGG >hg38_chr1:210596994-210597004(-) tcacaatgccc >hg38_chr1:210621256-210621266(+) TAACAAAGGAA >hg38_chr1:210633877-210633887(-) CTACAATAGCC >hg38_chr1:210664445-210664455(+) AGATAATGGTT >hg38_chr1:210708223-210708233(-) CAACAATGGGA >hg38_chr1:210753578-210753588(-) AGACAATAGGT >hg38_chr1:210772467-210772477(+) ACACAATGAGA >hg38_chr1:210772480-210772490(+) CTACAATGGCA >hg38_chr1:210850729-210850739(+) GAACAATGCCA >hg38_chr1:211006202-211006212(+) aaacaatatac >hg38_chr1:211006359-211006369(+) aaacaataaca >hg38_chr1:211006365-211006375(+) taacaatgaac >hg38_chr1:211006793-211006803(+) atacaatgaac >hg38_chr1:211008534-211008544(-) gcacaatggct >hg38_chr1:211027942-211027952(+) aaacaataaga >hg38_chr1:211027965-211027975(+) caacaatagca >hg38_chr1:211027978-211027988(+) aaacaatagga >hg38_chr1:211036769-211036779(-) AGACAATAGAA >hg38_chr1:211037116-211037126(-) atacaatagag >hg38_chr1:211037187-211037197(+) taataatggag >hg38_chr1:211103839-211103849(+) aaacagtggat >hg38_chr1:211103871-211103881(+) ccacaatggca >hg38_chr1:211135569-211135579(+) TAACACTGGTT >hg38_chr1:211148167-211148177(+) TGACAATGCTT >hg38_chr1:211248212-211248222(-) gaacaaaggag >hg38_chr1:211257265-211257275(-) TAATAATGGAC >hg38_chr1:211278265-211278275(-) TAACAATGTAA >hg38_chr1:211278282-211278292(-) ATACAATGCAG >hg38_chr1:211375298-211375308(-) ACACAAAGGGT >hg38_chr1:211386470-211386480(-) tcacaatgtac >hg38_chr1:211390637-211390647(-) gtacaatgttt >hg38_chr1:211397395-211397405(+) AGACAATAGTA >hg38_chr1:211397733-211397743(-) acacaatagag >hg38_chr1:211397760-211397770(-) ggacaatggat >hg38_chr1:211398648-211398658(-) acacaacggag >hg38_chr1:211470209-211470219(+) GCACAATGGAC >hg38_chr1:211490189-211490199(+) GAACAATGGGG >hg38_chr1:211570070-211570080(+) GAACAATAGAC >hg38_chr1:211571897-211571907(-) GCACAATGAAG >hg38_chr1:211573119-211573129(+) CTACAATGGGT >hg38_chr1:211574901-211574911(-) GCATAATGGCA >hg38_chr1:211575241-211575251(-) ACATAATGGGA >hg38_chr1:211576483-211576493(-) TCACAATGACT >hg38_chr1:211596488-211596498(-) ggacaatgaaa >hg38_chr1:211609751-211609761(+) GAACAAAGGCA >hg38_chr1:211647131-211647141(+) aaacaatgaat >hg38_chr1:211659028-211659038(+) gaacaatgctt >hg38_chr1:211759830-211759840(+) aaacaatgtgg >hg38_chr1:211798104-211798114(-) GTATAATGGCT >hg38_chr1:211831074-211831084(-) TAACAATGAAA >hg38_chr1:211883322-211883332(-) ctacaatggaa >hg38_chr1:211915945-211915955(-) gtacaataagt >hg38_chr1:211976672-211976682(+) AGACAATGCCT >hg38_chr1:211994070-211994080(+) AGACAAAGGCA >hg38_chr1:212005158-212005168(+) atacaatggga >hg38_chr1:212006505-212006515(+) CAACAATGCTT >hg38_chr1:212010772-212010782(+) gaacaataggc >hg38_chr1:212012071-212012081(+) taacaataggc >hg38_chr1:212017719-212017729(+) CTACAATGTTC >hg38_chr1:212017730-212017740(+) CTACAATGACA >hg38_chr1:212035341-212035351(-) GGACAAAGGTA >hg38_chr1:212035767-212035777(+) TTACAGTGGCG >hg38_chr1:212049515-212049525(+) TGACAATGAGC >hg38_chr1:212061595-212061605(-) caacaatgtga >hg38_chr1:212061615-212061625(+) tcacaatagcc >hg38_chr1:212067102-212067112(-) agacaatgtgc >hg38_chr1:212086007-212086017(-) agacaatgcag >hg38_chr1:212090624-212090634(-) ATACAATGGCA >hg38_chr1:212090637-212090647(+) AAACAAAGGGA >hg38_chr1:212104113-212104123(-) AAACAATGGTT >hg38_chr1:212104133-212104143(-) TTACAAAGGTG >hg38_chr1:212161175-212161185(+) TAACAATAGAA >hg38_chr1:212161221-212161231(-) ATACAATATCT >hg38_chr1:212161435-212161445(+) ACACAAAGGGA >hg38_chr1:212202513-212202523(+) GAATAATGATA >hg38_chr1:212202556-212202566(-) AAACAATGCAT >hg38_chr1:212205069-212205079(-) AAACAATGTTC >hg38_chr1:212205081-212205091(+) TAACAATAGCT >hg38_chr1:212226177-212226187(+) GCACAATGAGA >hg38_chr1:212226217-212226227(-) GTATAATGGTG >hg38_chr1:212272277-212272287(-) GAACAATGCAG >hg38_chr1:212278281-212278291(+) GTACaatagcc >hg38_chr1:212290769-212290779(-) CTACAATGGTT >hg38_chr1:212294173-212294183(-) AAACAAAGGCA >hg38_chr1:212294183-212294193(-) ATACAATGTGA >hg38_chr1:212294244-212294254(-) TAACAATGAGT >hg38_chr1:212305620-212305630(-) caataatggat >hg38_chr1:212310181-212310191(-) gaacaatgtgt >hg38_chr1:212315924-212315934(-) ttacaatagta >hg38_chr1:212358686-212358696(-) CTACAATGGTC >hg38_chr1:212358704-212358714(+) ATACAATGTGC >hg38_chr1:212431325-212431335(+) TAACAATACTA >hg38_chr1:212459841-212459851(-) tcacaatagcc >hg38_chr1:212459893-212459903(-) GCACAATGTTT >hg38_chr1:212486182-212486192(+) GAACAATAACA >hg38_chr1:212506822-212506832(-) TAACAATGCAt >hg38_chr1:212513903-212513913(-) atataatgTCG >hg38_chr1:212518700-212518710(-) TCACAATGCTT >hg38_chr1:212546210-212546220(+) GCACAATAGAG >hg38_chr1:212549912-212549922(+) taacaatgctt >hg38_chr1:212561730-212561740(-) TTACTATGGAA >hg38_chr1:212572802-212572812(-) CAACAATGATG >hg38_chr1:212596802-212596812(+) TAACACTGGTT >hg38_chr1:212680843-212680853(+) agacaatgcat >hg38_chr1:212694792-212694802(+) GAACAAAGGCT >hg38_chr1:212718852-212718862(-) AAACAATAAGA >hg38_chr1:212736856-212736866(+) GCACAATATAC >hg38_chr1:212770225-212770235(+) acacaatagta >hg38_chr1:212782070-212782080(+) GTACAATGACA >hg38_chr1:212782915-212782925(+) ctaCAATGCAG >hg38_chr1:212784081-212784091(-) TGACAATGACT >hg38_chr1:212784839-212784849(+) gaacaatggaa >hg38_chr1:212824222-212824232(-) CCACAATGGGG >hg38_chr1:212852273-212852283(+) GAACAATAGGG >hg38_chr1:212858170-212858180(+) GGACAAAGGCG >hg38_chr1:212859256-212859266(+) GAACTATGGCC >hg38_chr1:212861023-212861033(+) ttacaatgtgc >hg38_chr1:212861072-212861082(-) ggacaatggaa >hg38_chr1:212880176-212880186(+) taacaaaggcc >hg38_chr1:212915281-212915291(-) GGACAATGGAG >hg38_chr1:212929174-212929184(-) GGATAATGGTC >hg38_chr1:212980589-212980599(+) GGACAATGGAA >hg38_chr1:213014756-213014766(-) caacaatgttt >hg38_chr1:213042247-213042257(-) agataatggca >hg38_chr1:213049205-213049215(+) gaacaaaggat >hg38_chr1:213053402-213053412(-) CAACAATGTTT >hg38_chr1:213053424-213053434(-) GTACAATGAAG >hg38_chr1:213055420-213055430(-) atacaatggaa >hg38_chr1:213067828-213067838(-) TAACAATAGCC >hg38_chr1:213072815-213072825(-) AGACAATGCAT >hg38_chr1:213077930-213077940(-) GAACAATATGA >hg38_chr1:213094556-213094566(-) AAACAATGatc >hg38_chr1:213094843-213094853(-) gaacaatgttt >hg38_chr1:213121852-213121862(-) ATACAATAAGC >hg38_chr1:213123687-213123697(-) GAACAAAGGTT >hg38_chr1:213125932-213125942(-) TAACAATAACA >hg38_chr1:213125938-213125948(-) TAACAATAACA >hg38_chr1:213125978-213125988(+) GAACAATGTGA >hg38_chr1:213128235-213128245(+) aaacaatgtaa >hg38_chr1:213128263-213128273(+) aaacaatgcca >hg38_chr1:213166301-213166311(+) TGACAATGGAA >hg38_chr1:213170539-213170549(+) TTACACTGGTA >hg38_chr1:213176619-213176629(+) TTACAATAACA >hg38_chr1:213210579-213210589(-) ACACAAAGGCA >hg38_chr1:213211543-213211553(-) GGACAATGGTG >hg38_chr1:213226651-213226661(-) GTACAATGAAC >hg38_chr1:213226670-213226680(+) GTACAATGCTG >hg38_chr1:213233314-213233324(-) GAACAAAGGTG >hg38_chr1:213238939-213238949(-) TAACAATGCTT >hg38_chr1:213245405-213245415(-) GGACAATAATA >hg38_chr1:213252528-213252538(-) GCACAATGTAT >hg38_chr1:213252563-213252573(-) GAACAAAGGCA >hg38_chr1:213269110-213269120(+) agacaaaggat >hg38_chr1:213439639-213439649(+) tcacaatgact >hg38_chr1:213452464-213452474(+) GGACAATGTAC >hg38_chr1:213481376-213481386(-) acacaatgtct >hg38_chr1:213483713-213483723(-) GCACAATGGCT >hg38_chr1:213507834-213507844(-) GTACAATGCTG >hg38_chr1:213507862-213507872(+) CTACAATGCCT >hg38_chr1:213507996-213508006(+) AAACAATGATT >hg38_chr1:213519837-213519847(+) TAACAATATCA >hg38_chr1:213649499-213649509(-) gaacaatagca >hg38_chr1:213670591-213670601(+) TAACAATAGAG >hg38_chr1:213693999-213694009(+) aaacaatagct >hg38_chr1:213723344-213723354(+) gaacaatgcaa >hg38_chr1:213783308-213783318(+) GGACAATGACC >hg38_chr1:213791083-213791093(+) GGACAATGTTA >hg38_chr1:213791123-213791133(-) AGACAATGAGC >hg38_chr1:213830641-213830651(+) TGACAATAGAA >hg38_chr1:213960457-213960467(-) ACATAATGGCA >hg38_chr1:214009781-214009791(+) AGACAATGTCT >hg38_chr1:214009794-214009804(+) TAACAATGCAG >hg38_chr1:214017057-214017067(-) CAACAAAGGAC >hg38_chr1:214017881-214017891(-) AGACAATAGGC >hg38_chr1:214018302-214018312(+) TTACAATAATA >hg38_chr1:214019008-214019018(-) GAACAATAGCT >hg38_chr1:214030697-214030707(-) TTACAAAGGTT >hg38_chr1:214031508-214031518(-) AGACAATGCAG >hg38_chr1:214071767-214071777(+) GAACAAAGGAG >hg38_chr1:214079271-214079281(-) atacaatgatg >hg38_chr1:214094493-214094503(+) acacaatcgac >hg38_chr1:214103005-214103015(+) TAACAATAACC >hg38_chr1:214136459-214136469(+) TAACAATAGAA >hg38_chr1:214177807-214177817(-) AGACTATGGAG >hg38_chr1:214179021-214179031(-) ACACAATGATA >hg38_chr1:214193046-214193056(-) AGACAAAGGAT >hg38_chr1:214214253-214214263(+) CTACAATATAT >hg38_chr1:214265236-214265246(-) GAACAAAGGCA >hg38_chr1:214290133-214290143(+) ACACAATAGAA >hg38_chr1:214291485-214291495(-) taataatggta >hg38_chr1:214292850-214292860(-) AGACAATAGGA >hg38_chr1:214296456-214296466(+) AAACAAAGGCT >hg38_chr1:214304109-214304119(+) CAACAATGTCC >hg38_chr1:214314339-214314349(-) AAATAATGGAG >hg38_chr1:214316755-214316765(+) TAACAAAGGCC >hg38_chr1:214317549-214317559(-) TAACAATAGTA >hg38_chr1:214342838-214342848(-) ggacaatagta >hg38_chr1:214349678-214349688(+) GAACAAAGGAC >hg38_chr1:214349805-214349815(+) GTACAATGTTC >hg38_chr1:214423199-214423209(-) ggacaataggt >hg38_chr1:214429369-214429379(-) AAACAATGCAT >hg38_chr1:214431244-214431254(+) TGACAAAGGAA >hg38_chr1:214448112-214448122(+) AAACAATGCAG >hg38_chr1:214452906-214452916(+) TTACAATGTTC >hg38_chr1:214477386-214477396(-) GAACAATTGTA >hg38_chr1:214497929-214497939(+) acacaatgaga >hg38_chr1:214549198-214549208(+) GAACAATACTA >hg38_chr1:214552751-214552761(-) CAACAAAGGAC >hg38_chr1:214585625-214585635(-) AAACAATGAAT >hg38_chr1:214601685-214601695(-) GAACAATAAAG >hg38_chr1:214601692-214601702(-) CTACAATGAAC >hg38_chr1:214601727-214601737(+) atacagtggcc >hg38_chr1:214604750-214604760(-) CAACAAAGGAC >hg38_chr1:214648247-214648257(+) AAACAATAGCT >hg38_chr1:214670412-214670422(-) GCACAAAGGGA >hg38_chr1:214670437-214670447(-) ATACAATGTAG >hg38_chr1:214700672-214700682(-) AAACAATAGCC >hg38_chr1:214718864-214718874(-) GCACAATGTAT >hg38_chr1:214718907-214718917(+) AAACAATGTAA >hg38_chr1:214848232-214848242(+) ACACAATAATA >hg38_chr1:214902208-214902218(-) ATACAATGTTG >hg38_chr1:214902213-214902223(-) GTACAATACAA >hg38_chr1:214919747-214919757(-) tcacaatgtga >hg38_chr1:214920634-214920644(+) GTACAATGGGA >hg38_chr1:214920642-214920652(+) GGACAAAGGAG >hg38_chr1:214952858-214952868(-) GGACAATGACA >hg38_chr1:214966540-214966550(-) ACACAATGAGA >hg38_chr1:214966609-214966619(-) taacaatagca >hg38_chr1:215003007-215003017(+) TGACAATGCAA >hg38_chr1:215005462-215005472(-) TAACAATATCC >hg38_chr1:215019448-215019458(+) tgacaatgtca >hg38_chr1:215019467-215019477(+) tgacaatgtca >hg38_chr1:215022395-215022405(-) ATACAAAGGGC >hg38_chr1:215055847-215055857(-) GGACAATGCCA >hg38_chr1:215083312-215083322(+) GTACAATGGCG >hg38_chr1:215103784-215103794(-) GAATAATGGAG >hg38_chr1:215109692-215109702(+) gtacaatatgt >hg38_chr1:215166573-215166583(+) GTACACTGGTG >hg38_chr1:215166624-215166634(+) GTACAATGCAC >hg38_chr1:215180764-215180774(-) agacaaaggtc >hg38_chr1:215202757-215202767(+) TAATAATGGCT >hg38_chr1:215215704-215215714(+) TCACAATGGAA >hg38_chr1:215269998-215270008(+) gtactatggag >hg38_chr1:215274958-215274968(-) tcacaatgcat >hg38_chr1:215307092-215307102(-) AAACAATGCAT >hg38_chr1:215326974-215326984(+) agacagtggta >hg38_chr1:215327015-215327025(+) agacaataaca >hg38_chr1:215327362-215327372(+) atacaatatat >hg38_chr1:215327400-215327410(+) acacaaaggag >hg38_chr1:215351440-215351450(+) AGACAATATAA >hg38_chr1:215352304-215352314(-) gaacaatgctg >hg38_chr1:215352971-215352981(+) agacaatgaca >hg38_chr1:215360251-215360261(-) aaacaatggac >hg38_chr1:215387282-215387292(+) atacaaaggac >hg38_chr1:215391831-215391841(-) AAACAATGCAT >hg38_chr1:215391843-215391853(-) GCACAATGATT >hg38_chr1:215391892-215391902(+) ATACAATGCCA >hg38_chr1:215458344-215458354(+) TAACAATGCTA >hg38_chr1:215472408-215472418(+) tgacaacggaa >hg38_chr1:215497737-215497747(+) caacaatgaaa >hg38_chr1:215572671-215572681(+) AGACAATAGAT >hg38_chr1:215573577-215573587(-) TAACAATAAAC >hg38_chr1:215592651-215592661(+) GTACAATAGTA >hg38_chr1:215605022-215605032(-) ACACAATGAAT >hg38_chr1:215605066-215605076(-) TCACAATGCAA >hg38_chr1:215618554-215618564(-) AAACAATGGGA >hg38_chr1:215624455-215624465(-) ACACAATAGTC >hg38_chr1:215655177-215655187(+) ATACAAAGGCC >hg38_chr1:215710404-215710414(+) GAACTATGGCT >hg38_chr1:215776510-215776520(+) AGACAATGGGG >hg38_chr1:215785225-215785235(+) gaacaatgtgc >hg38_chr1:215835801-215835811(-) atacagtggag >hg38_chr1:215887233-215887243(+) GAACAATGTCC >hg38_chr1:215887240-215887250(-) ACATAATGGAC >hg38_chr1:215887256-215887266(-) TTACAAAGGCA >hg38_chr1:215892524-215892534(+) GAATAATGGAT >hg38_chr1:215903627-215903637(-) gcacaatggga >hg38_chr1:215914949-215914959(+) GAACAATGCTA >hg38_chr1:215914993-215915003(+) CTACAATGtca >hg38_chr1:215915019-215915029(-) gtacaataact >hg38_chr1:215920157-215920167(-) ACACAAAGGTC >hg38_chr1:215921656-215921666(+) GAacaatagag >hg38_chr1:215936611-215936621(-) AAACAATAACA >hg38_chr1:215943627-215943637(+) AAACAATGGCT >hg38_chr1:215992708-215992718(+) ATACAATGTCA >hg38_chr1:215998304-215998314(-) ACACAAAGGTC >hg38_chr1:216001274-216001284(-) ctacaatgtgc >hg38_chr1:216086252-216086262(-) tcacaatagag >hg38_chr1:216122385-216122395(+) TAACAATAGGT >hg38_chr1:216190679-216190689(-) TGACAATGTCA >hg38_chr1:216190685-216190695(-) TAACAATGACA >hg38_chr1:216214831-216214841(+) AAACAATAAGT >hg38_chr1:216303325-216303335(+) TTACAAAGGAC >hg38_chr1:216354368-216354378(-) ttacaaagggc >hg38_chr1:216377230-216377240(-) CAATAATGGTA >hg38_chr1:216377242-216377252(-) AAACAATGGCC >hg38_chr1:216393220-216393230(-) ACACAATAGTA >hg38_chr1:216431677-216431687(+) ACACAATGTGG >hg38_chr1:216451985-216451995(-) gaacaatacgg >hg38_chr1:216468392-216468402(+) GTACAATGCCA >hg38_chr1:216481232-216481242(-) taacaaaggtg >hg38_chr1:216482247-216482257(-) atacactgggt >hg38_chr1:216482259-216482269(+) aaacaacgggc >hg38_chr1:216528855-216528865(+) GAACAATGGGT >hg38_chr1:216574170-216574180(-) AGATAATGGCA >hg38_chr1:216577087-216577097(-) TGATAATGGTA >hg38_chr1:216582361-216582371(-) ACACAATGGAT >hg38_chr1:216597174-216597184(+) gtacaatgtgc >hg38_chr1:216600820-216600830(+) AAACAATGCAG >hg38_chr1:216607415-216607425(+) GCACAATGGTC >hg38_chr1:216660640-216660650(-) CAACAATAGCA >hg38_chr1:216687120-216687130(+) TAACAATGAAA >hg38_chr1:216701549-216701559(+) AAACAAAGGAA >hg38_chr1:216705606-216705616(+) GCACAATGATC >hg38_chr1:216716968-216716978(-) AAACAATGAAA >hg38_chr1:216723038-216723048(-) CTACAATGTTA >hg38_chr1:216724237-216724247(+) AAACAACGGTA >hg38_chr1:216743191-216743201(+) CAACAATAGCA >hg38_chr1:216743201-216743211(+) ATACAATGGAA >hg38_chr1:216749469-216749479(+) GCACAATGTTC >hg38_chr1:216750207-216750217(+) GAACAATAGAG >hg38_chr1:216765885-216765895(-) TAACAATAAAG >hg38_chr1:216766861-216766871(-) GAACACTGGAT >hg38_chr1:216806242-216806252(+) AAACAATGAGC >hg38_chr1:216836537-216836547(+) GCACAATAGGA >hg38_chr1:216837878-216837888(-) TCACAATGTAA >hg38_chr1:216837897-216837907(-) CAACAATGACA >hg38_chr1:216902957-216902967(-) AAACAATGACA >hg38_chr1:216910670-216910680(+) CGACAATGCTT >hg38_chr1:216911463-216911473(+) acacaaaggat >hg38_chr1:216911505-216911515(+) ttataatggta >hg38_chr1:216911522-216911532(-) gtacaatgaca >hg38_chr1:216927393-216927403(+) AAACAAAGGGA >hg38_chr1:216953291-216953301(+) AGACAATGATT >hg38_chr1:216975238-216975248(-) GAACAATGGTT >hg38_chr1:217005957-217005967(+) GAACAATAACT >hg38_chr1:217009526-217009536(-) GAACAATGGGA >hg38_chr1:217009561-217009571(-) AGACAATGAAG >hg38_chr1:217009568-217009578(-) GAACAATAGAC >hg38_chr1:217022148-217022158(-) GCACAATGAAA >hg38_chr1:217022195-217022205(-) TCACAATAGCA >hg38_chr1:217077161-217077171(-) TAACCATGGAT >hg38_chr1:217083050-217083060(-) atacaaaggca >hg38_chr1:217125138-217125148(+) GAACAATACTA >hg38_chr1:217229004-217229014(+) agacaatgagt >hg38_chr1:217252027-217252037(+) CAACAATGAGG >hg38_chr1:217252067-217252077(-) GTACAATGGTG >hg38_chr1:217261904-217261914(-) TAACAATGGCA >hg38_chr1:217262054-217262064(+) CAACAATGGAA >hg38_chr1:217270225-217270235(+) CCACAATGATT >hg38_chr1:217374567-217374577(+) AAACAATAGCA >hg38_chr1:217431526-217431536(+) gaacaatggaa >hg38_chr1:217457659-217457669(-) GCATAATGGCA >hg38_chr1:217498340-217498350(+) TTACAATGGTC >hg38_chr1:217499980-217499990(-) TGacaatagaa >hg38_chr1:217529988-217529998(+) ATACAATGTAA >hg38_chr1:217538277-217538287(-) GTACAAAGGTG >hg38_chr1:217540556-217540566(+) aaacaataaaa >hg38_chr1:217540608-217540618(+) gcacaatagtg >hg38_chr1:217541597-217541607(-) caacaatgtag >hg38_chr1:217551305-217551315(-) TGACAATGAGA >hg38_chr1:217586824-217586834(-) ttataatggag >hg38_chr1:217586846-217586856(-) ctacaatggtg >hg38_chr1:217593105-217593115(+) GTACAAAGGAG >hg38_chr1:217608819-217608829(-) GTACAATAGTG >hg38_chr1:217629633-217629643(-) agataatggat >hg38_chr1:217662752-217662762(-) CAACAATGTCA >hg38_chr1:217677527-217677537(-) GAACAATAACA >hg38_chr1:217685839-217685849(+) gtacaataaga >hg38_chr1:217685913-217685923(-) taacaatatac >hg38_chr1:217747389-217747399(-) CAACAATAGGC >hg38_chr1:217754832-217754842(+) ATACAATATGC >hg38_chr1:217764739-217764749(-) gaacactggat >hg38_chr1:217770709-217770719(-) GTACAATTGAT >hg38_chr1:217798602-217798612(+) TGATAATGGGT >hg38_chr1:217798639-217798649(-) TTACAAAGGCT >hg38_chr1:217896757-217896767(+) GAACAAAGGAC >hg38_chr1:217928072-217928082(-) AGACAATGAAG >hg38_chr1:218034609-218034619(-) TGACAATGGGC >hg38_chr1:218034641-218034651(-) TCACAATGGAG >hg38_chr1:218034652-218034662(+) TGATAATGGAT >hg38_chr1:218108879-218108889(-) ACACAATGGGA >hg38_chr1:218141789-218141799(+) atacaatagca >hg38_chr1:218157588-218157598(-) GAACAATGAAA >hg38_chr1:218164136-218164146(+) GGACAATCGGA >hg38_chr1:218164189-218164199(-) AAACAATGAAA >hg38_chr1:218170299-218170309(-) TAACAATGGTA >hg38_chr1:218196177-218196187(+) AGACAATGTAT >hg38_chr1:218196193-218196203(+) CTACAATGAGT >hg38_chr1:218197174-218197184(+) agacaatgctt >hg38_chr1:218197257-218197267(-) atacaatacat >hg38_chr1:218211591-218211601(+) aaataaTGGAA >hg38_chr1:218224361-218224371(-) tgacaatgtga >hg38_chr1:218224641-218224651(+) GGACAATATAA >hg38_chr1:218240546-218240556(+) aaacaatgtga >hg38_chr1:218249477-218249487(+) ACACAATGCCA >hg38_chr1:218259974-218259984(+) tgacaatgaaa >hg38_chr1:218260771-218260781(+) CAACAATAGTC >hg38_chr1:218268729-218268739(-) ttataatggac >hg38_chr1:218278085-218278095(-) ggacaatagca >hg38_chr1:218278097-218278107(+) gaacaataaat >hg38_chr1:218288060-218288070(+) AAACAATGGTT >hg38_chr1:218301491-218301501(-) AAACAATAGTC >hg38_chr1:218306220-218306230(+) agacaaaggac >hg38_chr1:218306270-218306280(-) aaacagtggga >hg38_chr1:218306279-218306289(-) ggacaaaggaa >hg38_chr1:218330202-218330212(-) ATACAATAGAT >hg38_chr1:218336283-218336293(+) GCACAATGAGC >hg38_chr1:218361580-218361590(-) AAACTATGGCC >hg38_chr1:218370526-218370536(+) ACATAATGGGC >hg38_chr1:218370535-218370545(-) ATACAATAGGC >hg38_chr1:218372174-218372184(-) AAACAATGCTT >hg38_chr1:218421402-218421412(-) GAACAATCGGT >hg38_chr1:218424739-218424749(+) gaacaaaggtt >hg38_chr1:218460389-218460399(-) ATATAATGGGA >hg38_chr1:218467201-218467211(+) GAACAATATTA >hg38_chr1:218467248-218467258(+) AAACAATGCTA >hg38_chr1:218467466-218467476(-) TTACAATAGTC >hg38_chr1:218490508-218490518(-) aaacaatagag >hg38_chr1:218490519-218490529(-) agacaataggc >hg38_chr1:218494670-218494680(-) ATACAAAGGCA >hg38_chr1:218537294-218537304(+) GGACAATGAAA >hg38_chr1:218538463-218538473(+) tcacaatgtaa >hg38_chr1:218540155-218540165(-) atacaatggtg >hg38_chr1:218558073-218558083(+) GAACAATGGAG >hg38_chr1:218570330-218570340(-) AAACAAAGGTT >hg38_chr1:218570632-218570642(+) AAACAATGCTG >hg38_chr1:218640058-218640068(+) GGACAATGAAA >hg38_chr1:218640089-218640099(+) AGACAATGGAA >hg38_chr1:218643889-218643899(-) agacaatggga >hg38_chr1:218657336-218657346(+) AGACAATAAAC >hg38_chr1:218661619-218661629(-) AAACAATAGAC >hg38_chr1:218698651-218698661(-) tgacaatgact >hg38_chr1:218717216-218717226(+) TTACAAAGGAC >hg38_chr1:218746673-218746683(+) atacaataata >hg38_chr1:218804892-218804902(+) GAACAATAATT >hg38_chr1:218807780-218807790(-) gtacaatgcag >hg38_chr1:218807824-218807834(-) taacaatggct >hg38_chr1:218828513-218828523(-) acacaatggtg >hg38_chr1:218874433-218874443(+) ACACAATAGAG >hg38_chr1:218886347-218886357(-) ACATAATGGAT >hg38_chr1:218890554-218890564(-) TAACAATGAAA >hg38_chr1:218900928-218900938(+) GAACAATGTGA >hg38_chr1:218931133-218931143(+) GAACAATGGTG >hg38_chr1:218933722-218933732(-) caacaatgact >hg38_chr1:218939265-218939275(-) AAACAATGATT >hg38_chr1:218957275-218957285(-) tcacaatggag >hg38_chr1:218969356-218969366(+) CCACAATGTTA >hg38_chr1:218970642-218970652(+) CAACAATGTGA >hg38_chr1:219000733-219000743(-) ccacaatgatg >hg38_chr1:219041025-219041035(-) gtacaatgcaa >hg38_chr1:219075971-219075981(-) CGATAATGGTT >hg38_chr1:219090202-219090212(+) acacaatatta >hg38_chr1:219121117-219121127(-) GAACAAAGGAG >hg38_chr1:219130955-219130965(-) atacaatatat >hg38_chr1:219130964-219130974(-) gtacaattgat >hg38_chr1:219157964-219157974(+) TGACAATGTTG >hg38_chr1:219172506-219172516(+) CTACAATGAAT >hg38_chr1:219177566-219177576(-) GAACAATACTA >hg38_chr1:219187194-219187204(+) gaacaatagtt >hg38_chr1:219187202-219187212(-) atacaataaac >hg38_chr1:219189124-219189134(-) TGACAATGCCT >hg38_chr1:219211179-219211189(-) ACACAATGTGG >hg38_chr1:219264720-219264730(-) AAACAGTGGGA >hg38_chr1:219264742-219264752(+) TTACAATGCCT >hg38_chr1:219297227-219297237(+) TAACAATGGCT >hg38_chr1:219310248-219310258(-) GTACAATGTTT >hg38_chr1:219323671-219323681(+) CAACAATGTAT >hg38_chr1:219359460-219359470(+) GAACAATGGAG >hg38_chr1:219363010-219363020(-) CTACAATGAAG >hg38_chr1:219363024-219363034(-) GCACAATCGGA >hg38_chr1:219408974-219408984(+) AAACAATGTTA >hg38_chr1:219415024-219415034(+) gaataatggaa >hg38_chr1:219479040-219479050(+) ATACAAAGGAC >hg38_chr1:219485529-219485539(-) gaacaaaggta >hg38_chr1:219485545-219485555(+) acacaatgtgt >hg38_chr1:219549716-219549726(-) aGATAATGGCT >hg38_chr1:219555117-219555127(+) AAACAATGGTT >hg38_chr1:219555130-219555140(+) GAACAATAGCA >hg38_chr1:219556103-219556113(-) GAACAATAAGA >hg38_chr1:219563886-219563896(-) GGATAATGGGA >hg38_chr1:219567521-219567531(+) GAACAGTGGAT >hg38_chr1:219568763-219568773(+) TAACAATGACT >hg38_chr1:219568782-219568792(-) AGACAAAGGTG >hg38_chr1:219631363-219631373(-) aaacaaagggg >hg38_chr1:219661997-219662007(+) CAACAATGGTT >hg38_chr1:219691094-219691104(+) aaacaaaggga >hg38_chr1:219714347-219714357(+) AGACAATAGAG >hg38_chr1:219747511-219747521(+) caacaatgaac >hg38_chr1:219771392-219771402(-) CTACAATGGTT >hg38_chr1:219773441-219773451(+) gaacaatgaca >hg38_chr1:219784245-219784255(-) ttacaaaggaa >hg38_chr1:219784265-219784275(-) atacaataaag >hg38_chr1:219792464-219792474(-) tcataatgggc >hg38_chr1:219797777-219797787(-) TAACAATGCTG >hg38_chr1:219811120-219811130(+) TGATAATGGCC >hg38_chr1:219812690-219812700(-) GAACAATAGAG >hg38_chr1:219826434-219826444(+) CAACAATGTCA >hg38_chr1:219883596-219883606(-) caacaatgtga >hg38_chr1:219884268-219884278(-) tgacactggta >hg38_chr1:219976462-219976472(-) atacaatacaa >hg38_chr1:219986084-219986094(-) ACACAATGTCT >hg38_chr1:219987451-219987461(-) AGACAATGACT >hg38_chr1:219987478-219987488(+) ATACAATGCTC >hg38_chr1:219990848-219990858(+) GTACAATATCA >hg38_chr1:220034182-220034192(+) tgacaatggtc >hg38_chr1:220046555-220046565(-) CGACGATGTCG >hg38_chr1:220124535-220124545(+) gaacaatgaat >hg38_chr1:220137203-220137213(-) acacaatagtg >hg38_chr1:220148336-220148346(+) CAACAATGAAC >hg38_chr1:220148393-220148403(+) TTACAATAGAG >hg38_chr1:220149030-220149040(-) AAACAAAGGTA >hg38_chr1:220180481-220180491(+) atacaaaggat >hg38_chr1:220200970-220200980(+) aaacaataagT >hg38_chr1:220242295-220242305(+) ACACAATAGAC >hg38_chr1:220242542-220242552(-) GAATAATGGCT >hg38_chr1:220242571-220242581(-) ACACAGTGGTA >hg38_chr1:220272900-220272910(-) gtactatggga >hg38_chr1:220273498-220273508(-) gaacaatgtaa >hg38_chr1:220274296-220274306(-) GAACAAAGGCC >hg38_chr1:220277699-220277709(-) ATACACTGGAC >hg38_chr1:220337528-220337538(+) CTACAATGCCT >hg38_chr1:220341589-220341599(+) ATACAATGGAT >hg38_chr1:220381590-220381600(-) ctacaatgtgc >hg38_chr1:220393499-220393509(-) TGAcaatagaa >hg38_chr1:220393515-220393525(-) tgaCAATAGTT >hg38_chr1:220453525-220453535(-) GAACAATGGTA >hg38_chr1:220456436-220456446(-) ttacaaaggca >hg38_chr1:220456463-220456473(-) caactatggta >hg38_chr1:220487586-220487596(-) GAACAATGGAA >hg38_chr1:220510107-220510117(-) agacaatgaaa >hg38_chr1:220515587-220515597(-) AAACAATAAAT >hg38_chr1:220522786-220522796(-) gaacaatggtT >hg38_chr1:220529240-220529250(+) TTACAATAGCA >hg38_chr1:220535776-220535786(-) tcacaatgggt >hg38_chr1:220566157-220566167(-) tgacaatgtct >hg38_chr1:220572975-220572985(-) caacaatggaa >hg38_chr1:220573009-220573019(-) taacaatacaa >hg38_chr1:220615453-220615463(-) TAACAATGAGG >hg38_chr1:220640162-220640172(-) TGACACTGGTA >hg38_chr1:220640178-220640188(+) ATATAATGGAA >hg38_chr1:220640522-220640532(-) GAACAATAAAA >hg38_chr1:220651388-220651398(-) TTACAATAGCA >hg38_chr1:220669596-220669606(+) taacaaaggaa >hg38_chr1:220700769-220700779(+) GAACAAAGGCT >hg38_chr1:220706489-220706499(+) AAACAATGGGA >hg38_chr1:220786609-220786619(-) AAACAATATAC >hg38_chr1:220835672-220835682(+) taacaatagca >hg38_chr1:220836221-220836231(+) atacaatggta >hg38_chr1:220836244-220836254(+) atacaaaggaa >hg38_chr1:220836656-220836666(+) ggataatggga >hg38_chr1:220836675-220836685(-) caacaatggga >hg38_chr1:220896783-220896793(+) gtacaatggag >hg38_chr1:220902052-220902062(+) GTACAATAGTA >hg38_chr1:220911952-220911962(+) atacaatacat >hg38_chr1:220911957-220911967(-) caacaatgtat >hg38_chr1:220911996-220912006(+) aaacaatagat >hg38_chr1:220922202-220922212(+) TGATAATGGAC >hg38_chr1:220925402-220925412(+) ggactatggca >hg38_chr1:220925838-220925848(-) GGACAATAGGA >hg38_chr1:220925845-220925855(-) GAACAATGGAC >hg38_chr1:220925854-220925864(+) TCACAATGGGA >hg38_chr1:220927595-220927605(-) AAACAATAGCC >hg38_chr1:220928368-220928378(-) atacaatgtat >hg38_chr1:220987681-220987691(-) acacaatggaa >hg38_chr1:221039828-221039838(-) ttacaatgagt >hg38_chr1:221063472-221063482(+) gaacaatgtag >hg38_chr1:221094463-221094473(-) aaacaaagggt >hg38_chr1:221107217-221107227(+) GAACAATGGTT >hg38_chr1:221112936-221112946(-) tgacaatagta >hg38_chr1:221145545-221145555(+) agacaaaggta >hg38_chr1:221159868-221159878(-) GGACAATGGCT >hg38_chr1:221169381-221169391(-) TCACAATGGGC >hg38_chr1:221218894-221218904(+) AGACAATGGGC >hg38_chr1:221227853-221227863(-) CAACAATGCTA >hg38_chr1:221228765-221228775(-) CCACAATGAAT >hg38_chr1:221247067-221247077(+) ACACAATGAGA >hg38_chr1:221254240-221254250(+) caacaatggtg >hg38_chr1:221254254-221254264(+) gaacaattgta >hg38_chr1:221283362-221283372(+) atactatGGTA >hg38_chr1:221294044-221294054(+) gaacagtggaa >hg38_chr1:221294072-221294082(-) aaacagtggat >hg38_chr1:221335281-221335291(+) CAACAATGAGT >hg38_chr1:221367866-221367876(-) aaacaatggag >hg38_chr1:221394536-221394546(-) TAACAAAGGAT >hg38_chr1:221394548-221394558(+) GTACAATAAAG >hg38_chr1:221394558-221394568(+) GCACAATGAAA >hg38_chr1:221399980-221399990(+) atataatgata >hg38_chr1:221418655-221418665(+) ACACAAAGGCA >hg38_chr1:221428955-221428965(+) taacaatgtgc >hg38_chr1:221442301-221442311(+) GAACAATGAGG >hg38_chr1:221536459-221536469(-) aaaCAATACTA >hg38_chr1:221536472-221536482(-) gaacaataaca >hg38_chr1:221558196-221558206(+) TAACAATGTGT >hg38_chr1:221582481-221582491(-) TTACTATGGAT >hg38_chr1:221583834-221583844(+) gaacaatgtgt >hg38_chr1:221585501-221585511(+) acacaatgtat >hg38_chr1:221609196-221609206(-) GAATAATGTAc >hg38_chr1:221610075-221610085(+) ggacaatgttc >hg38_chr1:221644455-221644465(-) AAACAATAGGC >hg38_chr1:221661609-221661619(-) gtacaataacc >hg38_chr1:221662330-221662340(-) tgacaatgata >hg38_chr1:221663420-221663430(-) caacaatgaat >hg38_chr1:221709159-221709169(+) ATACAATGACA >hg38_chr1:221728826-221728836(-) gaacaaaggta >hg38_chr1:221732115-221732125(-) TCACAATGCTT >hg38_chr1:221768933-221768943(+) ccacaatagca >hg38_chr1:221769648-221769658(-) agacaatataa >hg38_chr1:221769709-221769719(-) caacaatgaag >hg38_chr1:221772611-221772621(-) gaacaatggca >hg38_chr1:221792359-221792369(+) ctacaatggca >hg38_chr1:221792564-221792574(-) AGACAATGGGT >hg38_chr1:221795202-221795212(+) ACACAATGGTC >hg38_chr1:221801473-221801483(+) Taataatggta >hg38_chr1:221801944-221801954(+) TAACAATGTAT >hg38_chr1:221834925-221834935(-) GAACAATGCCT >hg38_chr1:221960201-221960211(+) CTACAATATAA >hg38_chr1:221990206-221990216(-) ACATAATGGGT >hg38_chr1:222004994-222005004(+) taacaatggta >hg38_chr1:222009328-222009338(-) GGACAATGTAA >hg38_chr1:222032436-222032446(+) TAACAAAGGTA >hg38_chr1:222100068-222100078(+) TAATAATGGCC >hg38_chr1:222105585-222105595(-) GGACAATGGGA >hg38_chr1:222105605-222105615(-) GAACAATAACC >hg38_chr1:222124840-222124850(+) ATACAAAGGGC >hg38_chr1:222196050-222196060(+) gaacaatgaat >hg38_chr1:222205241-222205251(-) AGACAATGCTG >hg38_chr1:222338264-222338274(+) TTACACTGGCG >hg38_chr1:222464903-222464913(-) tatcaatggta >hg38_chr1:222571205-222571215(+) caacaatgtag >hg38_chr1:222592730-222592740(-) acacaatgctc >hg38_chr1:222592790-222592800(-) atacaatatag >hg38_chr1:222593448-222593458(-) gcacaaaggac >hg38_chr1:222593485-222593495(-) taacaatgtgc >hg38_chr1:222600512-222600522(+) GTACAATAGAA >hg38_chr1:222603814-222603824(-) gaacaatgtgg >hg38_chr1:222604229-222604239(-) acacaataaac >hg38_chr1:222636189-222636199(-) ctacaatggct >hg38_chr1:222691877-222691887(-) ATACAAAGGGA >hg38_chr1:222692619-222692629(+) ATACAAAGGTT >hg38_chr1:222692668-222692678(+) AAACAATGAAG >hg38_chr1:222702281-222702291(-) GTACAAAGGGA >hg38_chr1:222703761-222703771(-) GGACAATGATT >hg38_chr1:222703775-222703785(-) GTATAATGATA >hg38_chr1:222710424-222710434(-) ttacaaaggaa >hg38_chr1:222713222-222713232(-) AGACAACGGCC >hg38_chr1:222719619-222719629(+) ACACAAAGGAT >hg38_chr1:222799528-222799538(+) CTACAATGAGG >hg38_chr1:222805672-222805682(+) gaacaaaggga >hg38_chr1:222821126-222821136(+) gaacaatgcac >hg38_chr1:222825824-222825834(-) TAACAATGTAT >hg38_chr1:222837506-222837516(-) TGATAATGGGC >hg38_chr1:222837551-222837561(-) CCACAATAGAT >hg38_chr1:222837567-222837577(+) GCACAATGCTA >hg38_chr1:222838195-222838205(-) taacaatgaag >hg38_chr1:222844174-222844184(-) AAACAAAGGAA >hg38_chr1:222844192-222844202(-) TCACAAAGGAA >hg38_chr1:222844732-222844742(-) GCACAATGCTG >hg38_chr1:222844771-222844781(+) TTACAATGAGA >hg38_chr1:222847199-222847209(-) acacaatagtt >hg38_chr1:222867459-222867469(-) gaacaatatta >hg38_chr1:222877628-222877638(-) ggacaatgccc >hg38_chr1:222931348-222931358(-) ATACCATGGAT >hg38_chr1:222970873-222970883(+) ATACAATGAAT >hg38_chr1:222971367-222971377(+) ATACAGTGGAT >hg38_chr1:222972793-222972803(-) GAACAATACAC >hg38_chr1:222994691-222994701(+) AGACAATATTA >hg38_chr1:222995321-222995331(-) GAACAATGAAA >hg38_chr1:223002480-223002490(+) GAACAATAGAT >hg38_chr1:223015357-223015367(-) agacaatagaa >hg38_chr1:223022782-223022792(-) GAACAAAGGCA >hg38_chr1:223181484-223181494(+) ACATAATGGGG >hg38_chr1:223190863-223190873(-) tgacaatgggc >hg38_chr1:223190912-223190922(+) taacaataaga >hg38_chr1:223320058-223320068(+) gcacaatgcct >hg38_chr1:223320103-223320113(-) GAACAAAGGGA >hg38_chr1:223413898-223413908(+) atacaatgtac >hg38_chr1:223414317-223414327(+) acacaatagat >hg38_chr1:223457188-223457198(-) gtacagtgggg >hg38_chr1:223476143-223476153(+) GCACAATGTCC >hg38_chr1:223643526-223643536(+) GTACAATGCCC >hg38_chr1:223724662-223724672(+) AAACAATGCAC >hg38_chr1:223726862-223726872(+) TAACAAAGGGA >hg38_chr1:223765491-223765501(-) AAACAATGAGG >hg38_chr1:223772214-223772224(+) GAACAATAGAG >hg38_chr1:223805624-223805634(-) AAATAATGGCC >hg38_chr1:223816145-223816155(-) atacaatgtga >hg38_chr1:223877010-223877020(-) AAACAATGATG >hg38_chr1:224051084-224051094(+) CCACAATGTAT >hg38_chr1:224064345-224064355(+) AAACAATGGGA >hg38_chr1:224109149-224109159(-) ATACAATGTAG >hg38_chr1:224109163-224109173(-) TAACAATAGAG >hg38_chr1:224109199-224109209(-) TAACAATAGAA >hg38_chr1:224130703-224130713(+) TCACAATGTGA >hg38_chr1:224131155-224131165(-) taacaatatga >hg38_chr1:224131225-224131235(+) gtacaatatgt >hg38_chr1:224160151-224160161(-) GCATAATGGCT >hg38_chr1:224160175-224160185(+) GCATAATGGTA >hg38_chr1:224169392-224169402(-) GAACAATGCAA >hg38_chr1:224256774-224256784(-) agacaatggta >hg38_chr1:224287894-224287904(+) CAACAATGAAA >hg38_chr1:224317506-224317516(+) atacaaaggca >hg38_chr1:224317824-224317834(+) AAACAATCGTT >hg38_chr1:224317862-224317872(+) TAACAATAAGA >hg38_chr1:224323982-224323992(+) aaacaatggag >hg38_chr1:224324023-224324033(-) acacaatgaaa >hg38_chr1:224357507-224357517(-) GAACAAAGGGG >hg38_chr1:224386096-224386106(+) TAACAATAGCT >hg38_chr1:224405916-224405926(+) TAACAATGTGG >hg38_chr1:224405967-224405977(+) GGACAATGGAA >hg38_chr1:224441923-224441933(+) AAACAATAACT >hg38_chr1:224456242-224456252(-) GAACAATGCAG >hg38_chr1:224487730-224487740(+) TAACTATGGCC >hg38_chr1:224487771-224487781(+) TCACAATGTTA >hg38_chr1:224500868-224500878(+) GGACAATGAGG >hg38_chr1:224565307-224565317(-) ACACAATGCCA >hg38_chr1:224578461-224578471(-) ggataatggtg >hg38_chr1:224578518-224578528(-) agacaatggat >hg38_chr1:224579276-224579286(-) ttacaatgaaa >hg38_chr1:224579318-224579328(-) atacaatgggc >hg38_chr1:224638067-224638077(+) TAACAATAAAA >hg38_chr1:224667513-224667523(+) cgacaatgaac >hg38_chr1:224685161-224685171(-) GAACAAAGGCT >hg38_chr1:224698320-224698330(+) GGACAATGATC >hg38_chr1:224738268-224738278(+) AAACAAAGGTA >hg38_chr1:224779144-224779154(-) GGACAATGGTT >hg38_chr1:224779890-224779900(-) agacaatagat >hg38_chr1:224780908-224780918(-) ttacaatgggg >hg38_chr1:224791859-224791869(-) taataatgtaa >hg38_chr1:224803928-224803938(+) AGACAATGGAT >hg38_chr1:224803950-224803960(+) TAACAATGCca >hg38_chr1:224804489-224804499(+) CAACAAtgggt >hg38_chr1:224839864-224839874(-) AAACAAAGGGA >hg38_chr1:224839877-224839887(-) CTACAATAGAG >hg38_chr1:224859771-224859781(+) AGACAATGGTA >hg38_chr1:224860914-224860924(+) TAACAATGGGG >hg38_chr1:224872795-224872805(-) ATACAATGCAC >hg38_chr1:224875402-224875412(+) AGACAATGCAC >hg38_chr1:224879616-224879626(-) ggacaaaggaa >hg38_chr1:224894954-224894964(-) taacaatacta >hg38_chr1:224921155-224921165(+) acacaaagGAA >hg38_chr1:224922718-224922728(+) tgacaatgttc >hg38_chr1:224929633-224929643(-) CCACAATGGGG >hg38_chr1:224952537-224952547(+) aaacaaaggca >hg38_chr1:224959438-224959448(-) AAACAAAGGGA >hg38_chr1:224959488-224959498(+) GCACAATGCCT >hg38_chr1:224995086-224995096(-) ctacaatggta >hg38_chr1:224995853-224995863(-) atacaatgact >hg38_chr1:224998927-224998937(-) aaacaataggg >hg38_chr1:225044484-225044494(-) AAACTATGGTG >hg38_chr1:225099516-225099526(+) ctacaatgcac >hg38_chr1:225143566-225143576(+) AAACAAAGGCA >hg38_chr1:225143621-225143631(-) gtacaatatct >hg38_chr1:225144792-225144802(-) ACACAATAGGC >hg38_chr1:225188350-225188360(+) gtacaataagg >hg38_chr1:225300261-225300271(-) GTACAATAATG >hg38_chr1:225341842-225341852(+) TAACAATGGAC >hg38_chr1:225350619-225350629(+) gaacaattgta >hg38_chr1:225351775-225351785(+) TCACAATGGGA >hg38_chr1:225368479-225368489(-) ACACAATGGGT >hg38_chr1:225379237-225379247(-) AGACAATGAAA >hg38_chr1:225430373-225430383(-) CAACAATGGTG >hg38_chr1:225493790-225493800(+) TTATAATGGCC >hg38_chr1:225494406-225494416(+) ACACAATGTCC >hg38_chr1:225500700-225500710(-) aaacaatgggc >hg38_chr1:225500873-225500883(+) TAACAATAGCT >hg38_chr1:225508678-225508688(-) GCACAATAGAC >hg38_chr1:225512656-225512666(-) GGACAAAGGTG >hg38_chr1:225512681-225512691(-) CAACAATAGAA >hg38_chr1:225514931-225514941(+) CAACAATAGAG >hg38_chr1:225544341-225544351(-) ctacaatgacc >hg38_chr1:225544372-225544382(-) TTACAATaggc >hg38_chr1:225599083-225599093(+) atacaataaac >hg38_chr1:225599135-225599145(+) aaacaatgaaa >hg38_chr1:225602406-225602416(+) taacaatgcta >hg38_chr1:225603153-225603163(+) AAACAATAGAA >hg38_chr1:225607651-225607661(+) AAACAAAGGCA >hg38_chr1:225626807-225626817(+) CCACAATAGCG >hg38_chr1:225632106-225632116(-) AAACAATGAAA >hg38_chr1:225639066-225639076(+) acacaatgcat >hg38_chr1:225651564-225651574(+) ACACAAAGGAG >hg38_chr1:225653842-225653852(-) GAACAATGATG >hg38_chr1:225655567-225655577(+) ttacaaagggc >hg38_chr1:225677341-225677351(+) AGACAAAGGAG >hg38_chr1:225696292-225696302(-) AAACAATGCTC >hg38_chr1:225696345-225696355(+) GAATAATGGGC >hg38_chr1:225709496-225709506(-) CGATAATGACA >hg38_chr1:225767872-225767882(+) ACACAACGGCA >hg38_chr1:225774532-225774542(-) GCACAATGTCA >hg38_chr1:225778338-225778348(-) AGACAAAGGAC >hg38_chr1:225783690-225783700(-) ATACAATAAAG >hg38_chr1:225806266-225806276(+) agatAATGGTA >hg38_chr1:225817771-225817781(-) GAACAAAGGCC >hg38_chr1:225871173-225871183(-) AGACAATGGTT >hg38_chr1:225872227-225872237(+) GGACAATGACC >hg38_chr1:225890374-225890384(+) TTACAATGGTC >hg38_chr1:225899487-225899497(+) TGACAAAGGAT >hg38_chr1:225899528-225899538(-) TTACAATGGGC >hg38_chr1:225908975-225908985(+) AAACAATAGCC >hg38_chr1:225908982-225908992(-) GCACAATGGCT >hg38_chr1:225917610-225917620(-) acacaatggaa >hg38_chr1:225922546-225922556(-) CAACAATGGGA >hg38_chr1:225945845-225945855(+) TTACAATGGTC >hg38_chr1:225969023-225969033(+) agataatggga >hg38_chr1:226002470-226002480(+) agacaatgttt >hg38_chr1:226002970-226002980(+) GGACAATGGTG >hg38_chr1:226016821-226016831(+) ctacaatggaa >hg38_chr1:226027929-226027939(-) AAACAATGCAA >hg38_chr1:226061831-226061841(-) AAACAATGTGT >hg38_chr1:226062637-226062647(-) ACACAATGGAG >hg38_chr1:226086985-226086995(-) gtacactgggc >hg38_chr1:226098081-226098091(-) caacaatagaa >hg38_chr1:226100116-226100126(-) GAACAATGACT >hg38_chr1:226112146-226112156(+) GAACAATGACT >hg38_chr1:226122047-226122057(-) GAACAAAGGGG >hg38_chr1:226152802-226152812(+) TAACAATGTTG >hg38_chr1:226152845-226152855(+) TAACAATGGCA >hg38_chr1:226168017-226168027(+) aCACAATAGTC >hg38_chr1:226246339-226246349(-) agataatggaa >hg38_chr1:226246356-226246366(-) aaacaatagaa >hg38_chr1:226309182-226309192(-) CCACAATGCAC >hg38_chr1:226419517-226419527(-) GGACAATTGCG >hg38_chr1:226448447-226448457(-) ACACAATAGGT >hg38_chr1:226479598-226479608(-) aaacaaaggaa >hg38_chr1:226496338-226496348(+) AAACAATACGC >hg38_chr1:226498186-226498196(-) CTACAATGCCA >hg38_chr1:226577649-226577659(-) AGACAATGGCC >hg38_chr1:226599453-226599463(+) TCACAATGGAC >hg38_chr1:226628644-226628654(-) Gaataatggca >hg38_chr1:226650521-226650531(+) ACACAATGCAT >hg38_chr1:226650537-226650547(+) CAACAATGGAA >hg38_chr1:226657932-226657942(+) GAACAAAGGCC >hg38_chr1:226658272-226658282(-) ATACAATGAAC >hg38_chr1:226693884-226693894(+) CCACAATGGGT >hg38_chr1:226697554-226697564(+) AAACAATAGCT >hg38_chr1:226711479-226711489(+) GCACAATGGCA >hg38_chr1:226737453-226737463(-) GTACTATGGCT >hg38_chr1:226739544-226739554(-) TAACAATGGAA >hg38_chr1:226763988-226763998(-) AAACAATGACA >hg38_chr1:226778842-226778852(-) CAACAATGACC >hg38_chr1:226784165-226784175(-) ACACAAAGGCA >hg38_chr1:226784173-226784183(-) AAACAATAACA >hg38_chr1:226787934-226787944(-) aaacaatacgt >hg38_chr1:226810328-226810338(+) GAACAAAGGCT >hg38_chr1:226995680-226995690(+) TAACAGTGGAC >hg38_chr1:226995746-226995756(-) TGACAATAGCC >hg38_chr1:227012862-227012872(-) atacaataATA >hg38_chr1:227024311-227024321(+) tgacaatggaa >hg38_chr1:227050180-227050190(+) caacaaaggac >hg38_chr1:227076853-227076863(-) TAACAATGAAA >hg38_chr1:227077441-227077451(+) AGACAAAGGTT >hg38_chr1:227092179-227092189(+) TTACAATAATA >hg38_chr1:227094981-227094991(+) acactatggta >hg38_chr1:227183269-227183279(+) tcacaatatat >hg38_chr1:227183285-227183295(-) tgataatggaa >hg38_chr1:227199601-227199611(-) AGACAATAAAT >hg38_chr1:227225601-227225611(+) GAACAATAAAA >hg38_chr1:227225805-227225815(+) ACACAATGACT >hg38_chr1:227240561-227240571(+) agacaatgaga >hg38_chr1:227241141-227241151(+) caacaatgaaa >hg38_chr1:227319382-227319392(+) GCACAATGCAG >hg38_chr1:227320607-227320617(-) GCACAATGTGT >hg38_chr1:227356613-227356623(-) tgataatggcc >hg38_chr1:227371357-227371367(-) AAACAATGATT >hg38_chr1:227397745-227397755(-) atacaatgaat >hg38_chr1:227410197-227410207(-) ACACTATGGAA >hg38_chr1:227431982-227431992(+) GGACAATGGAA >hg38_chr1:227568155-227568165(-) caataatggaa >hg38_chr1:227804033-227804043(+) ctacaatgtgt >hg38_chr1:227807641-227807651(+) atacaataact >hg38_chr1:227807675-227807685(+) ctacaatagta >hg38_chr1:227809514-227809524(+) atacaataata >hg38_chr1:227809555-227809565(+) caacaatgaac >hg38_chr1:227862460-227862470(+) caataatggat >hg38_chr1:227907034-227907044(+) tcacaatggca >hg38_chr1:227913578-227913588(-) ggacaaaggcg >hg38_chr1:227934049-227934059(-) acacaatggaa >hg38_chr1:228037990-228038000(-) CCACAATGGCC >hg38_chr1:228087982-228087992(-) AAACAATGGCA >hg38_chr1:228190975-228190985(-) acacgatggaa >hg38_chr1:228190993-228191003(-) aaacaatgccg >hg38_chr1:228393636-228393646(-) GAACAATAGCG >hg38_chr1:228404712-228404722(+) ctacaatgacc >hg38_chr1:228404719-228404729(-) agataatggtc >hg38_chr1:228445782-228445792(+) GAACAAAGGCG >hg38_chr1:228460751-228460761(-) atacaatagat >hg38_chr1:228460777-228460787(-) gaacaatagac >hg38_chr1:228461007-228461017(-) taacaatatga >hg38_chr1:228461053-228461063(+) ttacaatgcta >hg38_chr1:228474773-228474783(+) tcacaatggct >hg38_chr1:228480283-228480293(+) GAACAATGTAG >hg38_chr1:228540664-228540674(+) ttacaatgcct >hg38_chr1:228543718-228543728(+) ttacaatgcct >hg38_chr1:228549061-228549071(+) ttacaatgcct >hg38_chr1:228657480-228657490(+) taacaattgta >hg38_chr1:228692108-228692118(+) TTACAAAGGGT >hg38_chr1:228698070-228698080(-) ttacaatagtc >hg38_chr1:228792954-228792964(+) AGACAATGGAA >hg38_chr1:228801084-228801094(+) taacactggta >hg38_chr1:228813249-228813259(+) taacaatgtga >hg38_chr1:228825450-228825460(-) gaataatggaa >hg38_chr1:228830481-228830491(-) AAACAATCGCA >hg38_chr1:228831339-228831349(-) CAACAATGAAC >hg38_chr1:228838149-228838159(+) GGACAAAGGTA >hg38_chr1:228838696-228838706(-) GAATAATGGCT >hg38_chr1:228849867-228849877(-) atacaatcgct >hg38_chr1:228853269-228853279(+) atacaaaggga >hg38_chr1:228861231-228861241(-) TCACAATGCAG >hg38_chr1:228870156-228870166(+) AGACAATGGAT >hg38_chr1:228882535-228882545(-) CAACAAAGGAC >hg38_chr1:228945062-228945072(+) ACACAAAGGAT >hg38_chr1:228993303-228993313(+) acacaatggag >hg38_chr1:229003821-229003831(-) GAACAATGGCT >hg38_chr1:229006636-229006646(+) ACACAATGGAG >hg38_chr1:229022784-229022794(-) CTACAATGCAT >hg38_chr1:229028088-229028098(+) AGACAAAGGAG >hg38_chr1:229157047-229157057(+) GGACAATGGTT >hg38_chr1:229190201-229190211(+) tgacaatggct >hg38_chr1:229203528-229203538(-) GAACAATGCTT >hg38_chr1:229231538-229231548(+) GTACAATGGGC >hg38_chr1:229253504-229253514(-) GAACAAAGGCG >hg38_chr1:229266800-229266810(-) aaacaataaga >hg38_chr1:229288747-229288757(+) ATACAATAGGA >hg38_chr1:229304644-229304654(-) GTACAATGTGT >hg38_chr1:229304675-229304685(+) GTATAATGGCA >hg38_chr1:229341645-229341655(+) AAACAATGGCC >hg38_chr1:229379105-229379115(-) agacaatgagt >hg38_chr1:229406913-229406923(+) AAACAAAGGCC >hg38_chr1:229495876-229495886(-) AAACAATAATA >hg38_chr1:229507070-229507080(+) TAACAAAGGTT >hg38_chr1:229579417-229579427(-) GAACAATGAGA >hg38_chr1:229653143-229653153(-) AGACAATATAC >hg38_chr1:229745970-229745980(-) tcacaatggca >hg38_chr1:229746030-229746040(-) gtacaatgacc >hg38_chr1:229819252-229819262(+) CTACAATGCTA >hg38_chr1:229819629-229819639(+) gtacaaaggtc >hg38_chr1:229839345-229839355(-) ACACAATGGAG >hg38_chr1:229843129-229843139(-) TCACAATGAGT >hg38_chr1:229853547-229853557(+) acacaatggac >hg38_chr1:229864028-229864038(+) GGACAAAGGAA >hg38_chr1:229902316-229902326(+) agacaatgcag >hg38_chr1:229903545-229903555(+) tgacaatagca >hg38_chr1:229915564-229915574(-) aaacaatgtcc >hg38_chr1:229921596-229921606(+) TGACAATGAAG >hg38_chr1:229930361-229930371(+) agacaatggaa >hg38_chr1:229978022-229978032(+) taacaatgttg >hg38_chr1:229978795-229978805(+) gcacaatgcca >hg38_chr1:229980212-229980222(+) agacaatagaa >hg38_chr1:229980259-229980269(+) agacaatagac >hg38_chr1:230025694-230025704(+) ACACAATAGGC >hg38_chr1:230032672-230032682(-) taataatagta >hg38_chr1:230042522-230042532(-) atacaatgaag >hg38_chr1:230042552-230042562(+) atacaatggaa >hg38_chr1:230059002-230059012(-) GGATAATGGGA >hg38_chr1:230059145-230059155(-) GCACAATAGAA >hg38_chr1:230059160-230059170(+) TCACAATGTAT >hg38_chr1:230154500-230154510(+) GGACAAtgaag >hg38_chr1:230175967-230175977(-) ttacaatgagg >hg38_chr1:230176017-230176027(+) gcacaatgctt >hg38_chr1:230182043-230182053(-) ggacaatacgg >hg38_chr1:230183310-230183320(-) aaacaataaca >hg38_chr1:230186455-230186465(+) ACACAATGGGG >hg38_chr1:230210532-230210542(+) CTACAAAGGAA >hg38_chr1:230250352-230250362(-) TAACAATAAGC >hg38_chr1:230277499-230277509(+) TGACAATGGGG >hg38_chr1:230299521-230299531(+) TCACAATGGAC >hg38_chr1:230299546-230299556(-) AAACAAAGGAT >hg38_chr1:230310711-230310721(-) ACACAATAGCG >hg38_chr1:230332886-230332896(-) CAACAAAGGAC >hg38_chr1:230394371-230394381(-) TGACAATGAGC >hg38_chr1:230510677-230510687(+) acacaatggag >hg38_chr1:230555726-230555736(-) ttacaaaggtg >hg38_chr1:230559864-230559874(-) AAACAATAGTT >hg38_chr1:230572313-230572323(-) TAACAATGGCC >hg38_chr1:230611689-230611699(+) ATACAGTGGGG >hg38_chr1:230611742-230611752(+) GGACAAAGGCA >hg38_chr1:230651978-230651988(-) taacaaaggta >hg38_chr1:230652030-230652040(-) aaactatggca >hg38_chr1:230745133-230745143(-) GCACAATGAAG >hg38_chr1:230752086-230752096(+) AGACAATGCTC >hg38_chr1:230779308-230779318(-) AAACAAAGGAG >hg38_chr1:230805357-230805367(-) gtacaattgaa >hg38_chr1:230809598-230809608(-) tgacaatgaat >hg38_chr1:230813775-230813785(-) atacaaaggaa >hg38_chr1:230813784-230813794(+) atataatgggt >hg38_chr1:230814805-230814815(+) agacaatggtt >hg38_chr1:230859440-230859450(-) CAACAATGAAG >hg38_chr1:230861192-230861202(+) tgacaatgata >hg38_chr1:230861204-230861214(+) aaacaatgcag >hg38_chr1:230868921-230868931(+) TGACAAAGGAA >hg38_chr1:230917464-230917474(-) GCACAATGGTA >hg38_chr1:230925911-230925921(-) AAATAATGGAA >hg38_chr1:230927053-230927063(-) caacaatgtgg >hg38_chr1:230927096-230927106(-) acacaatggga >hg38_chr1:230939415-230939425(-) ATACAAAGGTT >hg38_chr1:230939709-230939719(+) AAACAAAGGTT >hg38_chr1:230952547-230952557(-) ATACAATGGGT >hg38_chr1:230978938-230978948(-) ACACAATGCTT >hg38_chr1:230984887-230984897(-) caacaatgtat >hg38_chr1:231028878-231028888(+) CAACAATGGGG >hg38_chr1:231031878-231031888(-) ggataatggca >hg38_chr1:231045542-231045552(+) tgacaatgagg >hg38_chr1:231124876-231124886(-) AGACAATGGGG >hg38_chr1:231177317-231177327(-) TGACAATAGGT >hg38_chr1:231177337-231177347(-) CAACAATAGAA >hg38_chr1:231284686-231284696(+) atacaatgagc >hg38_chr1:231334220-231334230(-) AAACAAAGGGA >hg38_chr1:231334234-231334244(-) AGACAATGTGC >hg38_chr1:231473425-231473435(-) TAACAATAATT >hg38_chr1:231473730-231473740(+) GAACAATGGTA >hg38_chr1:231479031-231479041(-) GGACAATGTTA >hg38_chr1:231488031-231488041(+) tgacaaaggta >hg38_chr1:231518793-231518803(+) CAACAAAGGAC >hg38_chr1:231518844-231518854(-) TCACAATAGAA >hg38_chr1:231556084-231556094(+) AGACAATGATA >hg38_chr1:231587670-231587680(-) TTACAATGGTA >hg38_chr1:231626167-231626177(-) GGACAATGGGT >hg38_chr1:231700957-231700967(-) TGACAATGAAT >hg38_chr1:231708421-231708431(+) taacaatgttg >hg38_chr1:231751828-231751838(-) atacaatggag >hg38_chr1:231814237-231814247(-) tcacaatgcag >hg38_chr1:231924313-231924323(+) ATACAAAGGAG >hg38_chr1:231953084-231953094(+) tgataatggta >hg38_chr1:231964512-231964522(+) AAACAATGGAA >hg38_chr1:231987364-231987374(+) TGACAATAGGG >hg38_chr1:232033814-232033824(-) TCACAATGGAA >hg38_chr1:232043363-232043373(-) AAACAATGGGA >hg38_chr1:232105853-232105863(-) GAACAATAGAG >hg38_chr1:232118065-232118075(+) acacaatgtct >hg38_chr1:232124416-232124426(-) gaacaatgtaa >hg38_chr1:232124446-232124456(-) aaacaatgaca >hg38_chr1:232168894-232168904(-) gcacaataggt >hg38_chr1:232209975-232209985(-) TTACAATGCCT >hg38_chr1:232209988-232209998(-) ACACAATGGAT >hg38_chr1:232244317-232244327(+) TTACAAAGGCA >hg38_chr1:232253018-232253028(+) TTACAATAGAA >hg38_chr1:232281071-232281081(+) CAACAATGGAA >hg38_chr1:232302888-232302898(+) TGACAATGGTG >hg38_chr1:232302899-232302909(+) AGACAATGGCT >hg38_chr1:232307298-232307308(-) TGACAATGGTG >hg38_chr1:232319064-232319074(+) TAACAATGGTC >hg38_chr1:232330367-232330377(+) AGACAATAGCA >hg38_chr1:232381145-232381155(+) AAACAATGCTT >hg38_chr1:232426981-232426991(-) ACACAATAGGA >hg38_chr1:232438619-232438629(-) TAACAATGAAA >hg38_chr1:232454669-232454679(+) ACACAATGCTC >hg38_chr1:232455168-232455178(-) GAACAAAGGTA >hg38_chr1:232462488-232462498(+) ACACAATGCCA >hg38_chr1:232473209-232473219(-) TTACAATGCCT >hg38_chr1:232508533-232508543(+) CTACAATGGAA >hg38_chr1:232509767-232509777(-) TTACAATGGCA >hg38_chr1:232553900-232553910(+) ACACAATGAAA >hg38_chr1:232558046-232558056(-) GAACAATGCAG >hg38_chr1:232563140-232563150(-) ACACAATAGGT >hg38_chr1:232565805-232565815(+) ACACAATGATC >hg38_chr1:232566303-232566313(-) GAACAATGGAT >hg38_chr1:232578000-232578010(-) caacaatgaag >hg38_chr1:232585635-232585645(+) GAACAATGAAA >hg38_chr1:232585657-232585667(+) GAACAAAGGAG >hg38_chr1:232594238-232594248(+) GAACAAAGGAG >hg38_chr1:232594273-232594283(+) AGACAGTGGTA >hg38_chr1:232613955-232613965(-) GCACAATGCCC >hg38_chr1:232615572-232615582(-) GAATAATGGTA >hg38_chr1:232628182-232628192(-) GCACAATAGCC >hg38_chr1:232678809-232678819(+) ATACAATGGAG >hg38_chr1:232679778-232679788(+) gtacaataata >hg38_chr1:232705050-232705060(-) TGACAAAGGAA >hg38_chr1:232805260-232805270(+) ATACAAAGGGG >hg38_chr1:232809981-232809991(-) AGACAATGACT >hg38_chr1:232810367-232810377(-) TTACAATGAAA >hg38_chr1:232810672-232810682(+) GAACAATGATA >hg38_chr1:232843328-232843338(+) GAACAATGGAC >hg38_chr1:232890790-232890800(-) TAACAAAGGTA >hg38_chr1:232896097-232896107(-) taacaatggaa >hg38_chr1:232896135-232896145(+) taacaatacaa >hg38_chr1:232925926-232925936(-) GCACAATAGGG >hg38_chr1:232957050-232957060(-) acataatggtg >hg38_chr1:232961203-232961213(-) TTACAATGGTG >hg38_chr1:232998344-232998354(-) CAACAATGCCC >hg38_chr1:233020675-233020685(+) AAACAATGACA >hg38_chr1:233025800-233025810(-) ttacaatagcc >hg38_chr1:233079757-233079767(-) acacaaaggaa >hg38_chr1:233084858-233084868(-) CAACAATAGCA >hg38_chr1:233182369-233182379(-) agacagtggaa >hg38_chr1:233183765-233183775(+) GGACAATGAGC >hg38_chr1:233243247-233243257(+) CAACAATAGCT >hg38_chr1:233253827-233253837(-) GCATAATGGTA >hg38_chr1:233269523-233269533(+) ctacaatagca >hg38_chr1:233298327-233298337(+) GTACAAAGGTT >hg38_chr1:233304175-233304185(+) ATACAGTGGAC >hg38_chr1:233305093-233305103(+) AAACAATGATA >hg38_chr1:233305816-233305826(+) taacaatagag >hg38_chr1:233315915-233315925(+) ATACAATAAAA >hg38_chr1:233332086-233332096(-) CCACAATGTTT >hg38_chr1:233365912-233365922(+) tcacaatgaca >hg38_chr1:233372128-233372138(+) CCACAATGATG >hg38_chr1:233395101-233395111(+) ACACAGTGGAT >hg38_chr1:233396491-233396501(+) tcacaatgcac >hg38_chr1:233405397-233405407(+) atacaatagcc >hg38_chr1:233434433-233434443(+) ttacaaaggtg >hg38_chr1:233470948-233470958(+) gcacaatggac >hg38_chr1:233523078-233523088(+) aaacaatgggg >hg38_chr1:233523272-233523282(+) agacaaaggag >hg38_chr1:233523455-233523465(+) GCACAATGCCC >hg38_chr1:233523490-233523500(+) TAACAATGGAA >hg38_chr1:233523819-233523829(+) aaataatggta >hg38_chr1:233617154-233617164(+) TAACAATGAGC >hg38_chr1:233617188-233617198(-) CAACAATGACT >hg38_chr1:233955181-233955191(-) caacaatggct >hg38_chr1:234000864-234000874(-) CAACAATAGCC >hg38_chr1:234000918-234000928(+) TGACAATGACA >hg38_chr1:234026333-234026343(+) GAACAAAGGTT >hg38_chr1:234046426-234046436(-) acacaatgagc >hg38_chr1:234085043-234085053(-) atacaatacac >hg38_chr1:234114759-234114769(-) GCACAATGAAA >hg38_chr1:234253814-234253824(+) gaacaatgtca >hg38_chr1:234253846-234253856(-) tcacaatgact >hg38_chr1:234282069-234282079(+) GAACAAAGGCC >hg38_chr1:234282098-234282108(-) GCACAATGATG >hg38_chr1:234310329-234310339(-) GGACAATGAGG >hg38_chr1:234310343-234310353(+) GAACAATGACA >hg38_chr1:234317534-234317544(+) AGACAATAGGA >hg38_chr1:234346920-234346930(+) aaacaatatac >hg38_chr1:234346938-234346948(+) aaacaatgaaa >hg38_chr1:234346948-234346958(+) agacaatgata >hg38_chr1:234346959-234346969(+) gcacaatgaca >hg38_chr1:234369803-234369813(-) ttacaataaca >hg38_chr1:234369880-234369890(-) caacaatagaa >hg38_chr1:234455365-234455375(-) agacaatgcca >hg38_chr1:234455430-234455440(+) aaacaatggta >hg38_chr1:234457375-234457385(-) GAATAATGGCG >hg38_chr1:234495516-234495526(+) ACACAATGTTT >hg38_chr1:234495549-234495559(-) TAACAATGAGG >hg38_chr1:234503967-234503977(+) TTATAATGGCC >hg38_chr1:234545646-234545656(+) ATACAATAGAG >hg38_chr1:234545661-234545671(+) TTACTATGGAA >hg38_chr1:234607252-234607262(+) GGACAATAGAC >hg38_chr1:234621326-234621336(+) GAACAATGAAA >hg38_chr1:234622317-234622327(+) GAACAATGCAC >hg38_chr1:234656246-234656256(-) AAACAAAGGAA >hg38_chr1:234657531-234657541(-) GGACAATGAAT >hg38_chr1:234677741-234677751(-) gtacaatgggg >hg38_chr1:234686235-234686245(+) aaacaatgcaa >hg38_chr1:234686252-234686262(+) agacaatggtg >hg38_chr1:234718714-234718724(-) GTACAATGTTA >hg38_chr1:234718737-234718747(-) GCACAATGTTA >hg38_chr1:234718759-234718769(-) GAACAATGAAT >hg38_chr1:234723692-234723702(+) GTATAATGGTC >hg38_chr1:234725495-234725505(-) TCACAATAGCA >hg38_chr1:234731534-234731544(+) ttacaatgacc >hg38_chr1:234751392-234751402(+) GAACAATGTGA >hg38_chr1:234780159-234780169(-) taacaaaggtg >hg38_chr1:234836512-234836522(-) CAACAATGCAA >hg38_chr1:234836540-234836550(-) AAATAATGGGA >hg38_chr1:234839142-234839152(+) AAACAATGGAG >hg38_chr1:234866569-234866579(-) TGATAATGGTG >hg38_chr1:234866643-234866653(+) GAATAATGGGG >hg38_chr1:234894548-234894558(+) AAACAATGCTG >hg38_chr1:234913252-234913262(-) GAACAAAGGAT >hg38_chr1:234918297-234918307(-) GTACAAAGGAA >hg38_chr1:234918804-234918814(-) GAACAATGGTC >hg38_chr1:234955584-234955594(-) CAACAATGGCC >hg38_chr1:234957688-234957698(+) GAACAAAGGGA >hg38_chr1:234974643-234974653(+) AAACAAAGGGC >hg38_chr1:234975211-234975221(+) AGATAATGGGG >hg38_chr1:235023295-235023305(-) GAACAAAGGCT >hg38_chr1:235074167-235074177(+) GAACAAAGGCA >hg38_chr1:235079547-235079557(+) AGACAATAATA >hg38_chr1:235090578-235090588(+) TAACAATGGCA >hg38_chr1:235112276-235112286(+) AAACAATGATC >hg38_chr1:235122080-235122090(-) GAACAATAATT >hg38_chr1:235138986-235138996(-) cgacaaagggg >hg38_chr1:235143759-235143769(+) gtacaatacat >hg38_chr1:235161624-235161634(+) gtacaaaggaa >hg38_chr1:235177286-235177296(+) ATACAAAGGTA >hg38_chr1:235224001-235224011(-) ACACAATATAA >hg38_chr1:235237609-235237619(+) AAACAAAGGTA >hg38_chr1:235237621-235237631(-) AAACAATAGAA >hg38_chr1:235242587-235242597(+) CTACAATGTCA >hg38_chr1:235243424-235243434(-) TAACAATGTCA >hg38_chr1:235287450-235287460(-) ACACAATGAGA >hg38_chr1:235321076-235321086(+) gaacaatgtcc >hg38_chr1:235321101-235321111(+) acacaatgtgt >hg38_chr1:235331773-235331783(-) TGATAATGGGT >hg38_chr1:235402652-235402662(-) agacaatgtct >hg38_chr1:235469155-235469165(-) aaacaatggca >hg38_chr1:235504856-235504866(-) GAACAAAGGAG >hg38_chr1:235506571-235506581(+) TTACAATGAGC >hg38_chr1:235517065-235517075(-) GCACAATGCAA >hg38_chr1:235524105-235524115(-) aaacaatggct >hg38_chr1:235524116-235524126(-) ttacaatgagg >hg38_chr1:235632755-235632765(-) TGACAATGTCT >hg38_chr1:235643164-235643174(+) TGACAATGGCC >hg38_chr1:235662159-235662169(-) ACACAATGGTA >hg38_chr1:235694499-235694509(+) agacaatgtgc >hg38_chr1:235706348-235706358(+) agacaaaggga >hg38_chr1:235733827-235733837(-) CAACAAAGGTA >hg38_chr1:235733837-235733847(-) TAACAATAATC >hg38_chr1:235736425-235736435(+) AGACAAAGGAG >hg38_chr1:235740520-235740530(-) acacaaaggag >hg38_chr1:235740571-235740581(+) ccataatggta >hg38_chr1:235775605-235775615(+) AGACAATAGGT >hg38_chr1:235797421-235797431(+) tgacaatggca >hg38_chr1:235802731-235802741(-) CAACAATGTGA >hg38_chr1:235817292-235817302(-) ccacaatagct >hg38_chr1:235821751-235821761(-) gtacaatgtac >hg38_chr1:235825730-235825740(-) taacaatgttg >hg38_chr1:235825995-235826005(+) acacaatgcag >hg38_chr1:235826075-235826085(+) ctacaatgaga >hg38_chr1:235847926-235847936(+) acacaataata >hg38_chr1:235847996-235848006(+) aaacaatgaat >hg38_chr1:235856847-235856857(+) GTACAATGAAG >hg38_chr1:235867329-235867339(-) ACACAATGGGA >hg38_chr1:235914367-235914377(+) caacaatgggg >hg38_chr1:235919962-235919972(-) tcacaatggcc >hg38_chr1:235920269-235920279(-) AAACAATGACT >hg38_chr1:235950549-235950559(-) GCACAATGGCT >hg38_chr1:235972130-235972140(-) TTACAACGGAG >hg38_chr1:236006387-236006397(-) agacaataggt >hg38_chr1:236006457-236006467(+) ggacaaaggag >hg38_chr1:236010102-236010112(-) TAACAATGACT >hg38_chr1:236058365-236058375(+) AAACAATACGG >hg38_chr1:236181293-236181303(+) CAACAATGAGA >hg38_chr1:236204883-236204893(+) AAACAATGATG >hg38_chr1:236234650-236234660(-) TCACAATGTGG >hg38_chr1:236267029-236267039(+) aaacaatgact >hg38_chr1:236313625-236313635(-) GTAGAATGGTA >hg38_chr1:236343024-236343034(-) GAACAAAGGGG >hg38_chr1:236388117-236388127(-) acacaatgcaa >hg38_chr1:236586022-236586032(-) AAACAATAGTA >hg38_chr1:236706508-236706518(+) ATACAATGGCA >hg38_chr1:236799620-236799630(-) ATACAATGTGA >hg38_chr1:236799880-236799890(+) ATACAATAAGT >hg38_chr1:236821936-236821946(-) agacaatgtgg >hg38_chr1:236854754-236854764(-) ATACAATGCCA >hg38_chr1:236953532-236953542(+) GAACAAAGGAA >hg38_chr1:237009818-237009828(-) agacaatagat >hg38_chr1:237016792-237016802(-) agacaaaggag >hg38_chr1:237044838-237044848(+) GAATAATGGGG >hg38_chr1:237063150-237063160(+) acacaatgaga >hg38_chr1:237241849-237241859(-) ttacaatgcat >hg38_chr1:237341621-237341631(+) agacaatgcat >hg38_chr1:237354055-237354065(-) ATACAATAAAG >hg38_chr1:237394302-237394312(-) CAACAATAGCT >hg38_chr1:237562438-237562448(-) AAACAATATAG >hg38_chr1:237657619-237657629(-) GGACAATAGAT >hg38_chr1:237670463-237670473(-) AAACAATGAAT >hg38_chr1:237738925-237738935(+) caacaatggtt >hg38_chr1:237753117-237753127(+) AAACAATGTAA >hg38_chr1:237792983-237792993(+) GAACAATATAA >hg38_chr1:237806887-237806897(-) GGATAATGGGA >hg38_chr1:237867544-237867554(-) GTACAAAGGGA >hg38_chr1:238001929-238001939(+) CTACAATGAAG >hg38_chr1:238052675-238052685(+) gaacaatagac >hg38_chr1:238060244-238060254(-) TGACAATGAGT >hg38_chr1:238062402-238062412(+) ttacaataaaa >hg38_chr1:238066895-238066905(+) GAACAATAGGC >hg38_chr1:238089101-238089111(-) taacaatagga >hg38_chr1:238115986-238115996(-) GAACAATGCCA >hg38_chr1:238176749-238176759(-) gcacaatgagt >hg38_chr1:238191008-238191018(+) CAACAATAGAA >hg38_chr1:238199527-238199537(-) GAACAAAGGTA >hg38_chr1:238199555-238199565(-) AAACAGTGGGA >hg38_chr1:238215761-238215771(-) ttacaatagca >hg38_chr1:238336773-238336783(+) aaacaatcgga >hg38_chr1:238377449-238377459(-) TTACAATAGCT >hg38_chr1:238383257-238383267(-) GTACAAAGGGA >hg38_chr1:238459120-238459130(+) TCACAATGCTG >hg38_chr1:238484391-238484401(-) taacaaaggtt >hg38_chr1:238539319-238539329(-) acacaatgctt >hg38_chr1:238667360-238667370(+) caacaataggc >hg38_chr1:238675502-238675512(-) gcacaaaggtc >hg38_chr1:238767564-238767574(+) ATACAAAGGAA >hg38_chr1:238832278-238832288(+) agacaatgggg >hg38_chr1:238895105-238895115(-) GGACAAAGGAT >hg38_chr1:238919231-238919241(+) GAACAATGAAC >hg38_chr1:238919707-238919717(+) ATATAATGTAA >hg38_chr1:238919760-238919770(-) ATACAATGTAA >hg38_chr1:239061874-239061884(-) taacaatagct >hg38_chr1:239124728-239124738(-) ctacaatgtaa >hg38_chr1:239125024-239125034(-) acacactggcg >hg38_chr1:239147549-239147559(+) TAACAAAGGAA >hg38_chr1:239213014-239213024(+) TCACAATAGCA >hg38_chr1:239261215-239261225(-) GAACAATGCAT >hg38_chr1:239295760-239295770(+) TAACAATGATC >hg38_chr1:239295803-239295813(-) AGACAAAGGTC >hg38_chr1:239386175-239386185(-) TTACAATATAC >hg38_chr1:239431228-239431238(-) GGACAATAAAC >hg38_chr1:239445847-239445857(+) GTATAATGGGA >hg38_chr1:239515651-239515661(+) TGACAATGCAT >hg38_chr1:239538429-239538439(-) TCACAATAGAG >hg38_chr1:239539254-239539264(-) GAACAAAGGCC >hg38_chr1:239555476-239555486(+) gaacaatgagg >hg38_chr1:239574415-239574425(-) ggacaaagggc >hg38_chr1:239617940-239617950(-) AAACAATAAAA >hg38_chr1:239707611-239707621(+) ATACAATAGCA >hg38_chr1:239709599-239709609(-) ATACAAAGGAA >hg38_chr1:239726125-239726135(+) caacaATGCCT >hg38_chr1:239728764-239728774(-) ACACAATGGAG >hg38_chr1:239776169-239776179(+) atacagtggct >hg38_chr1:239779994-239780004(-) gtacaatggaa >hg38_chr1:239811315-239811325(-) AGACAATGGGT >hg38_chr1:239826015-239826025(+) caacaatagaa >hg38_chr1:239826063-239826073(-) aaacaataact >hg38_chr1:239848837-239848847(+) TAACTATGGTA >hg38_chr1:239849371-239849381(-) CTATAATGGGA >hg38_chr1:239850124-239850134(+) aaacaatggat >hg38_chr1:239858231-239858241(-) GAACAATGTCA >hg38_chr1:239859994-239860004(+) CAACAATGCAG >hg38_chr1:239868149-239868159(-) ACACAATAGTA >hg38_chr1:239893568-239893578(-) acacaatagcc >hg38_chr1:239915501-239915511(-) ATACAATAAAA >hg38_chr1:239929270-239929280(+) gcacaatagca >hg38_chr1:239975692-239975702(+) AGACAATAAAA >hg38_chr1:239976513-239976523(+) AAACAATGTCA >hg38_chr1:239987303-239987313(+) AAACAATGTCG >hg38_chr1:239987367-239987377(-) CAACAATGAGA >hg38_chr1:240029110-240029120(+) CTACAATGAAT >hg38_chr1:240029138-240029148(-) AAACAATGAGT >hg38_chr1:240038191-240038201(+) GAACAATAGAA >hg38_chr1:240090403-240090413(+) AAACAAAGGCT >hg38_chr1:240091102-240091112(+) CCACAATAGTG >hg38_chr1:240099641-240099651(-) AGACAAAGGCA >hg38_chr1:240124375-240124385(-) AGACAATGCTG >hg38_chr1:240181577-240181587(-) GAACAAAGGAC >hg38_chr1:240181610-240181620(-) AGACAATGCCA >hg38_chr1:240239022-240239032(+) atacaatgatc >hg38_chr1:240239043-240239053(+) ttacaatgatg >hg38_chr1:240239203-240239213(+) GGACAATAGAG >hg38_chr1:240239252-240239262(-) CAACAATAGCC >hg38_chr1:240272052-240272062(-) GTACAATGAGG >hg38_chr1:240301002-240301012(-) tcacaatgaat >hg38_chr1:240301049-240301059(-) ttacaataaat >hg38_chr1:240304654-240304664(+) caacaatggcc >hg38_chr1:240312507-240312517(-) atacaaTACTA >hg38_chr1:240312664-240312674(-) AAACAATGCCA >hg38_chr1:240314828-240314838(-) AAACAATGGCT >hg38_chr1:240333886-240333896(+) GGACAATAGCA >hg38_chr1:240333910-240333920(-) AAACAATATAT >hg38_chr1:240345023-240345033(+) TTACAATAGTT >hg38_chr1:240383532-240383542(+) tcacaatggga >hg38_chr1:240390955-240390965(-) CTACAATGCAT >hg38_chr1:240403141-240403151(+) GGACAAAGGAA >hg38_chr1:240409659-240409669(-) TTACAATGCTG >hg38_chr1:240428789-240428799(-) taacaatatgt >hg38_chr1:240429599-240429609(-) gaacaatgatc >hg38_chr1:240430869-240430879(+) agacaatgtaa >hg38_chr1:240472034-240472044(+) TGACAATGAGG >hg38_chr1:240472246-240472256(-) ATACAATACAC >hg38_chr1:240474153-240474163(+) GAACAATGAAA >hg38_chr1:240598254-240598264(-) GAACAATAGTT >hg38_chr1:240615590-240615600(-) TTAGAATGGCG >hg38_chr1:240737021-240737031(-) TAACAATAATT >hg38_chr1:240737055-240737065(+) GCATAATGGAC >hg38_chr1:240737088-240737098(+) CAACAATGGTT >hg38_chr1:240739263-240739273(+) atacaaaggca >hg38_chr1:240749836-240749846(-) aaacaataaat >hg38_chr1:240755020-240755030(+) ttataatggag >hg38_chr1:240780045-240780055(+) ATACAATGCCA >hg38_chr1:240781265-240781275(+) TAACAATGGGC >hg38_chr1:240783811-240783821(+) TCACAAAGGAA >hg38_chr1:240786529-240786539(-) Taacaatacat >hg38_chr1:240787177-240787187(+) TAACAATAGGT >hg38_chr1:240797170-240797180(-) GAACAATATCA >hg38_chr1:240857023-240857033(+) ACACAGTGGAA >hg38_chr1:240858269-240858279(-) atacaatatag >hg38_chr1:240906638-240906648(+) GTACAATGTGT >hg38_chr1:240942671-240942681(+) AGACAAAGGTA >hg38_chr1:240952646-240952656(+) atacaatgaac >hg38_chr1:240952949-240952959(+) ggataatggaa >hg38_chr1:240956139-240956149(-) agacaattgcg >hg38_chr1:240956220-240956230(+) caacaatgggt >hg38_chr1:240982016-240982026(-) AAACAATAGTT >hg38_chr1:240983869-240983879(+) taacaatgtgt >hg38_chr1:241021037-241021047(+) CGACATTGGTA >hg38_chr1:241122118-241122128(-) GAACAATGTCA >hg38_chr1:241166849-241166859(+) gaacaatggaa >hg38_chr1:241226605-241226615(+) caacaatggac >hg38_chr1:241226624-241226634(+) ttacaatgatg >hg38_chr1:241232076-241232086(+) aaacaatgctt >hg38_chr1:241235524-241235534(-) GAACAATAACC >hg38_chr1:241293114-241293124(+) tgacaatggtt >hg38_chr1:241353173-241353183(-) TTACAATGCTT >hg38_chr1:241500027-241500037(-) CTACAATGCTT >hg38_chr1:241503414-241503424(-) atactatggat >hg38_chr1:241507483-241507493(+) GAACAATGCAC >hg38_chr1:241583044-241583054(+) gcacaatggtg >hg38_chr1:241583065-241583075(-) ggacaatgctt >hg38_chr1:241614390-241614400(-) GCACAATGGAA >hg38_chr1:241629328-241629338(+) ACACAATGCTG >hg38_chr1:241629377-241629387(+) ATACAATAGCA >hg38_chr1:241640356-241640366(-) GCACAATGGCT >hg38_chr1:241661919-241661929(+) ctacaatagga >hg38_chr1:241679553-241679563(-) CAATAATGGTC >hg38_chr1:241692418-241692428(-) ttacaataccg >hg38_chr1:241692428-241692438(+) aaacaatggaa >hg38_chr1:241710308-241710318(-) GGACAATAATA >hg38_chr1:241710315-241710325(-) AGACAATGGAC >hg38_chr1:241750543-241750553(+) CTACAATGAAC >hg38_chr1:241750580-241750590(-) GAACAATGGCA >hg38_chr1:241750611-241750621(+) AGACAATGTCT >hg38_chr1:241774212-241774222(+) AGATAATGGGA >hg38_chr1:241778865-241778875(-) caacaatgtat >hg38_chr1:241795191-241795201(-) AAACAAAGGGA >hg38_chr1:241802176-241802186(+) aaactatggta >hg38_chr1:241802192-241802202(+) ggacaatggaa >hg38_chr1:241835749-241835759(+) gaacaatgtca >hg38_chr1:241836127-241836137(+) aaacaataaat >hg38_chr1:241936493-241936503(-) tcacaatagaa >hg38_chr1:241963830-241963840(-) ctataatggca >hg38_chr1:241963851-241963861(-) atataatggct >hg38_chr1:241972632-241972642(-) GCACAATGTCC >hg38_chr1:241972646-241972656(-) GCACAATGCAA >hg38_chr1:242021269-242021279(-) ggacaatagca >hg38_chr1:242025816-242025826(-) CCACAATGGAA >hg38_chr1:242053580-242053590(-) ggacaatgagg >hg38_chr1:242147372-242147382(-) atacaataaaa >hg38_chr1:242225138-242225148(+) gaacagtggtt >hg38_chr1:242225170-242225180(-) ataccatggaa >hg38_chr1:242244696-242244706(-) GAACAATGGTG >hg38_chr1:242489719-242489729(-) CCACAATGAAC >hg38_chr1:242505708-242505718(+) AGACAACGGCA >hg38_chr1:242593969-242593979(-) agacaaagggt >hg38_chr1:242621698-242621708(-) AAACAGTGGAC >hg38_chr1:242623269-242623279(-) GCACAATGAGA >hg38_chr1:242623336-242623346(+) GCACAATGCAG >hg38_chr1:242625735-242625745(-) GAACAATGAGA >hg38_chr1:242723265-242723275(-) GCACAATGGTC >hg38_chr1:242732376-242732386(+) gaacaatagat >hg38_chr1:242790699-242790709(+) CAACAATGACT >hg38_chr1:242829054-242829064(+) GAACAAAGGCA >hg38_chr1:243896532-243896542(-) acacaatgtgg >hg38_chr1:243947108-243947118(-) CAACAATGGCA >hg38_chr1:243994936-243994946(-) acacaatggaa >hg38_chr1:244011631-244011641(+) AAACAATGTTA >hg38_chr1:244012332-244012342(-) TGATAATGGAG >hg38_chr1:244021648-244021658(+) AGACAATAGTC >hg38_chr1:244042467-244042477(-) GCACAATAGGG >hg38_chr1:244053981-244053991(-) GAACAATGTCT >hg38_chr1:244054002-244054012(-) TAACAATGTCG >hg38_chr1:244057313-244057323(-) GAACAAAGGAA >hg38_chr1:244062776-244062786(-) TAACAATAGGA >hg38_chr1:244075753-244075763(+) AAACAATGAAG >hg38_chr1:244155470-244155480(+) ggacaatgccc >hg38_chr1:244214437-244214447(-) TAACAAAGGCC >hg38_chr1:244261465-244261475(+) atacaatataa >hg38_chr1:244329706-244329716(+) taacaatgatt >hg38_chr1:244339310-244339320(+) CTACAATGGTT >hg38_chr1:244341550-244341560(+) TAACAATGCCT >hg38_chr1:244341848-244341858(+) GGACAAAGGGC >hg38_chr1:244371894-244371904(-) TAACAATGGGG >hg38_chr1:244403359-244403369(+) acacaatgaca >hg38_chr1:244403405-244403415(-) caacaatgtat >hg38_chr1:244408477-244408487(+) ACACAATACGC >hg38_chr1:244414586-244414596(+) CCAcaataggg >hg38_chr1:244423370-244423380(+) ATACAATGTCA >hg38_chr1:244431357-244431367(-) AAACAAAGGAA >hg38_chr1:244447081-244447091(-) GAACAATAAAT >hg38_chr1:244447148-244447158(+) TTACAATAGTC >hg38_chr1:244472718-244472728(-) ctataatggta >hg38_chr1:244511533-244511543(-) gaacaaaggat >hg38_chr1:244511554-244511564(-) aaacaataaga >hg38_chr1:244615024-244615034(-) CAACAATGAGA >hg38_chr1:244615039-244615049(-) TAACAATGAGG >hg38_chr1:244736068-244736078(-) ttacaATAGGA >hg38_chr1:244789979-244789989(+) agacaatgatg >hg38_chr1:244807139-244807149(-) caacaatgagg >hg38_chr1:244836283-244836293(-) ggacaatagtg >hg38_chr1:244856978-244856988(-) CAATAATGGAC >hg38_chr1:244863083-244863093(-) GTACAATGCGG >hg38_chr1:244875141-244875151(-) AGACAATAGCT >hg38_chr1:244946591-244946601(+) GAACAATAATC >hg38_chr1:244947826-244947836(+) gtacaataact >hg38_chr1:244970852-244970862(+) TGATAATGGCG >hg38_chr1:244970861-244970871(-) GAACAACGACG >hg38_chr1:245154419-245154429(+) GAACAAAGGGC >hg38_chr1:245157335-245157345(-) ATACAATCGCA >hg38_chr1:245180906-245180916(-) ttacagtggac >hg38_chr1:245180972-245180982(-) GCACAATGTCC >hg38_chr1:245200837-245200847(+) tcacaatgtaT >hg38_chr1:245205459-245205469(+) GTACAATAATA >hg38_chr1:245217200-245217210(+) caacaatgaca >hg38_chr1:245246766-245246776(+) AGACAAAGGAA >hg38_chr1:245298891-245298901(+) AAACACTGGAC >hg38_chr1:245301360-245301370(-) GCACAATAGGA >hg38_chr1:245755116-245755126(+) GGACAATATAA >hg38_chr1:245757045-245757055(-) caacaatgtga >hg38_chr1:245757125-245757135(+) aaacaatagct >hg38_chr1:245801264-245801274(+) AAACAATAATA >hg38_chr1:245805766-245805776(-) GCACAATGCAT >hg38_chr1:245805774-245805784(-) GGATAATGGCA >hg38_chr1:245809531-245809541(-) ACACAAAGGAA >hg38_chr1:245823221-245823231(-) GAACAATGTAG >hg38_chr1:245839966-245839976(+) aaacaataagt >hg38_chr1:245840009-245840019(+) gcacaatgaag >hg38_chr1:245873253-245873263(+) ATATAATGGGG >hg38_chr1:245933838-245933848(-) AGATAATGGCT >hg38_chr1:245969324-245969334(+) TAACAATAATG >hg38_chr1:245972912-245972922(-) taacaaaggga >hg38_chr1:245973247-245973257(-) CAACAATGATG >hg38_chr1:246110326-246110336(-) taacaATGGGG >hg38_chr1:246126544-246126554(-) tcacaatgatg >hg38_chr1:246128441-246128451(+) gaacaatagca >hg38_chr1:246139018-246139028(+) TCACAATGCCT >hg38_chr1:246196418-246196428(-) TTACAGTGGAT >hg38_chr1:246262048-246262058(-) TAACAATAGGA >hg38_chr1:246274327-246274337(-) GAACAATAAAA >hg38_chr1:246297858-246297868(+) GGACAATGATC >hg38_chr1:246301690-246301700(-) atacaatATGC >hg38_chr1:246317591-246317601(-) gtacaatacgt >hg38_chr1:246317617-246317627(+) ctacaatggca >hg38_chr1:246320509-246320519(+) TAACAATGGCA >hg38_chr1:246336899-246336909(+) gcataatggct >hg38_chr1:246338556-246338566(+) TAACAATGATG >hg38_chr1:246338566-246338576(+) GTACAATAAGC >hg38_chr1:246338592-246338602(+) AGACAAAGGAA >hg38_chr1:246365159-246365169(+) ATACAATAAGT >hg38_chr1:246398607-246398617(+) gtacaatggta >hg38_chr1:246435059-246435069(+) aaacaataaaa >hg38_chr1:246464848-246464858(-) GAACAAAGGAA >hg38_chr1:246497484-246497494(-) GGACAATGGAG >hg38_chr1:246504810-246504820(+) tcacaatggac >hg38_chr1:246587713-246587723(+) CCACAATGAGG >hg38_chr1:246632827-246632837(-) AAACAATGACA >hg38_chr1:246640590-246640600(+) ATACAAAGGCT >hg38_chr1:246647184-246647194(+) ATACAGTGGAG >hg38_chr1:246650204-246650214(+) ACACAATGTCA >hg38_chr1:246650233-246650243(+) TAACAGTGGAA >hg38_chr1:246667263-246667273(-) ACACAAAGGGA >hg38_chr1:246674256-246674266(+) ttataatgggc >hg38_chr1:246734666-246734676(-) ACACAATCGAC >hg38_chr1:246800929-246800939(-) TAACAAAGGAG >hg38_chr1:246804011-246804021(+) TAACAATGACA >hg38_chr1:246824770-246824780(+) agataatggcc >hg38_chr1:246831727-246831737(-) gaacaatggtt >hg38_chr1:246844165-246844175(+) CTACAATATAA >hg38_chr1:246884611-246884621(-) GTACAGTGGTA >hg38_chr1:246887377-246887387(+) GGACAATAAAA >hg38_chr1:246887418-246887428(+) TTACAATAGGT >hg38_chr1:246896279-246896289(-) taataatggtg >hg38_chr1:246896870-246896880(+) ACATAATGGTC >hg38_chr1:246913663-246913673(-) acacaatgcct >hg38_chr1:246921959-246921969(+) taataatggct >hg38_chr1:247055804-247055814(+) aaacaaaggga >hg38_chr1:247122297-247122307(+) ACACAATGATT >hg38_chr1:247213355-247213365(-) agacaatgaaa >hg38_chr1:247213829-247213839(-) gaacaatgaag >hg38_chr1:247214253-247214263(-) atacaaaggtt >hg38_chr1:247276172-247276182(+) atacaatggag >hg38_chr1:247322891-247322901(-) AAACAAAGGCC >hg38_chr1:247325182-247325192(+) GAACAAAGGAC >hg38_chr1:247342651-247342661(+) TGACAATGTCC >hg38_chr1:247444741-247444751(+) CAACAATGACC >hg38_chr1:247468646-247468656(+) ATACAATGCTC >hg38_chr1:247468681-247468691(-) GGACAATAGTA >hg38_chr1:247468690-247468700(-) ATACAATAAGG >hg38_chr1:247473552-247473562(-) GGACAATAGTT >hg38_chr1:247524390-247524400(-) aaacaaaggag >hg38_chr1:247548400-247548410(-) TGACAATGGGG >hg38_chr1:247548435-247548445(+) GAACAATGGCT >hg38_chr1:247576743-247576753(+) AAACAATAGAA >hg38_chr1:247743340-247743350(+) ctataatggca >hg38_chr1:247965852-247965862(-) ATACAATGTAT >hg38_chr1:248619154-248619164(+) agacaatggag >hg38_chr1:248622696-248622706(-) TTACTATGGGA >hg38_chr1:248826652-248826662(-) ACACAATGCCA >hg38_chr1:248858557-248858567(+) GAACAAAGGCC >hg38_chr1:248874396-248874406(+) AAACAAAGGGC >hg38_chr1:248892935-248892945(-) tgacaatgcat >hg38_chr1:248906490-248906500(+) GAACAATGGGA >hg38_chr1:248928237-248928247(+) GGACAAAGGAG >hg38_chr1:248928299-248928309(+) AGACAATGCAC >hg38_chr10:171034-171044(+) agacaaaggac >hg38_chr10:191579-191589(+) TAACAATGCTG >hg38_chr10:241544-241554(-) AGACAAAGGCA >hg38_chr10:241601-241611(+) TGACAAAGGGA >hg38_chr10:269585-269595(-) AAACAAAGGGT >hg38_chr10:361649-361659(+) TTACAATGAGG >hg38_chr10:361679-361689(-) TTATAATGGTG >hg38_chr10:362050-362060(+) GAACAATGGTG >hg38_chr10:493475-493485(+) GGACAATGAAG >hg38_chr10:493492-493502(-) AAATAATGGAA >hg38_chr10:544303-544313(-) acacaatggag >hg38_chr10:573286-573296(+) CTACAATGTAA >hg38_chr10:576477-576487(+) AAACAATGCCC >hg38_chr10:580590-580600(-) ttacaatgtat >hg38_chr10:580607-580617(+) gtacaATGGCA >hg38_chr10:609927-609937(+) GCACAAAGGAA >hg38_chr10:680371-680381(+) CAACAATGGCA >hg38_chr10:708972-708982(-) ctacaatagac >hg38_chr10:784908-784918(-) TCACAAAGGAC >hg38_chr10:884536-884546(+) GTACAATGTTT >hg38_chr10:886259-886269(+) ccacaatgata >hg38_chr10:1035462-1035472(-) GGACAATAGCA >hg38_chr10:1035476-1035486(+) ACATAATGGTT >hg38_chr10:1064229-1064239(+) gaacaatagaa >hg38_chr10:1078909-1078919(-) taacaatggaa >hg38_chr10:1083116-1083126(+) TTACAATGTTA >hg38_chr10:1121169-1121179(+) CGACAATACTT >hg38_chr10:1140694-1140704(-) GTACAATGTTT >hg38_chr10:1230542-1230552(-) TTACAATAAGA >hg38_chr10:1289856-1289866(+) AAACAATAGCC >hg38_chr10:1336181-1336191(+) AAACAATGGGA >hg38_chr10:1369298-1369308(-) CAACAATAGCT >hg38_chr10:1380184-1380194(-) TCATAATGGCA >hg38_chr10:1381508-1381518(+) aaataatggaa >hg38_chr10:1421415-1421425(+) TAACAATGGGC >hg38_chr10:1443038-1443048(+) CTACAATGGGT >hg38_chr10:1483965-1483975(+) TAACAATGCAG >hg38_chr10:1608931-1608941(-) GCACAATAGGG >hg38_chr10:1670878-1670888(+) AGACAATGGGG >hg38_chr10:1681744-1681754(+) TCACAATGGGG >hg38_chr10:1716897-1716907(+) TAACAATAAAC >hg38_chr10:1754682-1754692(+) GAACAATAGGA >hg38_chr10:1774908-1774918(-) ACACAATGCAG >hg38_chr10:1964671-1964681(+) AGACAATGGAT >hg38_chr10:2025049-2025059(+) ACACAATATAC >hg38_chr10:2077371-2077381(+) AAACAAAGACG >hg38_chr10:2112010-2112020(-) aaacaatgcgg >hg38_chr10:2142038-2142048(-) TAACAAAGGCA >hg38_chr10:2142557-2142567(-) CCACAATGAAG >hg38_chr10:2278527-2278537(+) agacaatagat >hg38_chr10:2296319-2296329(-) acacaatggta >hg38_chr10:2312469-2312479(+) taacaatggaa >hg38_chr10:2326584-2326594(-) TTACAAAGGGC >hg38_chr10:2365677-2365687(-) atacaatgttt >hg38_chr10:2463633-2463643(-) AGACAACGGGA >hg38_chr10:2567916-2567926(+) ATACAATGTCA >hg38_chr10:2576824-2576834(-) AGACAATAAAA >hg38_chr10:2660082-2660092(+) TGACAATGTCC >hg38_chr10:2704796-2704806(+) GAACAATAGAC >hg38_chr10:2714829-2714839(+) TAACAATGACA >hg38_chr10:2720561-2720571(-) ccacaatagat >hg38_chr10:2738776-2738786(-) AGACAATGGGT >hg38_chr10:2918194-2918204(-) GAACAATGGAA >hg38_chr10:2945068-2945078(-) TGACAATGTCT >hg38_chr10:2964379-2964389(-) agacaatagat >hg38_chr10:2990891-2990901(+) ggacaatgtac >hg38_chr10:2990934-2990944(-) atacaaaggac >hg38_chr10:3005717-3005727(-) acacaatgcac >hg38_chr10:3024330-3024340(-) agacaatgcat >hg38_chr10:3024360-3024370(+) taacaatggta >hg38_chr10:3227931-3227941(-) CCACAATGGGT >hg38_chr10:3283241-3283251(+) ACACTATGGAT >hg38_chr10:3311290-3311300(-) aaacagtggaa >hg38_chr10:3312705-3312715(+) TAACAATAGAG >hg38_chr10:3347613-3347623(-) CGACAATAGCT >hg38_chr10:3414710-3414720(-) CAACAATGCCA >hg38_chr10:3452547-3452557(+) AGACAATGAAA >hg38_chr10:3472357-3472367(-) GCACAATGGGT >hg38_chr10:3476137-3476147(-) atacaatagtc >hg38_chr10:3496969-3496979(-) aaacaatggtc >hg38_chr10:3500650-3500660(-) GAACAAAGGGG >hg38_chr10:3502957-3502967(-) ATACAATGATT >hg38_chr10:3575847-3575857(+) TAACAATGTCC >hg38_chr10:3656472-3656482(-) GGACAATGACA >hg38_chr10:3662361-3662371(-) AAACAATGTGC >hg38_chr10:3662391-3662401(-) TAACAATATTA >hg38_chr10:3755603-3755613(-) GCACAATGAAT >hg38_chr10:3755656-3755666(+) AGACAATGTCC >hg38_chr10:3783028-3783038(+) CCACAATGAAC >hg38_chr10:3786776-3786786(-) ACACAACGGCT >hg38_chr10:3789830-3789840(-) gaacaatatat >hg38_chr10:3804723-3804733(-) GAACAATGCCT >hg38_chr10:3822756-3822766(+) TAACAAAGCGA >hg38_chr10:3829800-3829810(-) GCACAAAGGAA >hg38_chr10:3839911-3839921(+) gaacaatggcc >hg38_chr10:3877172-3877182(+) TTACAATGTAA >hg38_chr10:3888188-3888198(-) ACACAATGCCC >hg38_chr10:3888197-3888207(+) GTACAAAGGCA >hg38_chr10:3888228-3888238(-) GAACAATGTGT >hg38_chr10:3912141-3912151(-) GAACAATGGCA >hg38_chr10:3919953-3919963(-) TAACAATAGGT >hg38_chr10:3960037-3960047(-) TGACAATGGAT >hg38_chr10:3981599-3981609(+) GAACAATAGCA >hg38_chr10:3988271-3988281(+) ccacaatgacc >hg38_chr10:4057640-4057650(+) aaacaaaggaa >hg38_chr10:4057933-4057943(+) tcataatggca >hg38_chr10:4057949-4057959(+) gtacaataaga >hg38_chr10:4073203-4073213(-) GGACAAAGGAA >hg38_chr10:4108859-4108869(+) GGACAATGGGC >hg38_chr10:4108868-4108878(+) GCACAATGAGG >hg38_chr10:4123403-4123413(-) caacaatgttc >hg38_chr10:4128092-4128102(-) GCATAATGGCC >hg38_chr10:4144539-4144549(-) GGACAATGTTT >hg38_chr10:4296353-4296363(-) agacaaaggat >hg38_chr10:4335957-4335967(+) AAACAATGACC >hg38_chr10:4353191-4353201(+) ACACAATAGCC >hg38_chr10:4376919-4376929(+) TAACAATGACT >hg38_chr10:4383512-4383522(+) GAACAAAGGGA >hg38_chr10:4438885-4438895(+) aaacaaaggaa >hg38_chr10:4482846-4482856(-) GGACAATGCAT >hg38_chr10:4511871-4511881(+) TAACAATGAAC >hg38_chr10:4512091-4512101(+) AGACaataaat >hg38_chr10:4596156-4596166(-) AAACAATGGTA >hg38_chr10:4640566-4640576(-) ATACAGTGGGT >hg38_chr10:4640582-4640592(-) GGACAATAATA >hg38_chr10:4653308-4653318(+) ACACAATGCCG >hg38_chr10:4654287-4654297(+) TTACAATATAG >hg38_chr10:4656614-4656624(+) ttacaatGATA >hg38_chr10:4672442-4672452(-) TTACAATGAAA >hg38_chr10:4701264-4701274(+) agactatggaa >hg38_chr10:4702304-4702314(+) atacaatagaa >hg38_chr10:4704323-4704333(+) GTACACTGGGA >hg38_chr10:4749157-4749167(+) GGACAAAGGAA >hg38_chr10:4755623-4755633(+) gcacaaaggat >hg38_chr10:4764158-4764168(+) TTACAATGTGG >hg38_chr10:4764191-4764201(-) AAACAATGCGG >hg38_chr10:4764415-4764425(-) GCACAATAACG >hg38_chr10:4808361-4808371(-) AGACAATGGCA >hg38_chr10:4847891-4847901(-) GCACAATGGGT >hg38_chr10:4849950-4849960(+) AAACAATGGAT >hg38_chr10:4870852-4870862(-) aaataatggct >hg38_chr10:4945014-4945024(+) agacaatgggg >hg38_chr10:5037871-5037881(+) caacaatggaa >hg38_chr10:5071068-5071078(+) TCACAATGGAT >hg38_chr10:5077577-5077587(+) AGACAATGGGT >hg38_chr10:5080021-5080031(+) GGACAATGGCA >hg38_chr10:5094376-5094386(-) AAACAATGGGC >hg38_chr10:5163839-5163849(-) ggacaaaggca >hg38_chr10:5189646-5189656(+) AAACAATGGTG >hg38_chr10:5212193-5212203(-) caacaatgaat >hg38_chr10:5259023-5259033(-) gtacaatggaa >hg38_chr10:5278660-5278670(+) ctacaatggtt >hg38_chr10:5284746-5284756(+) AGACAATGGAG >hg38_chr10:5292007-5292017(-) TGACAATGGAT >hg38_chr10:5361126-5361136(+) aaacaataata >hg38_chr10:5397058-5397068(+) TAACAATGATA >hg38_chr10:5412374-5412384(+) GAACAATGAAG >hg38_chr10:5413219-5413229(+) CCACAATGGTT >hg38_chr10:5415305-5415315(-) gaacaatagct >hg38_chr10:5424947-5424957(-) GAACAATAGAT >hg38_chr10:5442328-5442338(-) GAACAATAACA >hg38_chr10:5447906-5447916(-) AAACAAAGGCC >hg38_chr10:5458307-5458317(+) TTATAATGGTG >hg38_chr10:5539107-5539117(+) GCACAATGCTG >hg38_chr10:5547024-5547034(+) GAACAATGCCC >hg38_chr10:5547507-5547517(-) GAACAATGCCT >hg38_chr10:5566159-5566169(+) GAACAATGGGG >hg38_chr10:5583820-5583830(+) AGACAATAGGT >hg38_chr10:5654458-5654468(+) AGACAATGGCC >hg38_chr10:5683214-5683224(+) acacaatgact >hg38_chr10:5693281-5693291(+) CTACAATAGCT >hg38_chr10:5694420-5694430(+) caacaatgaaa >hg38_chr10:5720638-5720648(+) AAACTATGGCA >hg38_chr10:5724238-5724248(-) AAACAATGCTC >hg38_chr10:5725092-5725102(+) atacactggac >hg38_chr10:5725099-5725109(+) ggacaaaggga >hg38_chr10:5734100-5734110(+) GTATAATGTAA >hg38_chr10:5738203-5738213(-) AGACAATGCCA >hg38_chr10:5774025-5774035(+) AGACAATATAC >hg38_chr10:5782375-5782385(+) acacaatgaca >hg38_chr10:5812466-5812476(+) AGACAAAGGAG >hg38_chr10:6091789-6091799(+) AAACAATAGGC >hg38_chr10:6157663-6157673(+) TGACAAAGGGA >hg38_chr10:6202669-6202679(+) GGACAACGGGA >hg38_chr10:6247042-6247052(-) ACATAATGGCC >hg38_chr10:6314510-6314520(-) ggactatggaa >hg38_chr10:6351932-6351942(-) TGACAATGGAA >hg38_chr10:6351960-6351970(-) AAACAATAAGA >hg38_chr10:6399047-6399057(+) ATACAATGATA >hg38_chr10:6399055-6399065(+) ATACAATGATA >hg38_chr10:6399063-6399073(+) ATACAATGGCT >hg38_chr10:6399379-6399389(-) GCACAAAGGAA >hg38_chr10:6523000-6523010(+) TCACAATGTGC >hg38_chr10:6575302-6575312(-) GGACAATGGAG >hg38_chr10:6678287-6678297(+) GAACAATGCTG >hg38_chr10:6682463-6682473(-) TAACAATGGAA >hg38_chr10:6786595-6786605(+) AGACAATAGGA >hg38_chr10:6972322-6972332(-) AAACAAAGGAA >hg38_chr10:6979482-6979492(-) aaacaatgact >hg38_chr10:6995612-6995622(-) tgacaatgtca >hg38_chr10:7026685-7026695(-) GAACAATGACA >hg38_chr10:7055252-7055262(-) aaacaatgttt >hg38_chr10:7085365-7085375(+) CTACAATGAAA >hg38_chr10:7090929-7090939(+) TAACAATAACA >hg38_chr10:7090935-7090945(+) TAACAATGATG >hg38_chr10:7205896-7205906(+) CAACAATGACC >hg38_chr10:7278047-7278057(-) TAACAATGACT >hg38_chr10:7278071-7278081(+) ACACAAAGGGT >hg38_chr10:7278110-7278120(-) AGACAATGCAC >hg38_chr10:7423659-7423669(-) AAACAATGGAT >hg38_chr10:7487841-7487851(+) GCACAATGGAA >hg38_chr10:7494295-7494305(+) gcacaatgtct >hg38_chr10:7525605-7525615(-) GGACAATGAGC >hg38_chr10:7525623-7525633(-) ACACAATGGCA >hg38_chr10:7635562-7635572(-) AAACAATGAGC >hg38_chr10:7635585-7635595(+) TAACAAAGGAC >hg38_chr10:7635610-7635620(+) TAACAAAGGAC >hg38_chr10:7668114-7668124(+) GGACAAAGGAT >hg38_chr10:7669024-7669034(-) AAATAATGGCC >hg38_chr10:7669062-7669072(-) AGACGATGGAG >hg38_chr10:7669354-7669364(-) TAACAATATAT >hg38_chr10:7700751-7700761(+) TCACAATGACA >hg38_chr10:7702915-7702925(+) GCACAATGAAT >hg38_chr10:7790878-7790888(+) ggacaatgGGG >hg38_chr10:7839623-7839633(+) GAACAAAGGCT >hg38_chr10:7850929-7850939(-) gaacaataaat >hg38_chr10:7951908-7951918(-) aaacaatagaa >hg38_chr10:8002619-8002629(-) gaacaatgaaa >hg38_chr10:8017462-8017472(+) ACACAAAGGAA >hg38_chr10:8035476-8035486(+) GAATAATGGTC >hg38_chr10:8046428-8046438(-) GGACAATAGGG >hg38_chr10:8050257-8050267(+) CAACAATGGCC >hg38_chr10:8289668-8289678(-) TGATAATGGTG >hg38_chr10:8299105-8299115(-) GCACAATACCG >hg38_chr10:8314249-8314259(+) AAACAAAGGGC >hg38_chr10:8314286-8314296(-) ATACAAAGGTT >hg38_chr10:8346983-8346993(+) TAACAATAGGT >hg38_chr10:8411136-8411146(+) GCACAATGGGA >hg38_chr10:8446248-8446258(-) TAACAATAGAT >hg38_chr10:8485096-8485106(+) TCACAAAGGCG >hg38_chr10:8501879-8501889(+) TAACAATGAAA >hg38_chr10:8503021-8503031(+) TTACAATGTGC >hg38_chr10:8510557-8510567(-) GAACAATGTGC >hg38_chr10:8516824-8516834(+) TTACAATGATA >hg38_chr10:8626984-8626994(-) TGataatggca >hg38_chr10:8628611-8628621(-) AAACAATGGCA >hg38_chr10:8840560-8840570(-) tcacaaaggta >hg38_chr10:8840586-8840596(-) taacaatggct >hg38_chr10:9174268-9174278(+) ccacaatgtga >hg38_chr10:9174324-9174334(-) acacaaaggat >hg38_chr10:9174516-9174526(+) GGACAATGCTC >hg38_chr10:9254092-9254102(+) AAACAAAGGCA >hg38_chr10:9255337-9255347(-) GTACAATATTC >hg38_chr10:9264905-9264915(+) CAACAATGCAC >hg38_chr10:9285119-9285129(+) GAACAATAAAA >hg38_chr10:9319955-9319965(+) TAACAATGATG >hg38_chr10:9320321-9320331(+) TGACAATGCCC >hg38_chr10:9327109-9327119(-) agacaatgagc >hg38_chr10:9342873-9342883(+) AAACAATAGCC >hg38_chr10:9376985-9376995(+) ggacaataaga >hg38_chr10:9415475-9415485(-) taacaaaggat >hg38_chr10:9451336-9451346(-) aaacaatagat >hg38_chr10:9499265-9499275(+) AAACAATGGGG >hg38_chr10:9510841-9510851(-) tgacaatggcc >hg38_chr10:9598421-9598431(+) TCACAATGAAA >hg38_chr10:9670663-9670673(-) ATACAATAGCG >hg38_chr10:9732458-9732468(-) GCACAATGTCC >hg38_chr10:9834167-9834177(+) AAACAATAGTT >hg38_chr10:9834189-9834199(-) GGACAATGCAC >hg38_chr10:9845200-9845210(-) taacaatagaa >hg38_chr10:9973752-9973762(+) taacaatagaa >hg38_chr10:9996032-9996042(+) gaacaaaggga >hg38_chr10:10021104-10021114(-) AGACAATGTTC >hg38_chr10:10111121-10111131(+) TCATAATGGCA >hg38_chr10:10111166-10111176(+) TGACAATGCTG >hg38_chr10:10111175-10111185(-) ACACAATGACA >hg38_chr10:10141977-10141987(-) tgacaatgcgc >hg38_chr10:10169663-10169673(-) ccacaatgcaa >hg38_chr10:10223783-10223793(-) ATACAATAGAA >hg38_chr10:10235279-10235289(-) GCACAATAGTA >hg38_chr10:10295116-10295126(+) ACACAATGGTG >hg38_chr10:10304811-10304821(-) GAACAATGGGC >hg38_chr10:10321209-10321219(+) acacaatggaa >hg38_chr10:10333270-10333280(-) TAACAATAGAG >hg38_chr10:10418575-10418585(-) ACACAATGCTG >hg38_chr10:10462721-10462731(+) GTATAATGAAA >hg38_chr10:10462769-10462779(+) TTATAATGGTT >hg38_chr10:10528399-10528409(-) CCACAATAGCA >hg38_chr10:10533739-10533749(+) aaacaataagt >hg38_chr10:10564235-10564245(+) TGACAATGGTT >hg38_chr10:10589316-10589326(+) agacaatagat >hg38_chr10:10623432-10623442(+) TAACAGTGGGA >hg38_chr10:10624925-10624935(+) TAACAATAAAA >hg38_chr10:10662954-10662964(-) GAACAGTGGAG >hg38_chr10:10662994-10663004(-) GAACAATAGCT >hg38_chr10:10677720-10677730(-) AAACAATAAAC >hg38_chr10:10687978-10687988(-) GAACAATGGTA >hg38_chr10:10715383-10715393(-) AAACAAAGGAA >hg38_chr10:10830862-10830872(+) TCACAGTGGTA >hg38_chr10:10846052-10846062(-) ctacaatgaaa >hg38_chr10:10871137-10871147(+) GGACACTGGTA >hg38_chr10:10936468-10936478(+) agacagtggaa >hg38_chr10:10960197-10960207(+) GAACAAAGGTG >hg38_chr10:10985526-10985536(-) AGACAATGTGT >hg38_chr10:11002728-11002738(+) acacaatagca >hg38_chr10:11006152-11006162(-) CTACAATGTCT >hg38_chr10:11006184-11006194(+) TGACAATGGGA >hg38_chr10:11006201-11006211(+) GAACAATGCAG >hg38_chr10:11024814-11024824(+) GGACAATGAAA >hg38_chr10:11031647-11031657(-) ATACAATAAGC >hg38_chr10:11035518-11035528(-) GAACAAAGGCA >hg38_chr10:11048953-11048963(-) AGACAAAGACG >hg38_chr10:11094531-11094541(+) CGACAATAAGG >hg38_chr10:11095595-11095605(+) TAACAATGAGT >hg38_chr10:11096039-11096049(-) GTACAAAGGCA >hg38_chr10:11148675-11148685(+) TAATAATGTAT >hg38_chr10:11148719-11148729(-) GAATAATGGTG >hg38_chr10:11152312-11152322(+) aaacaatgagg >hg38_chr10:11152341-11152351(-) gaacaatgcgt >hg38_chr10:11152351-11152361(-) acacaatgatg >hg38_chr10:11197312-11197322(-) TCACAATGGGA >hg38_chr10:11204864-11204874(-) CAACAATAGAG >hg38_chr10:11218378-11218388(-) ACACAAAGGTA >hg38_chr10:11330284-11330294(-) GCACAATGAAA >hg38_chr10:11336977-11336987(+) GAACAATAGCC >hg38_chr10:11350658-11350668(-) ggacaatggcg >hg38_chr10:11373900-11373910(-) gaacaaaggat >hg38_chr10:11373916-11373926(-) gaacaaaggag >hg38_chr10:11373932-11373942(-) gaacaaaggag >hg38_chr10:11374798-11374808(+) ttacaatggca >hg38_chr10:11414774-11414784(+) ttacaaaggca >hg38_chr10:11456661-11456671(+) atacaatggaa >hg38_chr10:11469692-11469702(+) AGACAATAAAC >hg38_chr10:11481458-11481468(-) TAACAATAAGC >hg38_chr10:11505915-11505925(-) AAACAAAGGAG >hg38_chr10:11552374-11552384(+) CTACAATGTTA >hg38_chr10:11611562-11611572(-) AAACAATGGGG >hg38_chr10:11647943-11647953(-) ATACAATGCAT >hg38_chr10:11757755-11757765(+) TGATAATGGGA >hg38_chr10:11757800-11757810(-) TAACAAAGGCA >hg38_chr10:11757904-11757914(-) AGACAACGGGC >hg38_chr10:11850304-11850314(+) ACACAATGTGG >hg38_chr10:11881594-11881604(-) GAACAAAGGAA >hg38_chr10:11881655-11881665(+) atacagtggtg >hg38_chr10:11901142-11901152(-) TAACAATAAAG >hg38_chr10:11936581-11936591(-) ACACAATGCCG >hg38_chr10:11945046-11945056(+) gaacaataaat >hg38_chr10:11948625-11948635(+) GAACAAAGGTA >hg38_chr10:12015346-12015356(+) AAACAATGTCA >hg38_chr10:12208082-12208092(-) GGACAATGCTG >hg38_chr10:12233142-12233152(-) TGATAATGGTG >hg38_chr10:12276543-12276553(-) TAACAATGCGA >hg38_chr10:12276566-12276576(-) TTACAATGCAG >hg38_chr10:12327781-12327791(-) aaacaataaac >hg38_chr10:12327847-12327857(+) caacaatgcct >hg38_chr10:12334260-12334270(+) GAACAATGCTG >hg38_chr10:12445758-12445768(-) TAACAATAAAA >hg38_chr10:12514100-12514110(+) GCATAATGGAT >hg38_chr10:12544264-12544274(+) GAATAATGGCT >hg38_chr10:12590810-12590820(+) gaacaatgaga >hg38_chr10:12704806-12704816(-) ATACAATAAGA >hg38_chr10:12708024-12708034(-) TGACAATGCTG >hg38_chr10:12708051-12708061(-) ATACAATGGGG >hg38_chr10:12720602-12720612(-) ggacaatgagt >hg38_chr10:12746881-12746891(+) CTACAATAGAT >hg38_chr10:12768824-12768834(+) gaacaatgaga >hg38_chr10:12799351-12799361(-) TGACAATGGCC >hg38_chr10:12834551-12834561(-) GTACAAAGGGT >hg38_chr10:12886051-12886061(+) gcacaatgttg >hg38_chr10:13124654-13124664(+) GCACAATACGG >hg38_chr10:13253352-13253362(+) acacaatcgtg >hg38_chr10:13327616-13327626(-) ttacaATGGCA >hg38_chr10:13329838-13329848(-) TAACAATGAGC >hg38_chr10:13351553-13351563(-) taacaaaggac >hg38_chr10:13497149-13497159(+) ACACAATGAGT >hg38_chr10:13497175-13497185(-) TGACAATAGGG >hg38_chr10:13518208-13518218(+) ccacaatgagc >hg38_chr10:13536870-13536880(+) GAACAATGGAG >hg38_chr10:13548171-13548181(+) CAACAATGAAT >hg38_chr10:13597996-13598006(-) TAACAATGCAA >hg38_chr10:13614231-13614241(-) ATACAATAGAA >hg38_chr10:13614520-13614530(+) gtacagtggaa >hg38_chr10:13663829-13663839(-) GGACAATGATG >hg38_chr10:13668337-13668347(+) TTACAATGGCC >hg38_chr10:13690453-13690463(-) GAACAAAGGGA >hg38_chr10:13690715-13690725(+) TGACAATGGGA >hg38_chr10:13733231-13733241(+) TTATAATGGTG >hg38_chr10:13733309-13733319(+) TAACAATGGCT >hg38_chr10:13735326-13735336(+) AGACAATGGCC >hg38_chr10:13736708-13736718(+) ACATAATGGCC >hg38_chr10:13759286-13759296(-) AAACAAAGGAT >hg38_chr10:13770090-13770100(+) ACACAATGCCC >hg38_chr10:13775335-13775345(-) caacaatggga >hg38_chr10:13787246-13787256(-) GAACAATGATA >hg38_chr10:13792052-13792062(-) ATACACTGGAT >hg38_chr10:13796126-13796136(+) ATACAAAGGCT >hg38_chr10:13816412-13816422(-) aaacaatgatg >hg38_chr10:13816617-13816627(-) GAACAATGTAG >hg38_chr10:13833696-13833706(+) gaacaatgcct >hg38_chr10:13834909-13834919(-) GGACAAAGGGA >hg38_chr10:13858617-13858627(+) taacaataggg >hg38_chr10:13871113-13871123(+) ACATAATGGAT >hg38_chr10:13892010-13892020(+) CAACAATGCGC >hg38_chr10:13892378-13892388(-) TGACAATAGCC >hg38_chr10:13892397-13892407(+) CGACAATGACT >hg38_chr10:13906547-13906557(-) TGATAATGGAA >hg38_chr10:13906598-13906608(+) ATACAATACCG >hg38_chr10:13979916-13979926(-) GTACAATGGCC >hg38_chr10:13990664-13990674(+) GAACAATGGGA >hg38_chr10:13991149-13991159(-) TAACAATAGCT >hg38_chr10:13993200-13993210(+) ggacaatggag >hg38_chr10:14009394-14009404(-) TTACAAAGGAA >hg38_chr10:14010506-14010516(+) AGATAATGGAG >hg38_chr10:14020347-14020357(-) TTACAAAGGGC >hg38_chr10:14054256-14054266(-) ATACAAAGGCC >hg38_chr10:14089410-14089420(-) TGACAATGCAG >hg38_chr10:14116301-14116311(-) GAACAATAAAC >hg38_chr10:14144551-14144561(+) TGACAATAGGG >hg38_chr10:14144612-14144622(-) AGACAATGATC >hg38_chr10:14169998-14170008(+) ACACAGTGGAT >hg38_chr10:14173791-14173801(-) GGACAATGGGA >hg38_chr10:14185342-14185352(-) TCACTATGGAT >hg38_chr10:14259788-14259798(-) TGACAATGAGC >hg38_chr10:14271674-14271684(+) TTACAATGCTG >hg38_chr10:14290448-14290458(+) tgacaatgatg >hg38_chr10:14295582-14295592(-) AGACAATGCCC >hg38_chr10:14349331-14349341(+) CCACAATGGCT >hg38_chr10:14356243-14356253(-) TAACAATAACA >hg38_chr10:14382180-14382190(+) AAACAATAGAA >hg38_chr10:14382191-14382201(+) AAACAATAAGT >hg38_chr10:14392738-14392748(-) TAACAATGGCA >hg38_chr10:14433256-14433266(-) gcacaatgaag >hg38_chr10:14459842-14459852(+) CAACAATGAAA >hg38_chr10:14481902-14481912(-) ACACAATAGTT >hg38_chr10:14493526-14493536(-) gaacaatggaa >hg38_chr10:14502272-14502282(+) TGACTATGGAA >hg38_chr10:14560502-14560512(+) AAACAATGGAG >hg38_chr10:14578802-14578812(-) CAACAATGATT >hg38_chr10:14578818-14578828(+) AAACAATGACG >hg38_chr10:14625414-14625424(+) GAACAATGAGC >hg38_chr10:14625451-14625461(-) TTACAAAGGCT >hg38_chr10:14648957-14648967(+) AAATAATGGTA >hg38_chr10:14648968-14648978(+) ACACAATGGCA >hg38_chr10:14722347-14722357(-) ctacaataggt >hg38_chr10:14722398-14722408(-) atacaatggaa >hg38_chr10:14732961-14732971(-) atacaatataa >hg38_chr10:14732980-14732990(+) atacaatatat >hg38_chr10:14788621-14788631(-) TGACAATGCAC >hg38_chr10:14823088-14823098(+) GCACAAAGGTC >hg38_chr10:14823123-14823133(+) TAACAATAGCT >hg38_chr10:14873522-14873532(-) tcacaatgctt >hg38_chr10:14916120-14916130(-) gtataatgata >hg38_chr10:14953192-14953202(+) gcacaatagaa >hg38_chr10:14953211-14953221(+) gaacaatggtt >hg38_chr10:15043811-15043821(-) ACACAATGTAC >hg38_chr10:15043865-15043875(-) GAACAATGAAA >hg38_chr10:15044979-15044989(-) agataatggct >hg38_chr10:15084492-15084502(+) aaacaatgaag >hg38_chr10:15097392-15097402(-) TCACGATGGCG >hg38_chr10:15106531-15106541(-) AGATAATGGAA >hg38_chr10:15115408-15115418(-) ttacaatatat >hg38_chr10:15138424-15138434(-) CTACTATGGAA >hg38_chr10:15166611-15166621(+) GTACAATACAT >hg38_chr10:15166620-15166630(-) ACATAATGGAT >hg38_chr10:15168525-15168535(-) GGACAATGAGG >hg38_chr10:15170153-15170163(+) TAACAACGGCA >hg38_chr10:15203079-15203089(-) gaacaatggct >hg38_chr10:15255072-15255082(-) TGACAATGGGC >hg38_chr10:15344941-15344951(+) GAACAAAGGAC >hg38_chr10:15344987-15344997(+) GAACAAAGGAA >hg38_chr10:15405401-15405411(+) acacaatagca >hg38_chr10:15416110-15416120(+) acacaaaggaa >hg38_chr10:15432584-15432594(+) GGACAAAGGTC >hg38_chr10:15432603-15432613(+) AAACAATGAGA >hg38_chr10:15473262-15473272(-) CTACAATGAAA >hg38_chr10:15594854-15594864(-) ATACAATAAGG >hg38_chr10:15703201-15703211(-) ATACAATAGGC >hg38_chr10:15714209-15714219(-) AGACAATGAAA >hg38_chr10:15716420-15716430(-) AGACAATGTCC >hg38_chr10:15809518-15809528(-) GAACAAAGGTC >hg38_chr10:15809561-15809571(-) GTACGATGGAG >hg38_chr10:15822024-15822034(-) CAACAATGGCA >hg38_chr10:15831186-15831196(+) ttacaatgtgc >hg38_chr10:15835274-15835284(-) TTACAATAAGT >hg38_chr10:15835320-15835330(-) GAACAATGAAT >hg38_chr10:15835346-15835356(-) AAACAATGGCT >hg38_chr10:15879185-15879195(-) ATACAATGGCA >hg38_chr10:15902132-15902142(+) AGACAATAGGG >hg38_chr10:15926930-15926940(-) tgacaatagag >hg38_chr10:16196030-16196040(+) agacaatggat >hg38_chr10:16215761-16215771(-) atacaatggtg >hg38_chr10:16223597-16223607(-) tcacaatagca >hg38_chr10:16223831-16223841(-) ccacaatgaga >hg38_chr10:16273037-16273047(+) TTACAATGGAG >hg38_chr10:16386969-16386979(-) TAACAATGGGC >hg38_chr10:16398514-16398524(+) ctataatggca >hg38_chr10:16408146-16408156(-) ATACAATAATT >hg38_chr10:16683049-16683059(+) TAACAATAACA >hg38_chr10:16683077-16683087(+) TTACAATGAAA >hg38_chr10:16683085-16683095(+) AAACAGTGGTA >hg38_chr10:16744364-16744374(+) GAACAGTGGTT >hg38_chr10:16843429-16843439(-) TTACAATGACT >hg38_chr10:16853449-16853459(+) GAACAATGGTG >hg38_chr10:16859808-16859818(-) taataatgtaa >hg38_chr10:16867789-16867799(+) TCACAATAGGT >hg38_chr10:16901284-16901294(-) CCACAATAGCC >hg38_chr10:16901295-16901305(-) AGACAATAGAA >hg38_chr10:16929238-16929248(+) ACACAAAGGAC >hg38_chr10:16939814-16939824(+) GAACAATAGAA >hg38_chr10:16963747-16963757(+) ttacaatgcag >hg38_chr10:16995997-16996007(-) tcacaataggg >hg38_chr10:17003911-17003921(-) AGACAATGGAG >hg38_chr10:17007235-17007245(+) GGACAATGCTG >hg38_chr10:17066147-17066157(+) ctacaatcgta >hg38_chr10:17066173-17066183(-) GGacaatagat >hg38_chr10:17066903-17066913(+) aaacaatggct >hg38_chr10:17066918-17066928(+) aaacactggat >hg38_chr10:17135004-17135014(+) GAACAATGGAG >hg38_chr10:17138618-17138628(+) TTACAATGACT >hg38_chr10:17147537-17147547(-) gcataatggat >hg38_chr10:17151473-17151483(+) aaacaatgccc >hg38_chr10:17198369-17198379(+) tcacaatggtc >hg38_chr10:17198445-17198455(+) atacaatggaa >hg38_chr10:17201817-17201827(+) GAACAAAGGAC >hg38_chr10:17230387-17230397(+) AGACAAAGGGA >hg38_chr10:17244542-17244552(+) ttacaatggtt >hg38_chr10:17244569-17244579(+) ttacaatggtg >hg38_chr10:17245052-17245062(-) atataatggta >hg38_chr10:17257860-17257870(-) CCACAATGGCC >hg38_chr10:17302864-17302874(-) AGACAATGCAT >hg38_chr10:17302901-17302911(-) GGACAATGGTT >hg38_chr10:17348651-17348661(-) GAACAATGCCT >hg38_chr10:17377536-17377546(-) ggacaatgtct >hg38_chr10:17383506-17383516(-) acacaatgatt >hg38_chr10:17405998-17406008(+) agacaatgcat >hg38_chr10:17412557-17412567(-) AAACAATGCAT >hg38_chr10:17417631-17417641(+) acacaatgaat >hg38_chr10:17476647-17476657(-) aaacaatggaa >hg38_chr10:17476946-17476956(-) gaacaaaggac >hg38_chr10:17572592-17572602(-) GAATAATGGAG >hg38_chr10:17596878-17596888(+) TAACAATAGGC >hg38_chr10:17597688-17597698(-) TGACAATGTTC >hg38_chr10:17598584-17598594(-) TAACAATGACA >hg38_chr10:17606795-17606805(+) taacaatgaCA >hg38_chr10:17606801-17606811(+) tgaCAATAATA >hg38_chr10:17607626-17607636(-) ATACAATGCTG >hg38_chr10:17624670-17624680(-) GTACAATGAAA >hg38_chr10:17650242-17650252(+) acacaatgtat >hg38_chr10:17663656-17663666(-) ACACAATAAAT >hg38_chr10:17665978-17665988(+) TCACAATGATA >hg38_chr10:17671497-17671507(+) TCACAATGCTT >hg38_chr10:17695069-17695079(+) GAACAAAGGCA >hg38_chr10:17695328-17695338(+) ATACAATAATA >hg38_chr10:17701015-17701025(-) TGACAATGACA >hg38_chr10:17701032-17701042(+) AAACAATGTAT >hg38_chr10:17701407-17701417(-) ATACAATGTGA >hg38_chr10:17787831-17787841(-) GCATAATGGAT >hg38_chr10:17872628-17872638(+) AAACAATACGC >hg38_chr10:17872647-17872657(-) AGATAATGGCT >hg38_chr10:17972622-17972632(-) acacaatagat >hg38_chr10:17980926-17980936(-) ATACAATAAAC >hg38_chr10:18009243-18009253(+) CTACAATGGGG >hg38_chr10:18016039-18016049(-) tcacaatgtgt >hg38_chr10:18016056-18016066(-) aaactatggac >hg38_chr10:18021267-18021277(-) ttacaatgatc >hg38_chr10:18136194-18136204(-) CAATAATGGAT >hg38_chr10:18136464-18136474(-) ATACAATGTAC >hg38_chr10:18219295-18219305(-) GAACAATGACT >hg38_chr10:18231803-18231813(-) GAACAATGAGT >hg38_chr10:18351556-18351566(-) TAACAATAGAA >hg38_chr10:18498129-18498139(+) GAACAATGGCC >hg38_chr10:18592196-18592206(-) gaacaatggtt >hg38_chr10:18619145-18619155(+) taacaatgcca >hg38_chr10:18624196-18624206(+) GGACAATAAAA >hg38_chr10:18659441-18659451(+) AAACAAAGGGC >hg38_chr10:18667164-18667174(-) GGATAATGGAA >hg38_chr10:18691271-18691281(-) ctacaatgtca >hg38_chr10:18691280-18691290(-) ttacgatggct >hg38_chr10:18691303-18691313(-) caacaatgctt >hg38_chr10:18772895-18772905(-) TCACAATGTAG >hg38_chr10:18809404-18809414(+) AGATAATGGAA >hg38_chr10:19022855-19022865(-) gaacaatagct >hg38_chr10:19049058-19049068(+) TGACAATGGAG >hg38_chr10:19244960-19244970(+) TCACAATAGTA >hg38_chr10:19302192-19302202(-) agacaatgttc >hg38_chr10:19432194-19432204(-) AGACAATAGCC >hg38_chr10:19432210-19432220(+) AGACAATGCTT >hg38_chr10:19495715-19495725(-) tgacaatgcat >hg38_chr10:19543689-19543699(+) taacaatgcat >hg38_chr10:19557654-19557664(-) agacaatgtag >hg38_chr10:19589443-19589453(+) aaacAATGGCA >hg38_chr10:19622625-19622635(+) gaacaatagct >hg38_chr10:19724452-19724462(-) GCACAATGTTC >hg38_chr10:19724516-19724526(-) AAACAAAGGTG >hg38_chr10:19757028-19757038(-) CTACAATAGTA >hg38_chr10:19757094-19757104(+) AGACAATGAGC >hg38_chr10:19764250-19764260(+) ttataatggct >hg38_chr10:19817814-19817824(-) GGACAATGGGA >hg38_chr10:19828646-19828656(-) AGACAATGAGA >hg38_chr10:19828826-19828836(-) gaacaatagaa >hg38_chr10:19843576-19843586(+) acacaatagtt >hg38_chr10:19898251-19898261(-) acacaatatac >hg38_chr10:19917962-19917972(-) atacagtgggt >hg38_chr10:19988113-19988123(-) CAACAATGGTG >hg38_chr10:20043211-20043221(+) AAACAATAAAT >hg38_chr10:20191196-20191206(+) GAACAAAGGTC >hg38_chr10:20257671-20257681(+) TAACAATGATT >hg38_chr10:20299142-20299152(-) CCACAATGAAT >hg38_chr10:20332556-20332566(+) TAACAATATAC >hg38_chr10:20334824-20334834(+) ACACAAAGGGA >hg38_chr10:20363784-20363794(-) gcacaaTGGAt >hg38_chr10:20372904-20372914(-) tgacaatgacc >hg38_chr10:20432245-20432255(-) agacaatggtc >hg38_chr10:20432262-20432272(+) gaacaatggaa >hg38_chr10:20458288-20458298(-) TGACAATGAGC >hg38_chr10:20535993-20536003(+) AAACAATATAC >hg38_chr10:20575773-20575783(-) TGACAATGGTT >hg38_chr10:20597168-20597178(+) TGACAATGCTA >hg38_chr10:20604429-20604439(-) gaacaataggc >hg38_chr10:20677570-20677580(-) agacaATAACA >hg38_chr10:20685158-20685168(-) AAACAATGGAG >hg38_chr10:20709948-20709958(-) GAACAATGACA >hg38_chr10:20781225-20781235(-) TTACAATGTTA >hg38_chr10:20790718-20790728(-) TAACAATAGAA >hg38_chr10:20954518-20954528(-) ggacaaaggac >hg38_chr10:20962041-20962051(+) caacaatggct >hg38_chr10:20997202-20997212(-) GAACAAAGgat >hg38_chr10:20997223-20997233(+) TTACAATGGTG >hg38_chr10:20997243-20997253(+) AGATAATGGGA >hg38_chr10:21058160-21058170(-) GAACAATGGCA >hg38_chr10:21100388-21100398(-) TGACAATGGAG >hg38_chr10:21101178-21101188(+) GAACAATCGGG >hg38_chr10:21115568-21115578(-) agacaatggag >hg38_chr10:21115585-21115595(-) aaacaatgcaa >hg38_chr10:21115619-21115629(-) gaacaaaggtg >hg38_chr10:21120894-21120904(-) ACACAATAGAA >hg38_chr10:21128968-21128978(+) AAACAATGACA >hg38_chr10:21132647-21132657(-) ggacaataaca >hg38_chr10:21132696-21132706(-) ccacaatgaga >hg38_chr10:21144159-21144169(-) AGACAAAGGAG >hg38_chr10:21165008-21165018(+) TAACAATGTGA >hg38_chr10:21170296-21170306(-) TAACAATGCCT >hg38_chr10:21172386-21172396(+) TTACAATAGGG >hg38_chr10:21172449-21172459(+) TCACAATGGAA >hg38_chr10:21184453-21184463(+) ACACAATGTGC >hg38_chr10:21191648-21191658(-) ACATAATGGAA >hg38_chr10:21191687-21191697(+) TAACAATAGGT >hg38_chr10:21262186-21262196(+) TCACTATGGAA >hg38_chr10:21278917-21278927(-) ATACAATACAA >hg38_chr10:21282156-21282166(+) CAACAATGGAA >hg38_chr10:21357598-21357608(-) GAATAATGGAT >hg38_chr10:21364666-21364676(+) taacaatgact >hg38_chr10:21399755-21399765(-) CCACAATAGCT >hg38_chr10:21428043-21428053(-) atacagtggaa >hg38_chr10:21445399-21445409(+) ACACAATAAAA >hg38_chr10:21496156-21496166(-) GAACAAAGGGT >hg38_chr10:21499195-21499205(-) GAACAATGGCA >hg38_chr10:21499241-21499251(+) TAACAATACCG >hg38_chr10:21509223-21509233(+) CAACAATGGGG >hg38_chr10:21512873-21512883(+) TTACAATAAGA >hg38_chr10:21513004-21513014(+) AAACAATAGAC >hg38_chr10:21514295-21514305(+) GGACAATAGTA >hg38_chr10:21516058-21516068(-) AAACAATGCAT >hg38_chr10:21518186-21518196(+) TGATAATGGAA >hg38_chr10:21520206-21520216(+) GAACAATGCCT >hg38_chr10:21522394-21522404(+) CAACAATGTAA >hg38_chr10:21524812-21524822(+) AAACAATGAAA >hg38_chr10:21524823-21524833(+) GAACAAAGGGA >hg38_chr10:21525412-21525422(-) ATATAATGCCG >hg38_chr10:21527346-21527356(-) GAACAATGGGG >hg38_chr10:21531020-21531030(-) CTACAATGGGG >hg38_chr10:21531047-21531057(+) TGACAATGGGT >hg38_chr10:21531056-21531066(+) GTACAATAGAC >hg38_chr10:21532683-21532693(-) AAACAATGAGA >hg38_chr10:21532987-21532997(+) TTATAATGCGA >hg38_chr10:21559810-21559820(-) taacaatggat >hg38_chr10:21578744-21578754(-) gtacaatatta >hg38_chr10:21609919-21609929(-) aaataatggag >hg38_chr10:21637995-21638005(-) ggacaaaggga >hg38_chr10:21643484-21643494(-) gaacaatgaac >hg38_chr10:21669717-21669727(-) AAATAATGGTG >hg38_chr10:21682250-21682260(+) ACACAACGGTA >hg38_chr10:21693679-21693689(-) atataatggct >hg38_chr10:21715190-21715200(+) GCACAATGTTA >hg38_chr10:21716084-21716094(+) AGACAATAGTA >hg38_chr10:21720208-21720218(+) AAACAATGGTT >hg38_chr10:21727869-21727879(+) AGACAATAGCC >hg38_chr10:21731412-21731422(-) AGACAATGCTA >hg38_chr10:21731461-21731471(-) GTACAGTGGAG >hg38_chr10:21733878-21733888(+) GGTCAATGGCG >hg38_chr10:21914473-21914483(+) TAACAATGTAC >hg38_chr10:21922145-21922155(+) GAATAATAGCG >hg38_chr10:21953708-21953718(-) GTACAAAGGAA >hg38_chr10:21964755-21964765(-) acacaatgaag >hg38_chr10:21975556-21975566(+) AGACAATAGAA >hg38_chr10:21978484-21978494(+) AGACAATGCTA >hg38_chr10:21988202-21988212(-) ACACAATAGAA >hg38_chr10:21988666-21988676(-) GGACAATGAGG >hg38_chr10:21996602-21996612(-) AGACAATAGCC >hg38_chr10:21996627-21996637(+) ATACAATATTC >hg38_chr10:22011442-22011452(-) AAACAAAGGCT >hg38_chr10:22055248-22055258(-) Gcacaatggat >hg38_chr10:22082211-22082221(+) atacaatggaa >hg38_chr10:22217554-22217564(-) TAACAATGCAG >hg38_chr10:22230560-22230570(+) AAACAATCGCT >hg38_chr10:22279403-22279413(+) AAACAATGCCA >hg38_chr10:22303323-22303333(-) ATACAATAGAC >hg38_chr10:22316733-22316743(-) AAACAATGCTC >hg38_chr10:22317922-22317932(-) TGACAATGTTT >hg38_chr10:22324883-22324893(-) TCACAATGGGG >hg38_chr10:22332484-22332494(-) ATACAATGAGT >hg38_chr10:22332519-22332529(+) AAACAATAGGA >hg38_chr10:22336204-22336214(+) GAACAAAGGGC >hg38_chr10:22336833-22336843(+) AGACAATAGGA >hg38_chr10:22350328-22350338(-) GAACAGTGGTT >hg38_chr10:22366135-22366145(+) ccacaatagcc >hg38_chr10:22366208-22366218(+) atacaatggat >hg38_chr10:22384093-22384103(+) gaacaatgtca >hg38_chr10:22384164-22384174(-) ctataatggaa >hg38_chr10:22396647-22396657(-) ATACTATGGGA >hg38_chr10:22399500-22399510(-) tgacaatgaaa >hg38_chr10:22429784-22429794(-) agacaacggga >hg38_chr10:22437340-22437350(-) AGACAATAGCG >hg38_chr10:22439352-22439362(-) gaacaatgcct >hg38_chr10:22475830-22475840(-) CGACACTGGAC >hg38_chr10:22483489-22483499(+) TCACAATGGTT >hg38_chr10:22501613-22501623(+) GGACAATGGGC >hg38_chr10:22533705-22533715(+) gcacaatggaa >hg38_chr10:22535019-22535029(-) TAACAATACAC >hg38_chr10:22550910-22550920(+) AAACAATAAAA >hg38_chr10:22592728-22592738(+) TGACAATGGCC >hg38_chr10:22609149-22609159(+) tcataaTGACG >hg38_chr10:22625988-22625998(+) ACACAATGGAC >hg38_chr10:22626449-22626459(-) ATACAATAGCT >hg38_chr10:22627683-22627693(-) GCACAATGTGT >hg38_chr10:22668361-22668371(+) AGACAATGGGC >hg38_chr10:22672134-22672144(+) GTACAATAGGC >hg38_chr10:22672145-22672155(-) TAACAATAACT >hg38_chr10:22673851-22673861(+) atacactggat >hg38_chr10:22679701-22679711(+) acacaatagaa >hg38_chr10:22711171-22711181(+) ACACAATGGCA >hg38_chr10:22724585-22724595(+) agacaatagag >hg38_chr10:22781990-22782000(-) ctacaatggct >hg38_chr10:22782017-22782027(-) ccacaatgggc >hg38_chr10:22792403-22792413(+) gtagaatggta >hg38_chr10:22823250-22823260(-) acacaatgaga >hg38_chr10:22833980-22833990(+) AAACAATGGAA >hg38_chr10:22836179-22836189(-) GGACAATGAAC >hg38_chr10:22836212-22836222(+) TAACAATGGAA >hg38_chr10:22836228-22836238(+) TTACAATGGGA >hg38_chr10:22849652-22849662(+) GCACAATGCAG >hg38_chr10:22874459-22874469(-) taacaaaggca >hg38_chr10:22876411-22876421(+) TAACAATGAAT >hg38_chr10:22876457-22876467(+) CGACAATGCTT >hg38_chr10:22927957-22927967(+) GGACAATGGGC >hg38_chr10:22930511-22930521(-) ACACAATAGCC >hg38_chr10:22945034-22945044(+) AGACAATGCCG >hg38_chr10:22981626-22981636(+) TGACAATGAAG >hg38_chr10:22988976-22988986(-) AGACAATAGCT >hg38_chr10:22989028-22989038(+) TAACAATGCAA >hg38_chr10:23013823-23013833(+) gaacaatgagc >hg38_chr10:23022319-23022329(-) tgacaaaggta >hg38_chr10:23053171-23053181(-) AAACAATGGCT >hg38_chr10:23096801-23096811(-) atacaatgctt >hg38_chr10:23158279-23158289(+) GCACTATGGAA >hg38_chr10:23173020-23173030(-) TCACAATGGGC >hg38_chr10:23204912-23204922(+) GAACAAAGGCC >hg38_chr10:23205331-23205341(-) AAACAATGCCC >hg38_chr10:23206566-23206576(-) TAACAATGGAA >hg38_chr10:23276444-23276454(-) TGACAATGGGA >hg38_chr10:23293404-23293414(+) GAACAAAGGGA >hg38_chr10:23294498-23294508(+) CTACAATGGTA >hg38_chr10:23326332-23326342(+) taataatggtt >hg38_chr10:23339218-23339228(+) aaacaaaggca >hg38_chr10:23377759-23377769(+) TAACAATGAAA >hg38_chr10:23379861-23379871(+) caacaatgagg >hg38_chr10:23404621-23404631(+) TTACAATAGAA >hg38_chr10:23410246-23410256(+) TCACAATAGAT >hg38_chr10:23418439-23418449(+) aaacaaaggca >hg38_chr10:23432968-23432978(-) ACACAATGGCA >hg38_chr10:23442116-23442126(+) GTACAATAGAG >hg38_chr10:23442174-23442184(+) TTACAATGCCT >hg38_chr10:23459524-23459534(+) ttacagtggga >hg38_chr10:23461760-23461770(+) tgacaatagcc >hg38_chr10:23466978-23466988(+) ACACAATGTGA >hg38_chr10:23481517-23481527(-) gcacaatgttg >hg38_chr10:23483734-23483744(-) acacaatgcct >hg38_chr10:23535023-23535033(-) TAACAATGGAG >hg38_chr10:23543893-23543903(-) agacaatggta >hg38_chr10:23912913-23912923(-) TTACAAAGGAC >hg38_chr10:23928143-23928153(-) GCACAATGTCT >hg38_chr10:23988641-23988651(-) TAACAATGAGG >hg38_chr10:24021821-24021831(+) tgacaaaggaa >hg38_chr10:24031193-24031203(-) aaacaatagaa >hg38_chr10:24082201-24082211(-) GAACAAAGGCC >hg38_chr10:24096468-24096478(+) acacaataaat >hg38_chr10:24153680-24153690(-) TAACAATGCAT >hg38_chr10:24170359-24170369(-) AAACAACGGAG >hg38_chr10:24238903-24238913(-) GAACGATGGCT >hg38_chr10:24240262-24240272(-) AAACAATAGGC >hg38_chr10:24255234-24255244(-) TAACAATGAGG >hg38_chr10:24287797-24287807(-) GTACAATGTAA >hg38_chr10:24306918-24306928(-) GAACAATAGAC >hg38_chr10:24307855-24307865(-) CCACAATGAAT >hg38_chr10:24307922-24307932(+) AAACAAAGGAA >hg38_chr10:24324871-24324881(+) TAACAATGCTC >hg38_chr10:24346981-24346991(-) TAACAATAGTA >hg38_chr10:24357583-24357593(-) TTACAAAGGCT >hg38_chr10:24363237-24363247(-) ACACAATGAGC >hg38_chr10:24383334-24383344(+) GGATAATGGAT >hg38_chr10:24438356-24438366(-) TAACTATGGCA >hg38_chr10:24467461-24467471(-) ATATAATGGCA >hg38_chr10:24493467-24493477(-) CAACAATACGA >hg38_chr10:24493482-24493492(+) TAACAATGTGT >hg38_chr10:24493521-24493531(-) AGACAATGGAC >hg38_chr10:24505929-24505939(+) TAACAATGGTC >hg38_chr10:24551937-24551947(-) agacaatagat >hg38_chr10:24589056-24589066(+) GCACAATGAGA >hg38_chr10:24589417-24589427(+) AAACAATAGAA >hg38_chr10:24589427-24589437(+) ACACAGTGGAT >hg38_chr10:24590555-24590565(+) AGACAAAGGGA >hg38_chr10:24590566-24590576(-) ACACAATGTTC >hg38_chr10:24595456-24595466(-) GTACAATGAGT >hg38_chr10:24595495-24595505(+) AAACAATAATA >hg38_chr10:24605283-24605293(+) gaacaatggaa >hg38_chr10:24634810-24634820(-) TAACAATATAA >hg38_chr10:24666799-24666809(+) AGACAATGGAA >hg38_chr10:24679631-24679641(-) aaacaatagtg >hg38_chr10:24679647-24679657(-) tcacaatggct >hg38_chr10:24679663-24679673(+) ggataatggta >hg38_chr10:24681179-24681189(+) GAACAatggaa >hg38_chr10:24691813-24691823(+) GAACAATGAGA >hg38_chr10:24705841-24705851(-) GCATAATGGCT >hg38_chr10:24705859-24705869(+) GTACAATATTA >hg38_chr10:24720332-24720342(+) AGACAATGACA >hg38_chr10:24725043-24725053(-) ACACAATAGCT >hg38_chr10:24759789-24759799(-) ggataatggcc >hg38_chr10:24812092-24812102(+) ACACAATGGCT >hg38_chr10:24812151-24812161(+) CAACAATGAGC >hg38_chr10:24824697-24824707(+) AGATAATGGTG >hg38_chr10:24849254-24849264(-) GTACAATGAAT >hg38_chr10:24850223-24850233(+) TAACAGTGGCA >hg38_chr10:24851055-24851065(-) ATACACTGGTT >hg38_chr10:24851074-24851084(-) TAACAATAGCC >hg38_chr10:24851131-24851141(-) GAACTATGGTT >hg38_chr10:24866616-24866626(-) ACACAATGTAC >hg38_chr10:24869282-24869292(-) CTACAATGACT >hg38_chr10:24883279-24883289(+) TGACAATGATT >hg38_chr10:24884503-24884513(+) TAACAATGGGC >hg38_chr10:24953059-24953069(-) GGACAATCGCG >hg38_chr10:24958348-24958358(-) GCACTATGGAA >hg38_chr10:24981589-24981599(+) GGACTATGGAA >hg38_chr10:24982150-24982160(+) atacaatatga >hg38_chr10:25022516-25022526(+) TAACAATGATT >hg38_chr10:25041261-25041271(-) aaacaatgatc >hg38_chr10:25043736-25043746(-) gaacaatgcca >hg38_chr10:25068324-25068334(+) AGACAAAGGGA >hg38_chr10:25081084-25081094(-) ATACAATGACG >hg38_chr10:25106015-25106025(+) TAATAATAGTA >hg38_chr10:25113863-25113873(-) GCACAATGAAA >hg38_chr10:25145388-25145398(+) ctacaatggaa >hg38_chr10:25170657-25170667(-) AGACAATATAG >hg38_chr10:25170726-25170736(-) TAATAATGGAT >hg38_chr10:25197626-25197636(-) ATACAATGGCT >hg38_chr10:25211369-25211379(-) caacaatggac >hg38_chr10:25220531-25220541(+) GAACACTGGGA >hg38_chr10:25231574-25231584(+) GTACAAAGGAG >hg38_chr10:25300165-25300175(-) gcacaatgaga >hg38_chr10:25342657-25342667(-) AGACAATGAAA >hg38_chr10:25409109-25409119(-) gtataatggag >hg38_chr10:25441927-25441937(+) AGACAATGGAA >hg38_chr10:25456062-25456072(+) TAACAATCGCT >hg38_chr10:25456094-25456104(-) CAACAAAGGTA >hg38_chr10:25491295-25491305(+) gaacaatgatt >hg38_chr10:25493261-25493271(-) GGACAAAGGCA >hg38_chr10:25766787-25766797(+) TAACAATGCGA >hg38_chr10:25831425-25831435(+) GCACAATGTCA >hg38_chr10:25850284-25850294(+) GAACAATAGCT >hg38_chr10:25896522-25896532(-) CGACAATGGAA >hg38_chr10:25896548-25896558(+) TAACAATGCCG >hg38_chr10:25935794-25935804(-) TAACAATGCTC >hg38_chr10:26093159-26093169(+) ATACAATGTAC >hg38_chr10:26093177-26093187(-) TCACAATGTAC >hg38_chr10:26093186-26093196(+) GAACAATGTAG >hg38_chr10:26202612-26202622(+) TGATAATGGCC >hg38_chr10:26380833-26380843(+) TCACAATGTTG >hg38_chr10:26460413-26460423(+) CCACAATAGGA >hg38_chr10:26472369-26472379(+) TTACAATCGCT >hg38_chr10:26517740-26517750(-) acacaatggaa >hg38_chr10:26517771-26517781(-) agacaatggat >hg38_chr10:26599777-26599787(+) gtacaatgtaa >hg38_chr10:26747084-26747094(+) CAATAATGGTC >hg38_chr10:26777897-26777907(+) acacaatgagg >hg38_chr10:26792025-26792035(-) taacaatggat >hg38_chr10:26801841-26801851(+) AGACAATGGGA >hg38_chr10:26802542-26802552(+) ATACAATAAAT >hg38_chr10:26822056-26822066(+) agacaaaggca >hg38_chr10:26824515-26824525(+) acacaatagag >hg38_chr10:26856867-26856877(+) TAACAAAGGCA >hg38_chr10:26879799-26879809(+) GAACAATATGA >hg38_chr10:26879862-26879872(+) AAACAAAGGAG >hg38_chr10:26882129-26882139(+) AAATAATGGGA >hg38_chr10:26882177-26882187(-) AAATAATGACG >hg38_chr10:27021181-27021191(-) agacaatggaa >hg38_chr10:27021220-27021230(-) tcacaatagct >hg38_chr10:27024224-27024234(-) TAACAATGCCT >hg38_chr10:27035521-27035531(-) GTATAATGGAC >hg38_chr10:27044660-27044670(+) tcacaatgcag >hg38_chr10:27044722-27044732(+) taacaataatt >hg38_chr10:27075165-27075175(+) aaacaataaca >hg38_chr10:27075173-27075183(+) acataatggag >hg38_chr10:27075210-27075220(+) acacaatgact >hg38_chr10:27115917-27115927(+) TAACAATGTTC >hg38_chr10:27157379-27157389(+) caacaatgggg >hg38_chr10:27158671-27158681(+) AAACAATGTCT >hg38_chr10:27277743-27277753(-) agacaatatcg >hg38_chr10:27438734-27438744(+) GGACAATAGTT >hg38_chr10:27438775-27438785(-) AAACAATAGTT >hg38_chr10:27495234-27495244(-) atacactgggt >hg38_chr10:27521297-27521307(-) aaacaaagggt >hg38_chr10:27537902-27537912(+) GTACAATGTGC >hg38_chr10:27539889-27539899(-) ACACAATAGTA >hg38_chr10:27562146-27562156(-) atacaatgaag >hg38_chr10:27582931-27582941(-) acacaatggaa >hg38_chr10:27604537-27604547(+) tgacaaaggat >hg38_chr10:27721305-27721315(+) ggacaataaaa >hg38_chr10:27721347-27721357(+) tcacaatagga >hg38_chr10:27727759-27727769(-) TCACAATCGGA >hg38_chr10:27727986-27727996(-) TAACAAAGGCc >hg38_chr10:27759990-27760000(+) GGACAATGGAA >hg38_chr10:27760066-27760076(-) gaacaatgcct >hg38_chr10:27775639-27775649(-) ACACAATGAGG >hg38_chr10:27791866-27791876(-) AAACGATGGCT >hg38_chr10:27808719-27808729(-) ATACAATGTTG >hg38_chr10:27857823-27857833(-) TAACAATGACC >hg38_chr10:27886775-27886785(+) atacaattgaa >hg38_chr10:27893501-27893511(+) TAACAATGGCT >hg38_chr10:27893547-27893557(+) AAACAAAGACG >hg38_chr10:27949056-27949066(+) GAACAATGCCC >hg38_chr10:27949073-27949083(-) TATCAATGGTA >hg38_chr10:27995593-27995603(-) AAACAATGTGT >hg38_chr10:28030451-28030461(-) gtacaataaat >hg38_chr10:28061010-28061020(+) taacaaaggtg >hg38_chr10:28062632-28062642(+) gaataatggca >hg38_chr10:28115730-28115740(-) TCACAATAGGC >hg38_chr10:28130454-28130464(+) TAACACTGGTA >hg38_chr10:28179958-28179968(+) AAACAATGAGG >hg38_chr10:28242758-28242768(+) ggataatggca >hg38_chr10:28247850-28247860(-) GAACAATGCAG >hg38_chr10:28251012-28251022(+) TAACAAAGGCA >hg38_chr10:28302963-28302973(+) GGACAATCGGG >hg38_chr10:28327620-28327630(-) GAACAATAGGA >hg38_chr10:28356182-28356192(-) tcacaatgagg >hg38_chr10:28363571-28363581(-) TGACAATAGGC >hg38_chr10:28366012-28366022(+) agacaatggta >hg38_chr10:28431384-28431394(+) AAATAATGGGC >hg38_chr10:28449465-28449475(+) taacaatgggt >hg38_chr10:28449525-28449535(-) AGACAATGAGT >hg38_chr10:28449533-28449543(-) GGACAATAAGA >hg38_chr10:28502389-28502399(+) tcacaatgtag >hg38_chr10:28515056-28515066(+) ttataatggaa >hg38_chr10:28550854-28550864(-) TGATAATGGTT >hg38_chr10:28550989-28550999(-) GAACAATAAAA >hg38_chr10:28551008-28551018(-) AAACAATGATT >hg38_chr10:28556831-28556841(-) acacaatgaga >hg38_chr10:28564524-28564534(+) CAACAATGTCT >hg38_chr10:28564815-28564825(-) agacaatgggg >hg38_chr10:28575584-28575594(-) gaacaatggca >hg38_chr10:28579280-28579290(-) ACACAATGATC >hg38_chr10:28579321-28579331(+) AGACAATGCTG >hg38_chr10:28583058-28583068(-) GTACAATGTGC >hg38_chr10:28598339-28598349(-) AGATAATGGCA >hg38_chr10:28606999-28607009(-) ggacaatgtaa >hg38_chr10:28663744-28663754(+) GAACAATGGCC >hg38_chr10:28663818-28663828(+) GCACAAAGGGA >hg38_chr10:28676738-28676748(-) AAACAATGGCT >hg38_chr10:28679389-28679399(-) GAACAATGCCC >hg38_chr10:28685008-28685018(-) GAACAAAGGCC >hg38_chr10:28685020-28685030(-) ATACAATAGGA >hg38_chr10:28695747-28695757(-) AAACAATGTTG >hg38_chr10:28695768-28695778(+) TTACAATAAAC >hg38_chr10:28695823-28695833(-) TTACAATGTTT >hg38_chr10:28761543-28761553(-) CAACAATAGCC >hg38_chr10:28768285-28768295(-) CCACAATAGAC >hg38_chr10:28768320-28768330(+) GGACAAAGGAC >hg38_chr10:28861156-28861166(+) CTACAATGCAA >hg38_chr10:28945001-28945011(-) gaacaatgtta >hg38_chr10:29045479-29045489(+) ACACAATAAAT >hg38_chr10:29068175-29068185(-) AAACAATGTAG >hg38_chr10:29068198-29068208(-) TGATAATGGCT >hg38_chr10:29068510-29068520(+) gaacaatagct >hg38_chr10:29078995-29079005(-) ATACAATAGAG >hg38_chr10:29082397-29082407(-) CAACAATGCAG >hg38_chr10:29102118-29102128(-) ATACAATGCTA >hg38_chr10:29102128-29102138(-) GGACACTGGTA >hg38_chr10:29113634-29113644(-) TCACAATAGAA >hg38_chr10:29119640-29119650(+) tgacaatagga >hg38_chr10:29155534-29155544(-) GCACAATAGGA >hg38_chr10:29165251-29165261(+) aaacaatagca >hg38_chr10:29165261-29165271(+) aaacaatgatt >hg38_chr10:29165276-29165286(+) agacaatgggc >hg38_chr10:29178305-29178315(+) AGACAATGATT >hg38_chr10:29228965-29228975(+) ttacaaaggag >hg38_chr10:29254921-29254931(+) AGACAATGGGT >hg38_chr10:29345690-29345700(-) GGACAATAGGA >hg38_chr10:29377563-29377573(+) AAACAATGGCC >hg38_chr10:29435102-29435112(+) gtactatggtg >hg38_chr10:29439729-29439739(-) GAACAATGTGA >hg38_chr10:29439749-29439759(-) TAACAATGGTT >hg38_chr10:29530766-29530776(+) CAACAATAGGT >hg38_chr10:29587421-29587431(+) GCACAATGCAC >hg38_chr10:29635371-29635381(+) ATATAATGGCA >hg38_chr10:29635985-29635995(+) GAACAATGCCT >hg38_chr10:29667278-29667288(+) atacaatagaa >hg38_chr10:29667326-29667336(+) caacaatgtgg >hg38_chr10:29728984-29728994(+) AAACAAAGGGT >hg38_chr10:29731461-29731471(-) TTACAATGTGT >hg38_chr10:29731779-29731789(+) TAACAAAGGCG >hg38_chr10:29731817-29731827(+) GAACAAAGGCA >hg38_chr10:29735501-29735511(-) TAACAAAGGCG >hg38_chr10:29807725-29807735(+) AGACAAAGGAC >hg38_chr10:29811856-29811866(-) TTACAAAGGAA >hg38_chr10:29835178-29835188(+) CAACAATAGAT >hg38_chr10:29858495-29858505(-) AAACAATGCCC >hg38_chr10:29862300-29862310(-) TTACAATAGGA >hg38_chr10:29862308-29862318(+) TAACAATGGCT >hg38_chr10:29862348-29862358(+) AAACAATGATG >hg38_chr10:29863252-29863262(+) GAACAATAGAA >hg38_chr10:29937791-29937801(-) aaacaatagga >hg38_chr10:29939528-29939538(+) GGACAATAAAT >hg38_chr10:30061993-30062003(+) gaacaatgtca >hg38_chr10:30115881-30115891(+) TAACAAAGGAG >hg38_chr10:30155444-30155454(+) CAACAATAGAT >hg38_chr10:30178571-30178581(+) ATACAATGCCT >hg38_chr10:30188315-30188325(+) ACACAATGCCA >hg38_chr10:30220215-30220225(+) GAACAAAGGTC >hg38_chr10:30250725-30250735(-) taacaatgtgt >hg38_chr10:30383510-30383520(+) TAATAATGGGG >hg38_chr10:30391468-30391478(+) TGACAAAGGGA >hg38_chr10:30423235-30423245(-) GGACAATAGGA >hg38_chr10:30447275-30447285(-) TGACAATGCTA >hg38_chr10:30458037-30458047(+) ACACAAAGGAG >hg38_chr10:30553849-30553859(-) ttacaatagga >hg38_chr10:30597254-30597264(-) gtacagtggcc >hg38_chr10:30607308-30607318(+) AAACAATGCCT >hg38_chr10:30616072-30616082(-) TTACAATGCCT >hg38_chr10:30621970-30621980(+) gcacaaaggat >hg38_chr10:30629055-30629065(-) GCACAATGCTG >hg38_chr10:30638272-30638282(+) GCACAATGACT >hg38_chr10:30781705-30781715(+) ACACAAAGGAT >hg38_chr10:30826156-30826166(-) agacaatgagc >hg38_chr10:30826199-30826209(+) tgacaaAGGAC >hg38_chr10:30981157-30981167(+) ACACAATGAAT >hg38_chr10:31019169-31019179(+) agacaatggca >hg38_chr10:31029868-31029878(-) ggacaatgctc >hg38_chr10:31123692-31123702(+) AGACAATGGGG >hg38_chr10:31134305-31134315(+) TCACAATGGAG >hg38_chr10:31134336-31134346(+) AGACAATGCGG >hg38_chr10:31186800-31186810(-) TAACAATAGCT >hg38_chr10:31224611-31224621(-) TGACAATGAAA >hg38_chr10:31224620-31224630(-) AAACAAAGGTG >hg38_chr10:31225445-31225455(+) aaacaatgtta >hg38_chr10:31240000-31240010(+) GAACAGTGGTA >hg38_chr10:31262970-31262980(-) ATACAATATCA >hg38_chr10:31320781-31320791(-) AGACAATAACA >hg38_chr10:31320893-31320903(+) TAATAATGGGC >hg38_chr10:31335747-31335757(-) gtacaatgttg >hg38_chr10:31335791-31335801(+) atacaatgatt >hg38_chr10:31351019-31351029(-) ACATAATGGGG >hg38_chr10:31354182-31354192(+) AAACAATGATA >hg38_chr10:31359963-31359973(-) CAACAATAGCT >hg38_chr10:31383747-31383757(+) gaacaatgaag >hg38_chr10:31425307-31425317(-) GAACAGTGGAA >hg38_chr10:31425329-31425339(-) TGACAATGCTC >hg38_chr10:31427143-31427153(-) TAACAATGGAT >hg38_chr10:31427190-31427200(-) tcacaatgctg >hg38_chr10:31430380-31430390(-) atataatggga >hg38_chr10:31491285-31491295(-) gtacaatataa >hg38_chr10:31503167-31503177(+) AAACAATGAAA >hg38_chr10:31503190-31503200(-) ACATAATGGAT >hg38_chr10:31503504-31503514(-) tcacaatagca >hg38_chr10:31516259-31516269(-) gtacagtggga >hg38_chr10:31528005-31528015(+) TAACAATGTTA >hg38_chr10:31550192-31550202(-) TGACTATGGAT >hg38_chr10:31627675-31627685(+) CAACAATAGAT >hg38_chr10:31630910-31630920(-) GAACAATGTAA >hg38_chr10:31630941-31630951(+) TTACAATGACC >hg38_chr10:31630948-31630958(-) AAACAAAGGTC >hg38_chr10:31694520-31694530(-) GCACAATGCCG >hg38_chr10:31694534-31694544(+) GCACAATGCCG >hg38_chr10:31694578-31694588(-) TTACAATGCAG >hg38_chr10:31697320-31697330(+) AAACAAAGGCA >hg38_chr10:31707752-31707762(-) TCACAATGAAT >hg38_chr10:31760327-31760337(+) TTACAAAGGGT >hg38_chr10:31769824-31769834(+) tcacaatggaa >hg38_chr10:31774434-31774444(+) AAACAAAGGCA >hg38_chr10:31832616-31832626(+) AGACAATAGTC >hg38_chr10:31836316-31836326(+) atataatgaat >hg38_chr10:31862682-31862692(+) tgacagtggcg >hg38_chr10:31876001-31876011(-) acacaatggaa >hg38_chr10:31877651-31877661(-) ACACAATGGGA >hg38_chr10:31891117-31891127(-) GTATAATGGGT >hg38_chr10:31900155-31900165(+) aaacaatgaga >hg38_chr10:31914471-31914481(+) taacaatgggc >hg38_chr10:31930084-31930094(+) TTACAATGAGA >hg38_chr10:31990328-31990338(+) TAACAATAGCA >hg38_chr10:31999998-32000008(-) ATACAATAACT >hg38_chr10:32003494-32003504(-) gcacaatatat >hg38_chr10:32017460-32017470(-) AAACAATATAA >hg38_chr10:32028946-32028956(+) TTACAATGACA >hg38_chr10:32038489-32038499(+) ggacaatgtat >hg38_chr10:32039737-32039747(-) GAACAATGTAG >hg38_chr10:32040384-32040394(-) ACACAATGGAG >hg38_chr10:32048065-32048075(+) TAACAATGGAA >hg38_chr10:32050224-32050234(+) TAACAATGAAC >hg38_chr10:32054666-32054676(+) ATACCATGGTA >hg38_chr10:32054667-32054677(-) TTACCATGGTA >hg38_chr10:32054675-32054685(+) TAACAATGTAG >hg38_chr10:32055345-32055355(+) AAACAATACGG >hg38_chr10:32073905-32073915(+) CTACAATGCCT >hg38_chr10:32232681-32232691(+) acacaatgaaa >hg38_chr10:32256781-32256791(-) GGACAATGAAA >hg38_chr10:32301560-32301570(-) agacaatatcg >hg38_chr10:32307142-32307152(+) ttacaatagct >hg38_chr10:32327091-32327101(+) tcacaatggaa >hg38_chr10:32369198-32369208(+) AGACAATGTGA >hg38_chr10:32377571-32377581(-) GAACTATGGTG >hg38_chr10:32379377-32379387(+) AAACAATAGGC >hg38_chr10:32387552-32387562(-) AAACAATGCCC >hg38_chr10:32423643-32423653(-) acacaaaggag >hg38_chr10:32463788-32463798(-) AAACAATGAGA >hg38_chr10:32510589-32510599(+) atacaataaaa >hg38_chr10:32518844-32518854(-) GTATAATGAAC >hg38_chr10:32532490-32532500(+) aaacaatgtgt >hg38_chr10:32532961-32532971(-) aaacaataaca >hg38_chr10:32557027-32557037(-) TGATAATGGGA >hg38_chr10:32569293-32569303(-) GGACAATGAGT >hg38_chr10:32581705-32581715(-) GAACAATGCCT >hg38_chr10:32581735-32581745(-) TAACAATGAGA >hg38_chr10:32605946-32605956(+) agacaatgggg >hg38_chr10:32617893-32617903(-) atacaataata >hg38_chr10:32619286-32619296(-) ttataatggaa >hg38_chr10:32631764-32631774(+) taacaatacat >hg38_chr10:32681410-32681420(-) AAACAATGACT >hg38_chr10:32686310-32686320(+) ggacaatagca >hg38_chr10:32736021-32736031(-) aaacaatagac >hg38_chr10:32741778-32741788(-) tcacaatggga >hg38_chr10:32744533-32744543(-) caacaatgaaa >hg38_chr10:32745422-32745432(+) tcacaatgtaa >hg38_chr10:32810075-32810085(+) ttactatggca >hg38_chr10:32810099-32810109(+) ccacaatgata >hg38_chr10:32810131-32810141(+) aaacaaaggat >hg38_chr10:32847222-32847232(+) gaacaatgaca >hg38_chr10:32847273-32847283(+) ctacaatatac >hg38_chr10:32851586-32851596(-) aaacactggaa >hg38_chr10:32852130-32852140(-) TCATAATGGAG >hg38_chr10:32865665-32865675(-) tgacaatgcct >hg38_chr10:32878704-32878714(-) TCACAATAGAA >hg38_chr10:32922253-32922263(-) ATACAATGTGA >hg38_chr10:32931388-32931398(+) TAACaataata >hg38_chr10:32931464-32931474(+) taacaatagat >hg38_chr10:32938324-32938334(+) ACACAAAGGGA >hg38_chr10:32958479-32958489(+) GGACAAAGGAA >hg38_chr10:32986198-32986208(-) agacaaaggca >hg38_chr10:33009170-33009180(-) TGACAATAATA >hg38_chr10:33101178-33101188(-) GAACAATGAGG >hg38_chr10:33120296-33120306(+) gtacaatgact >hg38_chr10:33146106-33146116(-) TTACAATGGAC >hg38_chr10:33164195-33164205(-) AAACAATGCAA >hg38_chr10:33212248-33212258(+) tcacaatagct >hg38_chr10:33254707-33254717(-) GAACAATGGAA >hg38_chr10:33264150-33264160(+) GAACAATGAAT >hg38_chr10:33271184-33271194(-) AGACAAAGGAA >hg38_chr10:33306240-33306250(-) AAACAATGTCA >hg38_chr10:33306274-33306284(+) GGACAATGAGT >hg38_chr10:33312512-33312522(-) CTACAATAGCA >hg38_chr10:33327892-33327902(+) TCACAATGCAA >hg38_chr10:33330619-33330629(-) GTACAATGTAG >hg38_chr10:33363755-33363765(+) ATACAATGCAA >hg38_chr10:33382091-33382101(-) AGACAATAGCT >hg38_chr10:33422518-33422528(-) TAACTATGGCA >hg38_chr10:33437367-33437377(-) ttacaatagct >hg38_chr10:33441493-33441503(+) TCACAATGGCT >hg38_chr10:33485470-33485480(-) CAACAATGAAA >hg38_chr10:33485484-33485494(+) ATACAATGGTG >hg38_chr10:33485501-33485511(+) GGATAATGGTG >hg38_chr10:33487288-33487298(-) GGACAATGATG >hg38_chr10:33519222-33519232(-) GAACAAAGGCT >hg38_chr10:33536597-33536607(-) CAACAATAGCA >hg38_chr10:33600000-33600010(-) caacaatgcat >hg38_chr10:33644304-33644314(-) gcacaATGGGC >hg38_chr10:33644538-33644548(+) gaacaatgctg >hg38_chr10:33655762-33655772(-) acacaaaggca >hg38_chr10:33750021-33750031(+) GGACAACGGCA >hg38_chr10:33773007-33773017(-) CAATAATGGTC >hg38_chr10:33773025-33773035(+) TGACAATGCTA >hg38_chr10:33773059-33773069(+) GAACAATGGGA >hg38_chr10:33791566-33791576(-) AAACAATGGTA >hg38_chr10:33791593-33791603(-) CCACAATGAGC >hg38_chr10:33807890-33807900(-) AGACAATGGCT >hg38_chr10:33821051-33821061(+) AGACAATAGTT >hg38_chr10:33832357-33832367(-) ACACAAAGGAC >hg38_chr10:33836028-33836038(+) aaacaatggaa >hg38_chr10:33985819-33985829(-) tcacaatggca >hg38_chr10:34078838-34078848(+) AAACAATATTA >hg38_chr10:34089322-34089332(+) AGACTATGGAC >hg38_chr10:34110575-34110585(+) AAACAATGTTG >hg38_chr10:34154892-34154902(-) taACAATGGTA >hg38_chr10:34154961-34154971(+) tgacaatggtt >hg38_chr10:34157861-34157871(-) ACACAATAAAC >hg38_chr10:34160707-34160717(+) GAACAATGGTG >hg38_chr10:34169789-34169799(+) CGACAATTGAA >hg38_chr10:34185326-34185336(-) GGACAAAGGAA >hg38_chr10:34196231-34196241(+) gtacaatgacc >hg38_chr10:34237561-34237571(+) ATACAATATCT >hg38_chr10:34238062-34238072(+) TTACAATGTAC >hg38_chr10:34261120-34261130(-) ATATAATGGGA >hg38_chr10:34261135-34261145(+) GGACAATGCAC >hg38_chr10:34275949-34275959(+) CAACAATGACA >hg38_chr10:34293680-34293690(+) atacaatacta >hg38_chr10:34299036-34299046(-) TTACAAAGGAT >hg38_chr10:34316613-34316623(-) GAACAATGGTT >hg38_chr10:34316656-34316666(-) AGACAAAGGGC >hg38_chr10:34323730-34323740(+) AGATAATGGTA >hg38_chr10:34323750-34323760(-) GGATAATGGAG >hg38_chr10:34323772-34323782(+) AGACAATGTTG >hg38_chr10:34346989-34346999(+) acacaatgtct >hg38_chr10:34350111-34350121(+) ATACAATGGCT >hg38_chr10:34359488-34359498(-) CTACAATAGCT >hg38_chr10:34371879-34371889(-) ACACAATAAAA >hg38_chr10:34397248-34397258(-) TAACAATGGGA >hg38_chr10:34404047-34404057(-) GAACAATGTGT >hg38_chr10:34404065-34404075(-) TGATAATGGCC >hg38_chr10:34406047-34406057(+) GAACAATGAGG >hg38_chr10:34413318-34413328(+) GAACAATGGGC >hg38_chr10:34490192-34490202(+) AGACAATGACT >hg38_chr10:34507421-34507431(+) AAACAATAGAG >hg38_chr10:34527308-34527318(-) ATACAAAGGGC >hg38_chr10:34528356-34528366(+) GAATAATGGAG >hg38_chr10:34573910-34573920(-) TCACAATGTCA >hg38_chr10:34580832-34580842(+) GAACAATGACA >hg38_chr10:34587920-34587930(+) CCACAATAGGT >hg38_chr10:34613667-34613677(+) ACACAATGGCA >hg38_chr10:34637532-34637542(+) CTACAATGCTT >hg38_chr10:34643431-34643441(+) TTACAATAGTG >hg38_chr10:34650322-34650332(+) agacaatagga >hg38_chr10:34650342-34650352(-) ttataatggaa >hg38_chr10:34650361-34650371(-) ccacaatggtc >hg38_chr10:34655667-34655677(-) GGACAATGGCT >hg38_chr10:34721028-34721038(+) GAACAATGAGC >hg38_chr10:34740079-34740089(+) ACACAAAGGCG >hg38_chr10:34747770-34747780(+) ATACAATGAAT >hg38_chr10:34761715-34761725(-) AGATAATGGAA >hg38_chr10:34780549-34780559(+) TCACAATAGGA >hg38_chr10:34801122-34801132(+) AAACAAAGGGG >hg38_chr10:34802456-34802466(-) AGACAATGCCC >hg38_chr10:34802821-34802831(+) AAACAAAGGGA >hg38_chr10:34809707-34809717(+) GGACAAAGGCG >hg38_chr10:34809731-34809741(+) GGACAATGTGA >hg38_chr10:34811317-34811327(-) GGACAAAGGCG >hg38_chr10:34846991-34847001(+) ctacaatggct >hg38_chr10:34878213-34878223(+) atacaatagaa >hg38_chr10:34940748-34940758(-) AGACAATAGAA >hg38_chr10:34945723-34945733(+) ttacaatagcc >hg38_chr10:35004067-35004077(+) TAACAATGGAG >hg38_chr10:35004085-35004095(+) AAACAATAACA >hg38_chr10:35004110-35004120(-) TAACAAAGGGC >hg38_chr10:35024607-35024617(-) GAACAATGGAG >hg38_chr10:35031222-35031232(+) ACACAATGCTG >hg38_chr10:35048266-35048276(+) aaacaatgctt >hg38_chr10:35053869-35053879(-) atacaatggtg >hg38_chr10:35090820-35090830(+) TAACAATATGT >hg38_chr10:35118579-35118589(-) agacaataaga >hg38_chr10:35126842-35126852(-) CAACAATGAAA >hg38_chr10:35129063-35129073(-) TGACAATGCTT >hg38_chr10:35132710-35132720(-) AAACAATGGGA >hg38_chr10:35132751-35132761(-) AGACTATGGTT >hg38_chr10:35136445-35136455(+) ATACAATGAGG >hg38_chr10:35154683-35154693(+) GAACAAAGGAT >hg38_chr10:35196157-35196167(-) ATACAATACAT >hg38_chr10:35203969-35203979(-) GAACAATAGCC >hg38_chr10:35204012-35204022(-) AAACAATAGAA >hg38_chr10:35209934-35209944(-) GAACAATGGAC >hg38_chr10:35210992-35211002(-) TAACAATGCCC >hg38_chr10:35271428-35271438(+) tcacaatgaag >hg38_chr10:35320131-35320141(-) agacaatgact >hg38_chr10:35320148-35320158(+) agacaatgaca >hg38_chr10:35320597-35320607(+) ttacactggaa >hg38_chr10:35320618-35320628(+) gtacaataggc >hg38_chr10:35340206-35340216(-) CAACAATGGAA >hg38_chr10:35372555-35372565(-) AAACAATGTAG >hg38_chr10:35377556-35377566(+) taacaatacat >hg38_chr10:35426881-35426891(+) TCACAATAGGC >hg38_chr10:35426902-35426912(-) TAACAATGAAT >hg38_chr10:35428055-35428065(-) TGACAATGTAC >hg38_chr10:35438659-35438669(-) taacaatgtct >hg38_chr10:35448876-35448886(+) ggacaatggag >hg38_chr10:35462881-35462891(+) GGACAATGGGA >hg38_chr10:35462904-35462914(-) ATACAATGCTG >hg38_chr10:35470845-35470855(+) GGACAATAATA >hg38_chr10:35471615-35471625(-) ATACAATGCCT >hg38_chr10:35506707-35506717(-) GGACAATGTAG >hg38_chr10:35514732-35514742(-) GGACAATAATA >hg38_chr10:35514748-35514758(-) CAACAATGAAG >hg38_chr10:35538706-35538716(-) tgacaaaggac >hg38_chr10:35584238-35584248(-) AAACAATAAAC >hg38_chr10:35593869-35593879(-) TAACAATAGTG >hg38_chr10:35611923-35611933(+) GGACAATAGGG >hg38_chr10:35637589-35637599(+) TAACAATGCCG >hg38_chr10:35657882-35657892(-) aaacaatagct >hg38_chr10:35673755-35673765(+) taacaatacaa >hg38_chr10:35692099-35692109(+) TGACAATGTCC >hg38_chr10:35700608-35700618(-) ggacaacggtg >hg38_chr10:35705410-35705420(+) GGACAATGGAG >hg38_chr10:35733792-35733802(-) agacaatgcca >hg38_chr10:35733845-35733855(-) ccacaatgaga >hg38_chr10:35738754-35738764(-) agacaatggat >hg38_chr10:35738766-35738776(+) gaacaatagta >hg38_chr10:35783373-35783383(-) AAACAAAGGCT >hg38_chr10:35849090-35849100(-) GAACAATGGTG >hg38_chr10:35856680-35856690(-) ATACAATATTT >hg38_chr10:35874862-35874872(+) AGACAATGCAT >hg38_chr10:35874900-35874910(-) GTACAAAGGCA >hg38_chr10:35884823-35884833(+) TGATAATGGCT >hg38_chr10:35974027-35974037(+) AAACAATCGGG >hg38_chr10:35988853-35988863(+) aaacaatgcta >hg38_chr10:35995682-35995692(-) TAACAAAGGGG >hg38_chr10:36041890-36041900(-) cgaccatggat >hg38_chr10:36042502-36042512(-) agacaatagca >hg38_chr10:36194661-36194671(+) CAACAATAGGA >hg38_chr10:36449591-36449601(+) GCACAATGACA >hg38_chr10:36469995-36470005(-) GGACAATAAAT >hg38_chr10:36494697-36494707(-) TAACAATATCT >hg38_chr10:36570950-36570960(-) GAACAATAAAG >hg38_chr10:36570965-36570975(-) TTACAATGAGA >hg38_chr10:36608395-36608405(+) AGACAATGGCT >hg38_chr10:36688932-36688942(+) taacaaaggct >hg38_chr10:36725131-36725141(-) taacaatagta >hg38_chr10:36725143-36725153(-) ctacaatggag >hg38_chr10:36767105-36767115(+) GCACAATGAAT >hg38_chr10:37536158-37536168(-) AGACAATAGAA >hg38_chr10:37536169-37536179(+) ATACACTGGTC >hg38_chr10:37845785-37845795(+) gtacaatgaat >hg38_chr10:37845804-37845814(-) ttacaatgaaa >hg38_chr10:37854786-37854796(+) GAACTATGGAC >hg38_chr10:37976266-37976276(+) ACACAATGCGG >hg38_chr10:42545756-42545766(+) agacaataaga >hg38_chr10:42545767-42545777(+) agacaaaggac >hg38_chr10:42599670-42599680(+) ACACAATGCTT >hg38_chr10:42618951-42618961(-) acacaataata >hg38_chr10:42790950-42790960(-) ACACAAAGGAA >hg38_chr10:42790989-42790999(-) ACACAAAGGAA >hg38_chr10:42801559-42801569(-) ctacaatgaga >hg38_chr10:42923502-42923512(-) aaacaatgttc >hg38_chr10:43014837-43014847(+) acataatggct >hg38_chr10:43015698-43015708(+) caataatggat >hg38_chr10:43026492-43026502(-) gaacaaTGCGT >hg38_chr10:43050409-43050419(+) ggacaaaggga >hg38_chr10:43130572-43130582(-) GAACAGTGGAG >hg38_chr10:43155859-43155869(-) atacaatacTA >hg38_chr10:43155885-43155895(-) gtacaatacta >hg38_chr10:43155909-43155919(-) atacaatacta >hg38_chr10:43160272-43160282(+) aaataatggca >hg38_chr10:43167244-43167254(-) GAACTATGGAA >hg38_chr10:43179170-43179180(+) ttacaatggct >hg38_chr10:43353731-43353741(-) AAACAAAGGGA >hg38_chr10:43355144-43355154(-) gaacaatagaa >hg38_chr10:43373219-43373229(+) GAACAATAGCC >hg38_chr10:43377041-43377051(+) GAACAATGGAG >hg38_chr10:43409240-43409250(-) TCACAATGCGC >hg38_chr10:43436829-43436839(+) TCACAATGGCG >hg38_chr10:43798791-43798801(-) acacaatcgag >hg38_chr10:43798820-43798830(-) ggacaatgtga >hg38_chr10:43865735-43865745(-) GGACAGTGGAC >hg38_chr10:44031266-44031276(+) ATACAATGATT >hg38_chr10:44042213-44042223(-) GAACAAAGGAT >hg38_chr10:44064385-44064395(-) TAACAATGCCC >hg38_chr10:44161457-44161467(-) GAACAATAAGT >hg38_chr10:44163374-44163384(-) caataatggat >hg38_chr10:44205780-44205790(-) ACACAATGTAT >hg38_chr10:44234503-44234513(+) AAATAATGGTT >hg38_chr10:44286431-44286441(-) AGACAAAGGTA >hg38_chr10:44286789-44286799(-) ccacaatgaac >hg38_chr10:44288133-44288143(+) aaataatggtg >hg38_chr10:44295992-44296002(-) TGACAATAGAA >hg38_chr10:44296973-44296983(+) ATACAAAGCGT >hg38_chr10:44297025-44297035(-) TTACAATGTTT >hg38_chr10:44298147-44298157(+) TCACAATGCCA >hg38_chr10:44363033-44363043(+) GAACAAAGGAA >hg38_chr10:44395492-44395502(-) CAACAATGAGG >hg38_chr10:44463707-44463717(-) AAACAAAGGCC >hg38_chr10:44500456-44500466(-) agacaatgaaa >hg38_chr10:44605773-44605783(-) caacaatgtac >hg38_chr10:44606622-44606632(-) gaacaatagag >hg38_chr10:44606634-44606644(+) caacaatgtct >hg38_chr10:44611614-44611624(-) ttacaatgtgt >hg38_chr10:44613237-44613247(+) agacaaaggag >hg38_chr10:44613251-44613261(+) aaacaatcggc >hg38_chr10:44613262-44613272(+) taacaaagggg >hg38_chr10:44656989-44656999(-) ttacaatgaag >hg38_chr10:44657550-44657560(+) aaacaatggca >hg38_chr10:44658103-44658113(-) acataatggtt >hg38_chr10:44658114-44658124(-) tcacaatgaat >hg38_chr10:44688783-44688793(-) tgacaatgcca >hg38_chr10:44695847-44695857(-) GCATAATGGGA >hg38_chr10:44716590-44716600(-) ttacaatagtg >hg38_chr10:44727325-44727335(-) aaacaataata >hg38_chr10:44742805-44742815(+) CAACAATGAAC >hg38_chr10:44751460-44751470(+) tgacaatggtg >hg38_chr10:44807162-44807172(+) GAACAAAGGCT >hg38_chr10:44809761-44809771(+) agacaatgggg >hg38_chr10:44809844-44809854(+) aaacaatggtt >hg38_chr10:44855094-44855104(+) CCACAATAGAG >hg38_chr10:44899649-44899659(-) ttacaatgggc >hg38_chr10:44899660-44899670(+) ttacaatggca >hg38_chr10:44944321-44944331(-) tgacaatagca >hg38_chr10:44959505-44959515(+) GAACAATGGCC >hg38_chr10:44985867-44985877(+) TGACAATAGGG >hg38_chr10:45008756-45008766(-) TAACAATGAAG >hg38_chr10:45076650-45076660(-) GAACAATGGTG >hg38_chr10:45100975-45100985(-) GGACAATGGAA >hg38_chr10:45497374-45497384(+) caacaatgaac >hg38_chr10:45500778-45500788(+) taacaatagca >hg38_chr10:45507003-45507013(+) acacaatgaaa >hg38_chr10:45513804-45513814(-) GAACAAAGGGT >hg38_chr10:45553657-45553667(+) acacaatgaaa >hg38_chr10:45557779-45557789(+) TAACAAAGGCT >hg38_chr10:45557812-45557822(+) TAACAATGATG >hg38_chr10:45575186-45575196(+) ggacaatgctt >hg38_chr10:45585348-45585358(+) ctacaaaggac >hg38_chr10:45616311-45616321(-) ATACAATGCAT >hg38_chr10:45668527-45668537(+) taacaatatta >hg38_chr10:45998153-45998163(+) taacaatagcc >hg38_chr10:46013005-46013015(+) CTACAATACCG >hg38_chr10:46017995-46018005(+) acacaaaggga >hg38_chr10:46023519-46023529(-) CAACAATGGGC >hg38_chr10:46024374-46024384(+) TCACAATGTAA >hg38_chr10:46026001-46026011(+) GTACAATGCTT >hg38_chr10:46028757-46028767(+) acacaatggtg >hg38_chr10:46029297-46029307(-) gcacaatAACG >hg38_chr10:46042380-46042390(+) GCATAATGGGA >hg38_chr10:46254480-46254490(+) CAACAATAGAA >hg38_chr10:46292396-46292406(+) GGACAATAGGC >hg38_chr10:46516936-46516946(+) aaacaaaggaa >hg38_chr10:46537093-46537103(+) ttacaatggag >hg38_chr10:46543330-46543340(+) GTACAATGGAC >hg38_chr10:46993114-46993124(+) gaataatggcc >hg38_chr10:46996762-46996772(-) tgacaaaggta >hg38_chr10:47003218-47003228(-) atacaatgctt >hg38_chr10:47010180-47010190(-) acACAATagat >hg38_chr10:47012719-47012729(+) AAACAAAGGGA >hg38_chr10:47012727-47012737(+) GGACAAAGGGA >hg38_chr10:47012799-47012809(+) TCACAATACCG >hg38_chr10:47018734-47018744(-) gcacaatgctg >hg38_chr10:47287458-47287468(-) AGACAATGAAC >hg38_chr10:47304873-47304883(-) GGACAAAGGAG >hg38_chr10:47307727-47307737(+) GAACAATGGAG >hg38_chr10:47307766-47307776(+) AAACAATGTGC >hg38_chr10:47342912-47342922(+) tcacaatgaga >hg38_chr10:47396530-47396540(-) acacaatagac >hg38_chr10:48182244-48182254(-) GGACAATGATG >hg38_chr10:48182681-48182691(-) CCACAATGGCT >hg38_chr10:48182695-48182705(-) AGACAATGAGT >hg38_chr10:48200628-48200638(+) gaacaatgcag >hg38_chr10:48253178-48253188(-) AAATAATGGTC >hg38_chr10:48253191-48253201(+) GTACaataatt >hg38_chr10:48289704-48289714(-) AGACAATGCAT >hg38_chr10:48303403-48303413(-) ACACAATGGAT >hg38_chr10:48305359-48305369(-) TAATAATAGTA >hg38_chr10:48306973-48306983(+) GAACAATAGCG >hg38_chr10:48315307-48315317(-) atacaaaggca >hg38_chr10:48336023-48336033(-) TGACAATGGTG >hg38_chr10:48336828-48336838(-) caacaatagca >hg38_chr10:48365553-48365563(+) ACACAATGTTG >hg38_chr10:48377890-48377900(-) taacaatgtat >hg38_chr10:48399158-48399168(-) GGACAATGAAG >hg38_chr10:48400121-48400131(-) ACACAATGCTC >hg38_chr10:48433381-48433391(-) ACACAATGACT >hg38_chr10:48445059-48445069(+) AGACAATGGGT >hg38_chr10:48470357-48470367(-) GAACAAAGGCC >hg38_chr10:48514873-48514883(-) aaacaatatag >hg38_chr10:48514952-48514962(+) aaacaataaga >hg38_chr10:48534321-48534331(-) GGACAATGGGC >hg38_chr10:48552081-48552091(-) tgacaatgttt >hg38_chr10:48574026-48574036(-) gaacaatgtct >hg38_chr10:48594989-48594999(-) AAACAATGAAT >hg38_chr10:48631732-48631742(-) taacaatagca >hg38_chr10:48645735-48645745(+) ggacaaaggca >hg38_chr10:48668884-48668894(-) aaataatggtt >hg38_chr10:48747206-48747216(-) caacaatggaa >hg38_chr10:48754034-48754044(-) agacaaaggca >hg38_chr10:48787266-48787276(-) GAACAATGCTC >hg38_chr10:48788381-48788391(+) ATACAATGTGC >hg38_chr10:48808233-48808243(-) AAACAATAACA >hg38_chr10:48808287-48808297(+) AGACAATAGAA >hg38_chr10:48861921-48861931(-) gaacaatagca >hg38_chr10:48861929-48861939(-) ggacaatagaa >hg38_chr10:48927359-48927369(-) CCACAATAGGA >hg38_chr10:48947337-48947347(+) GAACAATGAAA >hg38_chr10:49057383-49057393(-) gaaCAGTGGTC >hg38_chr10:49094691-49094701(+) CAACAATGGAT >hg38_chr10:49094979-49094989(+) AAACAATGAAA >hg38_chr10:49101154-49101164(+) gtacaatgtat >hg38_chr10:49101461-49101471(+) taacaatgttc >hg38_chr10:49160413-49160423(-) atacaatgtcc >hg38_chr10:49160425-49160435(+) agacaacgggg >hg38_chr10:49160453-49160463(+) taacaatgcca >hg38_chr10:49160684-49160694(+) acacaatagga >hg38_chr10:49160696-49160706(+) acacaatggtg >hg38_chr10:49206874-49206884(+) GAACACTGGAA >hg38_chr10:49243376-49243386(+) TGACAATGTAG >hg38_chr10:49462125-49462135(+) ggacaataaaa >hg38_chr10:49468888-49468898(+) ggacaaaggag >hg38_chr10:49487194-49487204(-) AGACAATGTCT >hg38_chr10:49504694-49504704(-) TAACTATGGAA >hg38_chr10:49514185-49514195(+) GTACAATAACA >hg38_chr10:49539700-49539710(+) GAACAATGGGA >hg38_chr10:49580261-49580271(+) aaataatggct >hg38_chr10:49590802-49590812(+) gcacaatgact >hg38_chr10:49661579-49661589(-) TCACAATGAAC >hg38_chr10:49819456-49819466(-) CTACAATGAAG >hg38_chr10:49831499-49831509(-) gaacaaaggca >hg38_chr10:50216996-50217006(-) ccacaatggag >hg38_chr10:50347325-50347335(+) TGACAATGAAA >hg38_chr10:50371606-50371616(-) agacaatagca >hg38_chr10:50407676-50407686(+) TTACAATAGAA >hg38_chr10:50410394-50410404(+) ATACAATGATC >hg38_chr10:50498668-50498678(-) atacaatggaa >hg38_chr10:50516452-50516462(-) TCACAATGCCA >hg38_chr10:50518090-50518100(+) atacaataaaa >hg38_chr10:50522464-50522474(-) gaacaatgact >hg38_chr10:50522535-50522545(-) TTATAATGGAA >hg38_chr10:50539253-50539263(-) GAACAATGGCC >hg38_chr10:50539294-50539304(+) GAACAATGCCC >hg38_chr10:50573848-50573858(+) aaacaatgcag >hg38_chr10:50573912-50573922(+) acacaatgggg >hg38_chr10:50578159-50578169(+) TAACAATAGCT >hg38_chr10:50653541-50653551(-) ACATAATGGAA >hg38_chr10:50653574-50653584(+) GTATAATGTAT >hg38_chr10:50798681-50798691(-) gtacaatgctg >hg38_chr10:50799486-50799496(-) AGACAATGCAA >hg38_chr10:50799527-50799537(-) ACATAATGGGC >hg38_chr10:50838673-50838683(+) ATATAATGATA >hg38_chr10:50857648-50857658(-) acacaaaggca >hg38_chr10:50871063-50871073(+) gaacaatagaa >hg38_chr10:50883791-50883801(+) atataatggtt >hg38_chr10:50883830-50883840(+) agacaaaggag >hg38_chr10:50891370-50891380(+) AAACCATGGTA >hg38_chr10:50891379-50891389(+) TAACAATGGGA >hg38_chr10:50897489-50897499(-) CTACAAAGGAA >hg38_chr10:50929903-50929913(-) TAACAATGGCT >hg38_chr10:50932499-50932509(-) AGACAATAGAA >hg38_chr10:50933433-50933443(+) AAACAATAATA >hg38_chr10:50939555-50939565(-) AGACAATAGCA >hg38_chr10:51005504-51005514(+) GAACAATAGGA >hg38_chr10:51005877-51005887(-) AGACAATGAGA >hg38_chr10:51048192-51048202(-) gaacaaaggaa >hg38_chr10:51076676-51076686(+) ATACAATGTGA >hg38_chr10:51077098-51077108(-) ATACAATGTAC >hg38_chr10:51109381-51109391(+) gaataatggaa >hg38_chr10:51110080-51110090(+) atacactgacg >hg38_chr10:51114789-51114799(-) ATACAATGTTT >hg38_chr10:51168840-51168850(+) CAACAATAGGC >hg38_chr10:51209813-51209823(-) GCACAATGGGA >hg38_chr10:51217493-51217503(-) TCACAATGTTC >hg38_chr10:51218164-51218174(+) TAACAATGACT >hg38_chr10:51220635-51220645(-) AAACAATGAAT >hg38_chr10:51298028-51298038(-) gaataatggtt >hg38_chr10:51301193-51301203(-) GCACAATGATT >hg38_chr10:51355194-51355204(-) TAACAATagta >hg38_chr10:51355432-51355442(-) ATACAAAGGAT >hg38_chr10:51370239-51370249(-) CAACAATGGTT >hg38_chr10:51383199-51383209(+) agacaatagac >hg38_chr10:51444519-51444529(+) CTACAATATAT >hg38_chr10:51462497-51462507(+) CTATAATGGAT >hg38_chr10:51492542-51492552(-) GCACAAAGGGA >hg38_chr10:51503404-51503414(-) AAACAATGCTC >hg38_chr10:51508264-51508274(+) ACACAATATAC >hg38_chr10:51509111-51509121(-) TTACAATGTTG >hg38_chr10:51566970-51566980(+) taactatggga >hg38_chr10:51591033-51591043(+) TAACAAAGGCA >hg38_chr10:51605664-51605674(+) TGACAATAGAG >hg38_chr10:51623908-51623918(-) GAACAATAGAA >hg38_chr10:51705528-51705538(+) taacaatgaag >hg38_chr10:51705571-51705581(+) taaCAATGGAC >hg38_chr10:51734832-51734842(-) GAACAATAGCC >hg38_chr10:51734846-51734856(-) TAACAATACAA >hg38_chr10:51761349-51761359(-) TAACAATAATT >hg38_chr10:51761364-51761374(-) TAACAATAATA >hg38_chr10:51762597-51762607(+) TAACAATGACT >hg38_chr10:51766955-51766965(+) CCACAATAGAA >hg38_chr10:51777968-51777978(-) aaacaatggaa >hg38_chr10:51777984-51777994(-) aaactatggta >hg38_chr10:51779833-51779843(-) TGACTATGGTA >hg38_chr10:51806577-51806587(-) AAACAATGTGA >hg38_chr10:51858819-51858829(-) GCATAATGGGA >hg38_chr10:51893430-51893440(+) gcacaatgggt >hg38_chr10:51969707-51969717(+) ctacaatgcaa >hg38_chr10:51969717-51969727(+) atacaattgta >hg38_chr10:51969718-51969728(-) atacaattgta >hg38_chr10:52002550-52002560(-) ACACAATGGCA >hg38_chr10:52019230-52019240(-) gcataatggga >hg38_chr10:52060241-52060251(+) acataatggca >hg38_chr10:52060453-52060463(-) agactatggtt >hg38_chr10:52101496-52101506(+) AAACAATGAAG >hg38_chr10:52114078-52114088(+) TAATAATGGAC >hg38_chr10:52125255-52125265(-) caacaatagtc >hg38_chr10:52125293-52125303(-) acacaatgaca >hg38_chr10:52129658-52129668(-) TAACAATAGAG >hg38_chr10:52152008-52152018(-) ATACATTGGTA >hg38_chr10:52170982-52170992(-) AAACAATGCAG >hg38_chr10:52179072-52179082(-) gaacaaaggat >hg38_chr10:52187666-52187676(+) TAACAATAGAT >hg38_chr10:52187684-52187694(+) GCACAATGCTA >hg38_chr10:52215919-52215929(-) GTACAATGTTT >hg38_chr10:52217626-52217636(+) AAACAATATAC >hg38_chr10:52217633-52217643(+) ATACAATGGGT >hg38_chr10:52219641-52219651(+) TAACAATGTGT >hg38_chr10:52219694-52219704(-) TAACAATAAAT >hg38_chr10:52297311-52297321(-) AAACTATGGGA >hg38_chr10:52297341-52297351(-) CAACAATGCCA >hg38_chr10:52334268-52334278(+) aaacaatgtgg >hg38_chr10:52345053-52345063(-) ACACAATATTA >hg38_chr10:52351996-52352006(+) GAACAATGCTT >hg38_chr10:52353428-52353438(+) ttactatggga >hg38_chr10:52376629-52376639(-) ccacaatggag >hg38_chr10:52391780-52391790(+) CAACAATGGGC >hg38_chr10:52446912-52446922(-) AAATAATGGAA >hg38_chr10:52449422-52449432(-) CTATAATGGTA >hg38_chr10:52452701-52452711(+) AAACAATGGCA >hg38_chr10:52463248-52463258(-) AAACAATGAAT >hg38_chr10:52464029-52464039(+) GGACAAAGGGC >hg38_chr10:52464071-52464081(+) GGACAAAGGGC >hg38_chr10:52469852-52469862(+) AAACAATGGTG >hg38_chr10:52490482-52490492(-) TAACAAAGGCA >hg38_chr10:52490503-52490513(-) ACACAATGCAT >hg38_chr10:52490551-52490561(-) AAACAATGTTA >hg38_chr10:52517172-52517182(-) ATACAAAGGCA >hg38_chr10:52517198-52517208(-) TCACAATAGCT >hg38_chr10:52517241-52517251(+) TCACAATGGCA >hg38_chr10:52539617-52539627(-) CCACAATGTCT >hg38_chr10:52563126-52563136(-) acacaaagggt >hg38_chr10:52632877-52632887(+) TCACAATGCCT >hg38_chr10:52644909-52644919(+) caacaataggc >hg38_chr10:52652548-52652558(+) TTACAATAACA >hg38_chr10:52652582-52652592(+) AAACAATGTGC >hg38_chr10:52713294-52713304(-) atacaaaggac >hg38_chr10:52713304-52713314(-) caacaatagaa >hg38_chr10:52852911-52852921(-) TTACAATAATA >hg38_chr10:52854697-52854707(-) gtacaatagtt >hg38_chr10:52854712-52854722(+) tgacaatgcac >hg38_chr10:52861270-52861280(-) GCACAAAGGAC >hg38_chr10:52872833-52872843(+) atacaatggcc >hg38_chr10:52873152-52873162(+) ggataatggtt >hg38_chr10:52874369-52874379(+) ccacaatagat >hg38_chr10:52884468-52884478(-) TCACAACGGAG >hg38_chr10:52896047-52896057(-) CTACTATGGAC >hg38_chr10:52917192-52917202(-) TAACAATGGTG >hg38_chr10:52936584-52936594(+) TAACAATAGAT >hg38_chr10:52968233-52968243(-) gaacaaaggtt >hg38_chr10:52968273-52968283(-) aaacaatgtag >hg38_chr10:53120036-53120046(+) GAACAAAGGCA >hg38_chr10:53150748-53150758(+) TCACAATGCTC >hg38_chr10:53212811-53212821(-) acacaaaggga >hg38_chr10:53224036-53224046(-) ttacaatgctc >hg38_chr10:53236921-53236931(+) aaacaatggca >hg38_chr10:53256652-53256662(+) GCACAATAATA >hg38_chr10:53281262-53281272(+) CAACAATGAGA >hg38_chr10:53303608-53303618(+) GAACAAAGGAC >hg38_chr10:53315820-53315830(-) ATACAGTGGAA >hg38_chr10:53315827-53315837(-) GAACAATATAC >hg38_chr10:53325687-53325697(+) TAATAATGGGG >hg38_chr10:53325719-53325729(+) ATACAATGGCA >hg38_chr10:53440721-53440731(-) GAACAAAGGGA >hg38_chr10:53463782-53463792(-) agacaatggtt >hg38_chr10:53463822-53463832(-) acacaatggcc >hg38_chr10:53529070-53529080(+) atacaatggat >hg38_chr10:53605489-53605499(-) gaactatggtt >hg38_chr10:53634783-53634793(-) AAACAATGAGT >hg38_chr10:53664872-53664882(+) gcacaatagct >hg38_chr10:53672522-53672532(+) CAACAATGCAT >hg38_chr10:53708914-53708924(-) TAACAATGGAG >hg38_chr10:53876723-53876733(+) CTACAATGCAA >hg38_chr10:53957561-53957571(+) atacaatggac >hg38_chr10:53957568-53957578(+) ggacaaaggaa >hg38_chr10:53998138-53998148(-) GAATAATGGGC >hg38_chr10:54082099-54082109(+) acactatggtc >hg38_chr10:54160057-54160067(-) taacaatggtc >hg38_chr10:54282305-54282315(+) aaacactgGAA >hg38_chr10:54390205-54390215(-) AGACAATGGAC >hg38_chr10:54435475-54435485(+) AGACAAAGGGC >hg38_chr10:54610860-54610870(+) GAACAATGCAT >hg38_chr10:54635687-54635697(-) TAACAATATAT >hg38_chr10:54651509-54651519(-) ATACTATGGCT >hg38_chr10:54665140-54665150(+) agacaaaggaa >hg38_chr10:54665171-54665181(+) ggacaatagtg >hg38_chr10:54691469-54691479(+) TGACAATGAGT >hg38_chr10:54708199-54708209(+) TCACAATAGAG >hg38_chr10:54772837-54772847(+) tcacaatagca >hg38_chr10:54779181-54779191(+) CTACAATGAAA >hg38_chr10:54779232-54779242(+) CTACAATGAAG >hg38_chr10:54800831-54800841(-) GTACAATGATC >hg38_chr10:54835000-54835010(-) GAACAATGCAG >hg38_chr10:54843161-54843171(-) CAACAATGAAA >hg38_chr10:54894189-54894199(+) ATACAATGTAT >hg38_chr10:54894233-54894243(-) GAACAATATAT >hg38_chr10:54895122-54895132(+) GAACAATAAAA >hg38_chr10:54903959-54903969(+) AGACAATGACA >hg38_chr10:54958562-54958572(+) aaacaatagta >hg38_chr10:55010080-55010090(-) GAACAATAGTC >hg38_chr10:55019636-55019646(-) agacaaagggc >hg38_chr10:55098627-55098637(+) agacaatgacc >hg38_chr10:55142029-55142039(+) GCACAATATAT >hg38_chr10:55142066-55142076(-) AAACAATGATC >hg38_chr10:55142083-55142093(-) TCACAATGAAT >hg38_chr10:55194323-55194333(-) AGACAATAGTT >hg38_chr10:55194341-55194351(-) atacaataagA >hg38_chr10:55243639-55243649(+) GCACAATGCAA >hg38_chr10:55261495-55261505(-) ATACATTGGTA >hg38_chr10:55267621-55267631(+) TAACAATGTCC >hg38_chr10:55296789-55296799(-) tgacaatgtgt >hg38_chr10:55305435-55305445(-) GGACAATGCCA >hg38_chr10:55314448-55314458(+) TCATAATGGGA >hg38_chr10:55314900-55314910(+) TCACAATGAAT >hg38_chr10:55314923-55314933(-) TAATAATGTAT >hg38_chr10:55347639-55347649(-) TAACAATGCAA >hg38_chr10:55348866-55348876(+) atacaatgaaa >hg38_chr10:55351445-55351455(-) ATATAATGGAA >hg38_chr10:55351453-55351463(-) CAACAATGATA >hg38_chr10:55360443-55360453(+) gtacaatgaca >hg38_chr10:55370264-55370274(+) ACACAATAAAA >hg38_chr10:55383982-55383992(-) ACACAATAATA >hg38_chr10:55410652-55410662(-) GCACAATGAAC >hg38_chr10:55410664-55410674(+) AAACAATGGCT >hg38_chr10:55437620-55437630(+) AAACAATAGAA >hg38_chr10:55446919-55446929(-) TTACAATGCTC >hg38_chr10:55464227-55464237(+) GAACAATGATC >hg38_chr10:55464247-55464257(+) GAACAATGATG >hg38_chr10:55484961-55484971(-) gaacaatatca >hg38_chr10:55495481-55495491(+) atacaatggcc >hg38_chr10:55495533-55495543(+) agacaatgctg >hg38_chr10:55498561-55498571(-) GAACAATGAGG >hg38_chr10:55498591-55498601(+) GAACAATGAAA >hg38_chr10:55550382-55550392(+) GGACAATAAGA >hg38_chr10:55563162-55563172(+) gcacaatggta >hg38_chr10:55563189-55563199(-) aaacaatggct >hg38_chr10:55631783-55631793(-) aaacaaaGGCA >hg38_chr10:55654201-55654211(-) TAACAATGGAA >hg38_chr10:55654271-55654281(-) gcacaataggc >hg38_chr10:55670458-55670468(-) GGATAATGGGC >hg38_chr10:55786037-55786047(-) TCACAATGGCT >hg38_chr10:55845946-55845956(+) gcacaatagtg >hg38_chr10:55881368-55881378(-) ggacaatggga >hg38_chr10:55882590-55882600(+) tgacaatataa >hg38_chr10:55940138-55940148(-) TAACAATAGCC >hg38_chr10:55979383-55979393(+) taacaatagga >hg38_chr10:55979437-55979447(+) ggacaaaggac >hg38_chr10:56004575-56004585(-) ttataatggag >hg38_chr10:56004597-56004607(-) atacaatgatg >hg38_chr10:56147004-56147014(-) AAACAAAGGCC >hg38_chr10:56267451-56267461(-) taataatggac >hg38_chr10:56281829-56281839(+) aaacaaaggat >hg38_chr10:56340870-56340880(+) AGATAATGGCA >hg38_chr10:56340876-56340886(-) ATACAATGCCA >hg38_chr10:56356631-56356641(+) aaacaataaaa >hg38_chr10:56361354-56361364(-) GCACAATGGTC >hg38_chr10:56379389-56379399(+) aaacaatagga >hg38_chr10:56586793-56586803(-) gaacaacggga >hg38_chr10:56599295-56599305(-) GGACAATAAGA >hg38_chr10:56699600-56699610(+) TGACAATAGAA >hg38_chr10:56809982-56809992(+) gtacaatggtc >hg38_chr10:56876846-56876856(+) AAACAATGTGA >hg38_chr10:57092155-57092165(+) agacaatgcca >hg38_chr10:57114945-57114955(+) taacaatgggc >hg38_chr10:57114996-57115006(+) agacaatagta >hg38_chr10:57119740-57119750(+) AAACGATGGCT >hg38_chr10:57133803-57133813(+) TGACAATGACA >hg38_chr10:57200212-57200222(+) ACACAATGAAT >hg38_chr10:57222801-57222811(+) aaacagtggga >hg38_chr10:57251074-57251084(-) acacaatgtgg >hg38_chr10:57258523-57258533(+) GCACAATGCAC >hg38_chr10:57339212-57339222(+) TGACAATAGTT >hg38_chr10:57396113-57396123(-) ttataatggag >hg38_chr10:57421031-57421041(+) ACACAATATAT >hg38_chr10:57421051-57421061(-) GGACAATGGAG >hg38_chr10:57534277-57534287(-) GCACAATGAGA >hg38_chr10:57534728-57534738(-) ATACAAAGGGT >hg38_chr10:57572251-57572261(-) atacaatatat >hg38_chr10:57652511-57652521(+) AAACAAAGGTA >hg38_chr10:57703512-57703522(+) TAACAATAAAT >hg38_chr10:57723477-57723487(-) TGACAATGACA >hg38_chr10:57727112-57727122(+) TAACAATAAGA >hg38_chr10:57739931-57739941(+) AGACAATAATA >hg38_chr10:57799062-57799072(-) GGACAATAGCA >hg38_chr10:57810193-57810203(-) ACATAATGGAA >hg38_chr10:57810238-57810248(+) TTACAATGATG >hg38_chr10:57810256-57810266(-) AAACAATAGGA >hg38_chr10:57810663-57810673(-) gaacaatgcct >hg38_chr10:57873422-57873432(-) TAACAATGAAT >hg38_chr10:57877524-57877534(-) AGACAATGATG >hg38_chr10:57920575-57920585(-) taacaatgctc >hg38_chr10:57947577-57947587(-) agacaatgtgt >hg38_chr10:57960911-57960921(+) ACACAAAGGAT >hg38_chr10:57960958-57960968(+) AAACAAAGGAT >hg38_chr10:57960978-57960988(-) AAACAATAAGA >hg38_chr10:57970154-57970164(+) aaacaaaggaa >hg38_chr10:58003168-58003178(-) ttacaatgaat >hg38_chr10:58003197-58003207(-) ACACAAtgaac >hg38_chr10:58023969-58023979(-) TAACAATGATA >hg38_chr10:58028070-58028080(-) AAACAATGTGC >hg38_chr10:58029346-58029356(+) TAACAATATTC >hg38_chr10:58029361-58029371(-) CTACAATGTTG >hg38_chr10:58029432-58029442(-) GAACAATGGGA >hg38_chr10:58089763-58089773(+) CAACAATGTCC >hg38_chr10:58122545-58122555(+) taacaaagggc >hg38_chr10:58125165-58125175(+) tgataatggtt >hg38_chr10:58149045-58149055(-) aaacaatgcat >hg38_chr10:58157591-58157601(+) TAACAATGTGT >hg38_chr10:58157605-58157615(-) AGACAATAGTG >hg38_chr10:58157646-58157656(-) GTACAGTGGTC >hg38_chr10:58157653-58157663(+) GTACAATAATT >hg38_chr10:58189248-58189258(-) agacaatgtgg >hg38_chr10:58249670-58249680(-) ctacaaaggaa >hg38_chr10:58263769-58263779(-) caacaatagct >hg38_chr10:58263790-58263800(+) acacaatgttc >hg38_chr10:58276472-58276482(+) AAACAAAGGAC >hg38_chr10:58276951-58276961(+) TAACAAAGGAC >hg38_chr10:58276967-58276977(-) AAACACTGGTA >hg38_chr10:58300917-58300927(+) AAACAATAGAA >hg38_chr10:58317565-58317575(-) aaacaatgaaa >hg38_chr10:58317599-58317609(-) taacaaaggat >hg38_chr10:58336291-58336301(+) CAACAATGGAC >hg38_chr10:58344245-58344255(-) AAACAATGCTC >hg38_chr10:58359577-58359587(-) gaacaatagaa >hg38_chr10:58362035-58362045(-) GGACAATGCAA >hg38_chr10:58381213-58381223(-) GGACAATATAA >hg38_chr10:58390376-58390386(-) GAACAATGGAC >hg38_chr10:58398379-58398389(-) TAACATTGGTA >hg38_chr10:58438270-58438280(+) AGACAATGAAT >hg38_chr10:58469277-58469287(-) CAACAATAGTC >hg38_chr10:58501954-58501964(-) gaataatggag >hg38_chr10:58561641-58561651(-) aaacaataaga >hg38_chr10:58562257-58562267(-) agacaatggaa >hg38_chr10:58562288-58562298(-) aaacaataaaa >hg38_chr10:58577253-58577263(+) gaacaatgagg >hg38_chr10:58631923-58631933(+) AAACAATGATT >hg38_chr10:58636188-58636198(+) gtacaaaggag >hg38_chr10:58641833-58641843(-) caacaatgcta >hg38_chr10:58641848-58641858(-) ACacaatgtga >hg38_chr10:58641866-58641876(-) TCACAATGTGA >hg38_chr10:58641878-58641888(-) ACACAATGTGA >hg38_chr10:58698930-58698940(+) acACAATGATG >hg38_chr10:58706385-58706395(-) gcacaatatac >hg38_chr10:58712763-58712773(+) ttacaatggtg >hg38_chr10:58712780-58712790(-) gtacaatgaca >hg38_chr10:58746752-58746762(-) TGACAATGCCA >hg38_chr10:58768935-58768945(+) ccacaatggaa >hg38_chr10:58779280-58779290(-) ATACAAAGACG >hg38_chr10:58781863-58781873(+) ACACAATGCTG >hg38_chr10:58796319-58796329(-) ACATAATGGGG >hg38_chr10:58808229-58808239(-) TCATAATGGTT >hg38_chr10:58808276-58808286(-) TTATAATGGAA >hg38_chr10:58815008-58815018(-) AAACAATGGGC >hg38_chr10:58817461-58817471(+) GAACAATGGAA >hg38_chr10:58880828-58880838(-) gaacaaaggac >hg38_chr10:58880850-58880860(-) aaacaataaga >hg38_chr10:58891894-58891904(+) AGACAATGCCC >hg38_chr10:58892219-58892229(-) ACACAATAGCA >hg38_chr10:58919498-58919508(-) TCACAATGGCT >hg38_chr10:58931335-58931345(-) TGACAATGAGG >hg38_chr10:58943417-58943427(+) ATACAATGGTA >hg38_chr10:58952907-58952917(-) TCACAATGTGA >hg38_chr10:58971612-58971622(+) TGATAATGGTG >hg38_chr10:58982033-58982043(+) tgacaatgcct >hg38_chr10:58992734-58992744(+) GAACAATGGAA >hg38_chr10:58994287-58994297(+) GTACAAAGGCT >hg38_chr10:59034518-59034528(+) ggacaatgtat >hg38_chr10:59090908-59090918(+) GAACAATGGAG >hg38_chr10:59104411-59104421(-) taacaatggct >hg38_chr10:59104423-59104433(-) gGACAATgttc >hg38_chr10:59112142-59112152(-) gaacaatgaga >hg38_chr10:59134260-59134270(-) CAACAATGTGT >hg38_chr10:59151238-59151248(-) GCATAATGGGA >hg38_chr10:59164276-59164286(+) CTATAATGGTT >hg38_chr10:59167918-59167928(-) GTACAATGGCT >hg38_chr10:59175823-59175833(+) gaacaatggca >hg38_chr10:59220656-59220666(+) gaacaatgaag >hg38_chr10:59237305-59237315(-) AAACAATAAAT >hg38_chr10:59240827-59240837(-) AAACAAAGGCC >hg38_chr10:59247765-59247775(+) TCACAATGAAT >hg38_chr10:59248380-59248390(+) TAACAATGCAT >hg38_chr10:59264046-59264056(-) TCACAAAGGAA >hg38_chr10:59287684-59287694(+) caacaatggta >hg38_chr10:59289998-59290008(+) GAACAATGACC >hg38_chr10:59347072-59347082(-) AGACAATAGGC >hg38_chr10:59362288-59362298(+) AGACAATGCAT >hg38_chr10:59383797-59383807(-) GAACAATGGCC >hg38_chr10:59383809-59383819(-) GAACAATGGTG >hg38_chr10:59393342-59393352(-) taacaatatca >hg38_chr10:59402985-59402995(+) taacaattgta >hg38_chr10:59434255-59434265(+) aaacaatgcct >hg38_chr10:59536265-59536275(+) ATACAATATCC >hg38_chr10:59556853-59556863(+) gtacaatgcct >hg38_chr10:59571466-59571476(+) agacaatgtcc >hg38_chr10:59585150-59585160(-) ctataatggca >hg38_chr10:59585175-59585185(+) agacaatagta >hg38_chr10:59595818-59595828(+) AAACAATGGCA >hg38_chr10:59602599-59602609(-) GCActatggac >hg38_chr10:59632232-59632242(-) aaacaatgaca >hg38_chr10:59632305-59632315(+) gtacaatgcaa >hg38_chr10:59680114-59680124(+) TCACAATGTAT >hg38_chr10:59685163-59685173(-) ggacaaaggaa >hg38_chr10:59700770-59700780(+) AGACAATAAAA >hg38_chr10:59706129-59706139(-) tgacaaaggaa >hg38_chr10:59710341-59710351(-) TCACAAAGGAC >hg38_chr10:59762678-59762688(+) acacaaaggca >hg38_chr10:59800749-59800759(+) ATACAATAAAG >hg38_chr10:59809297-59809307(+) GAACAATAACT >hg38_chr10:59809313-59809323(-) AGACAATGTTC >hg38_chr10:59811568-59811578(+) ctacaatgcat >hg38_chr10:59814381-59814391(+) GAACAATAGCA >hg38_chr10:59830762-59830772(+) GAACAATAGGA >hg38_chr10:59862933-59862943(-) acacaatgaat >hg38_chr10:59874438-59874448(+) ATACAAAGATA >hg38_chr10:59935513-59935523(+) ggacaaagggt >hg38_chr10:59960388-59960398(-) TTATAATGGCT >hg38_chr10:59962863-59962873(+) taataatgata >hg38_chr10:59962916-59962926(-) acacaatgcct >hg38_chr10:59962967-59962977(-) atacaatggtt >hg38_chr10:59965595-59965605(+) aaacaatggta >hg38_chr10:59971576-59971586(-) agacaataaac >hg38_chr10:59972105-59972115(+) gaacaatgagt >hg38_chr10:59989707-59989717(-) ggacaatgaga >hg38_chr10:59996116-59996126(-) GAACAAAGGAA >hg38_chr10:60003785-60003795(-) ACACAATggct >hg38_chr10:60032871-60032881(+) GTACAATGTTG >hg38_chr10:60048237-60048247(+) TTACTATGGCA >hg38_chr10:60086768-60086778(-) TCACAATGACC >hg38_chr10:60112551-60112561(-) GAACAATATCG >hg38_chr10:60115875-60115885(+) agataatggct >hg38_chr10:60143197-60143207(-) ACACAATGCCT >hg38_chr10:60143238-60143248(+) GAACAATAACA >hg38_chr10:60148117-60148127(-) CTACAATGCCA >hg38_chr10:60169447-60169457(-) atacaatgtga >hg38_chr10:60169463-60169473(+) gtacaatacca >hg38_chr10:60169485-60169495(-) caacaatgata >hg38_chr10:60170116-60170126(+) AAACAATGCAG >hg38_chr10:60172816-60172826(+) GTACAATGAAA >hg38_chr10:60184882-60184892(+) ATACAATATTA >hg38_chr10:60186185-60186195(+) TAACAATGGTG >hg38_chr10:60221944-60221954(-) GAACAATGGCT >hg38_chr10:60224188-60224198(-) TTACTATGGCA >hg38_chr10:60235239-60235249(+) AGACAATAGGA >hg38_chr10:60258570-60258580(-) AAACAATAGAA >hg38_chr10:60258598-60258608(-) AAACAAAGGCG >hg38_chr10:60276466-60276476(+) GAACAATGCAG >hg38_chr10:60300157-60300167(-) GGACAATGGAC >hg38_chr10:60328187-60328197(+) AAACAATGGAA >hg38_chr10:60338830-60338840(-) TCACAATGCAG >hg38_chr10:60351061-60351071(+) AAACAATGACA >hg38_chr10:60387638-60387648(+) TTACAATAGCG >hg38_chr10:60416300-60416310(-) taacaatagtt >hg38_chr10:60428230-60428240(+) AAACAATGAGG >hg38_chr10:60441659-60441669(+) GTACAATAAGA >hg38_chr10:60466410-60466420(+) gaacaatggtg >hg38_chr10:60479492-60479502(-) taacaatgggg >hg38_chr10:60508250-60508260(+) CAACAATGCCA >hg38_chr10:60511427-60511437(-) ACACAATAGTT >hg38_chr10:60522299-60522309(+) ACATAATGGCT >hg38_chr10:60522313-60522323(+) ACACAAAGGGA >hg38_chr10:60526436-60526446(-) ACACAATAAAA >hg38_chr10:60540585-60540595(+) GTACAGTGGGG >hg38_chr10:60540620-60540630(+) TGACAATGAGA >hg38_chr10:60572427-60572437(+) GAACAATGAAG >hg38_chr10:60573158-60573168(+) AAACAATAAAA >hg38_chr10:60577190-60577200(-) AGACAATGCCA >hg38_chr10:60604132-60604142(+) GGACAAAGGCA >hg38_chr10:60605674-60605684(+) AAATAATGGGA >hg38_chr10:60611621-60611631(-) GAACAATGCTG >hg38_chr10:60629514-60629524(-) TTACTATGGCA >hg38_chr10:60644705-60644715(+) AGATAATGGAA >hg38_chr10:60664498-60664508(-) TTACAATAGGA >hg38_chr10:60678126-60678136(+) acacaaaggca >hg38_chr10:60704644-60704654(+) GTACAAAGGTC >hg38_chr10:60709049-60709059(-) acacaatgaat >hg38_chr10:60715294-60715304(-) ttataatggta >hg38_chr10:60715365-60715375(+) GAACAATGCAT >hg38_chr10:60735456-60735466(+) AGACAATAGAG >hg38_chr10:60742771-60742781(-) gaacaataggg >hg38_chr10:60787529-60787539(-) AGACAATGTCA >hg38_chr10:60813174-60813184(-) gaacaatgact >hg38_chr10:60823006-60823016(-) gcacaatggtt >hg38_chr10:60853729-60853739(-) CCACAATGGCC >hg38_chr10:60865570-60865580(-) TTACAATGAGT >hg38_chr10:60883466-60883476(-) GCACAAAGGGA >hg38_chr10:60884243-60884253(+) TCACAATGGGA >hg38_chr10:60884294-60884304(-) AAACAATAGCT >hg38_chr10:60887242-60887252(+) ACACAATGGCC >hg38_chr10:60895082-60895092(+) GCACAATGCCA >hg38_chr10:60909023-60909033(+) GAACAATGCAC >hg38_chr10:60931085-60931095(+) ggacaatgagg >hg38_chr10:60932966-60932976(+) ATACAATGACA >hg38_chr10:60963548-60963558(-) AGACAAAGGGA >hg38_chr10:61011306-61011316(-) ACACAATGTGT >hg38_chr10:61014234-61014244(-) GAACAATAAAA >hg38_chr10:61045216-61045226(+) TTACAAAGGAA >hg38_chr10:61045224-61045234(+) GAACAAAGGAA >hg38_chr10:61062176-61062186(+) AAACAACGGGT >hg38_chr10:61093065-61093075(+) taacaatagaa >hg38_chr10:61140898-61140908(-) ACACAATGGAA >hg38_chr10:61140930-61140940(+) GTACAATGTTC >hg38_chr10:61179681-61179691(+) ATATAATAGTA >hg38_chr10:61202817-61202827(-) ctacaatgcag >hg38_chr10:61202856-61202866(+) tgacaataggc >hg38_chr10:61204479-61204489(+) atacaatagat >hg38_chr10:61205307-61205317(+) ccacaatagct >hg38_chr10:61217988-61217998(-) CAACAATGTCC >hg38_chr10:61223084-61223094(+) GGATAATGGGC >hg38_chr10:61262313-61262323(-) ccacaatgatc >hg38_chr10:61263723-61263733(+) TAACAATGGAT >hg38_chr10:61263741-61263751(+) GTACAATGTTG >hg38_chr10:61276273-61276283(+) AGACAATGAGA >hg38_chr10:61281046-61281056(-) CCACAATGGTC >hg38_chr10:61294871-61294881(-) GAACAATGAGA >hg38_chr10:61318009-61318019(+) aaacaatataa >hg38_chr10:61346814-61346824(+) TTACAATAAGA >hg38_chr10:61404856-61404866(+) ttacaaaggag >hg38_chr10:61405021-61405031(-) ccacaatgaaa >hg38_chr10:61454429-61454439(+) gtacaaaggga >hg38_chr10:61481235-61481245(+) AAACAAAGGAA >hg38_chr10:61483850-61483860(-) ccacaatgatc >hg38_chr10:61483876-61483886(-) caacaatgcat >hg38_chr10:61486915-61486925(-) ggacaaaggaa >hg38_chr10:61486944-61486954(-) tcacaatggct >hg38_chr10:61503699-61503709(+) AGACAATGAGG >hg38_chr10:61519860-61519870(-) caacaatggag >hg38_chr10:61563583-61563593(-) cgacaatatga >hg38_chr10:61594583-61594593(+) GCACAATGAGG >hg38_chr10:61604163-61604173(+) agacaatagat >hg38_chr10:61623214-61623224(+) GAACAAAGACG >hg38_chr10:61623478-61623488(-) TAACAAAGCGA >hg38_chr10:61642602-61642612(+) ATACAATATCA >hg38_chr10:61643844-61643854(-) ACACAATGCTG >hg38_chr10:61643884-61643894(+) TAACAATACAT >hg38_chr10:61645149-61645159(-) ATACACTGGGT >hg38_chr10:61693004-61693014(-) TAACAAAGGAA >hg38_chr10:61693037-61693047(-) TTACAATATAG >hg38_chr10:61724395-61724405(+) ATACAATGATA >hg38_chr10:61724428-61724438(-) CTACAATGATC >hg38_chr10:61744700-61744710(-) GGACAATAGGT >hg38_chr10:61745451-61745461(-) AAACAATGTAC >hg38_chr10:61766476-61766486(+) AAACAATAGCA >hg38_chr10:61833234-61833244(-) atacaaagggc >hg38_chr10:61843271-61843281(+) AGACAATGCAA >hg38_chr10:61897907-61897917(-) CTACAATAATA >hg38_chr10:61900504-61900514(+) GAACAATAAAT >hg38_chr10:61902574-61902584(-) TGACAATGGCA >hg38_chr10:61904813-61904823(-) GCACAATGCAG >hg38_chr10:61911703-61911713(-) GCACAATGTAT >hg38_chr10:61911767-61911777(-) AGACAAAGGCA >hg38_chr10:61911781-61911791(-) GAATAATGACG >hg38_chr10:61938633-61938643(-) AAACAATGGGG >hg38_chr10:61939328-61939338(-) GAACAAAGGGA >hg38_chr10:61941462-61941472(+) GCACAATGCTG >hg38_chr10:61941963-61941973(-) AGACAATGGTA >hg38_chr10:61944775-61944785(-) TGACAATGAGT >hg38_chr10:61945614-61945624(-) aaacaatatat >hg38_chr10:61968759-61968769(-) AGACAATGCCT >hg38_chr10:61968923-61968933(+) GAACAATAATA >hg38_chr10:61970876-61970886(+) AAACAATGGAT >hg38_chr10:61974193-61974203(+) AGACAATGAGC >hg38_chr10:61974981-61974991(-) CAACAATGCTA >hg38_chr10:61975002-61975012(+) AAACAATGTAT >hg38_chr10:61975695-61975705(-) TGACAATAGTT >hg38_chr10:62017828-62017838(-) TTACAATGAGT >hg38_chr10:62019170-62019180(+) GCACAATGGAA >hg38_chr10:62019243-62019253(-) TTACAATGTTG >hg38_chr10:62036374-62036384(-) AAACAAAGGAG >hg38_chr10:62044767-62044777(-) GAACAATAGAT >hg38_chr10:62051676-62051686(+) CGACAAAGGGT >hg38_chr10:62073500-62073510(-) TGACAATGATG >hg38_chr10:62077866-62077876(+) TCACAAAGGAA >hg38_chr10:62095759-62095769(-) AAACAATGCAA >hg38_chr10:62095827-62095837(-) TAACAATGGTT >hg38_chr10:62131559-62131569(+) atacaatgaag >hg38_chr10:62197156-62197166(+) TCACAATGGAA >hg38_chr10:62199791-62199801(+) GAACAAAGGTG >hg38_chr10:62211296-62211306(+) TGACAATGGGA >hg38_chr10:62214454-62214464(+) TTACAATGCCA >hg38_chr10:62232994-62233004(+) AAACAATGAAG >hg38_chr10:62233027-62233037(-) AAACAATGAAT >hg38_chr10:62245141-62245151(-) AGACAATGGCT >hg38_chr10:62266699-62266709(+) GGACAAAGGAT >hg38_chr10:62266715-62266725(+) Caacaatagtc >hg38_chr10:62295946-62295956(-) gcacaatgtct >hg38_chr10:62352702-62352712(-) gaacactggat >hg38_chr10:62381783-62381793(+) TCACAATGAGA >hg38_chr10:62384406-62384416(-) AAACAATGAAA >hg38_chr10:62384435-62384445(-) atataatggat >hg38_chr10:62394966-62394976(-) GAACAATGAGG >hg38_chr10:62451728-62451738(-) AAACAATGATG >hg38_chr10:62506114-62506124(-) AAACAATGAAC >hg38_chr10:62507721-62507731(-) CCACAATGAGG >hg38_chr10:62514396-62514406(+) CAACAATGCTC >hg38_chr10:62518893-62518903(+) AGACAATGGTT >hg38_chr10:62532734-62532744(+) ttacaatcgct >hg38_chr10:62546134-62546144(-) TCACAATGGAA >hg38_chr10:62627269-62627279(-) AAACACTGGAT >hg38_chr10:62654251-62654261(-) TAACAGTGGCA >hg38_chr10:62655040-62655050(+) tgacaatgcaa >hg38_chr10:62670568-62670578(+) TAACAATGGGT >hg38_chr10:62711253-62711263(+) aaacaatgaat >hg38_chr10:62760595-62760605(-) AAACAATGGAC >hg38_chr10:62812323-62812333(-) AGACAATGTTA >hg38_chr10:62886402-62886412(+) GGACAAAGGAC >hg38_chr10:62886451-62886461(+) CCACAATGGAC >hg38_chr10:62892812-62892822(-) GGACAAAGGGC >hg38_chr10:62893459-62893469(+) ATACAATAACT >hg38_chr10:62900282-62900292(-) TGACAATGTCA >hg38_chr10:62904177-62904187(-) TTACAATATTA >hg38_chr10:62904199-62904209(+) CAACAATAGGA >hg38_chr10:62911751-62911761(+) AGACAAAGGTT >hg38_chr10:62916345-62916355(+) ACACAATGCCA >hg38_chr10:62934268-62934278(+) CAACAATGCCT >hg38_chr10:62987244-62987254(-) ATACAATCGAC >hg38_chr10:62987629-62987639(-) ATACAATAGGA >hg38_chr10:62992080-62992090(-) AAACAATAAAC >hg38_chr10:63057796-63057806(+) AGACAATAGCA >hg38_chr10:63081554-63081564(+) taacaataggt >hg38_chr10:63124097-63124107(-) ctacaaaggat >hg38_chr10:63144746-63144756(-) acacaatggaa >hg38_chr10:63170887-63170897(-) AGACAATGTCA >hg38_chr10:63174380-63174390(+) caacaatagga >hg38_chr10:63188550-63188560(+) AAACAATAAAT >hg38_chr10:63189039-63189049(-) GGACAATAAGA >hg38_chr10:63198303-63198313(-) TCACAATAGCA >hg38_chr10:63199358-63199368(-) GTACAATATAA >hg38_chr10:63199851-63199861(+) taacaatagag >hg38_chr10:63218776-63218786(+) caacaatgggg >hg38_chr10:63218800-63218810(+) tcacaatgtgt >hg38_chr10:63220359-63220369(+) TGACAATAGAT >hg38_chr10:63226141-63226151(+) GAACAACGGGT >hg38_chr10:63240950-63240960(-) taacaatacta >hg38_chr10:63308963-63308973(+) tgacaaaggat >hg38_chr10:63309012-63309022(+) ttacaatggtc >hg38_chr10:63330864-63330874(-) GAACAATAAGT >hg38_chr10:63332890-63332900(-) AAACAATGAAT >hg38_chr10:63332922-63332932(-) GCATAATGGTT >hg38_chr10:63358146-63358156(+) TTATAATGGAA >hg38_chr10:63377140-63377150(-) tgacaatgtct >hg38_chr10:63388757-63388767(+) aaataatggca >hg38_chr10:63396549-63396559(+) ATACAACGGGC >hg38_chr10:63432267-63432277(+) atacaatgact >hg38_chr10:63435017-63435027(-) AGACAATGTGA >hg38_chr10:63435062-63435072(+) GAACAATGCGC >hg38_chr10:63436335-63436345(+) AAACAATGACT >hg38_chr10:63457499-63457509(+) CTACAAAGGAA >hg38_chr10:63495136-63495146(+) caacaatgaat >hg38_chr10:63514602-63514612(+) caacaatagac >hg38_chr10:63522311-63522321(-) GAACAAAGGCA >hg38_chr10:63522336-63522346(-) GAACAATGAAA >hg38_chr10:63530822-63530832(-) AAACAATAACA >hg38_chr10:63554749-63554759(-) AGacaatggtc >hg38_chr10:63585474-63585484(-) GCACAATGTCT >hg38_chr10:63628850-63628860(-) GAACAATAAAG >hg38_chr10:63644669-63644679(-) TGACAAAGGAA >hg38_chr10:63670284-63670294(-) GAACAAAGGAG >hg38_chr10:63684821-63684831(+) acacaatcgat >hg38_chr10:63703565-63703575(+) GGACAGTGGAT >hg38_chr10:63732369-63732379(-) acacaataaac >hg38_chr10:63818782-63818792(+) TTACAATGGGA >hg38_chr10:63826867-63826877(+) ccacaatgggg >hg38_chr10:63845887-63845897(+) acacaatgatg >hg38_chr10:63845903-63845913(-) atataatgtaa >hg38_chr10:63845908-63845918(-) agacaatataa >hg38_chr10:63869272-63869282(-) TAACAATAGAG >hg38_chr10:63880784-63880794(-) caacaatgagt >hg38_chr10:63881137-63881147(-) atacaataata >hg38_chr10:63922338-63922348(+) gaacaatagaa >hg38_chr10:63986775-63986785(-) ccacaatggag >hg38_chr10:64036657-64036667(-) TCACAATAGAG >hg38_chr10:64060111-64060121(-) AGACAAAGGTG >hg38_chr10:64078913-64078923(-) GTACAAAGGAG >hg38_chr10:64134783-64134793(-) GAACAAAGGCA >hg38_chr10:64157390-64157400(-) AGACAATGAGG >hg38_chr10:64158501-64158511(+) GAACAATGTAC >hg38_chr10:64158518-64158528(-) AAACAATGGTC >hg38_chr10:64351584-64351594(-) AGACAATGCAT >hg38_chr10:64353505-64353515(-) ATACAATGTGA >hg38_chr10:64354686-64354696(-) GAACAATATAA >hg38_chr10:64366537-64366547(-) atataatgggc >hg38_chr10:64385580-64385590(-) agacaatggga >hg38_chr10:64431617-64431627(+) ggacaatgatg >hg38_chr10:64463290-64463300(-) ATACAATGAAC >hg38_chr10:64463314-64463324(+) GAACAATGAAG >hg38_chr10:64468373-64468383(-) aaacaataggg >hg38_chr10:64636681-64636691(+) ACACAATGCTT >hg38_chr10:64636726-64636736(-) aaacaatgaaa >hg38_chr10:64734153-64734163(+) aaacaatatac >hg38_chr10:64811866-64811876(+) atacaaaggcc >hg38_chr10:64811879-64811889(-) tcacaatagtc >hg38_chr10:64811894-64811904(+) agacaaaggca >hg38_chr10:64867240-64867250(-) agacaatataa >hg38_chr10:64867266-64867276(+) ctacaatggca >hg38_chr10:64867303-64867313(+) ccacaatgttt >hg38_chr10:65125399-65125409(+) ttacaatgcta >hg38_chr10:65145903-65145913(-) gaacaatgcca >hg38_chr10:65205219-65205229(-) gaacaaaggct >hg38_chr10:65273242-65273252(+) TTACAATGAGA >hg38_chr10:65451547-65451557(-) ACATAATGGCC >hg38_chr10:65471150-65471160(-) TGACAATGAAG >hg38_chr10:65499495-65499505(+) AAACAATAAAT >hg38_chr10:65499623-65499633(-) TTACAATACTA >hg38_chr10:65544279-65544289(+) CCACAATGGAG >hg38_chr10:65609125-65609135(+) tgacaatggct >hg38_chr10:65815593-65815603(-) TTATAATGGAA >hg38_chr10:65846139-65846149(-) ACATAATGGAG >hg38_chr10:65919218-65919228(-) TCATAATGGAC >hg38_chr10:66103519-66103529(+) gtacaatggaa >hg38_chr10:66116156-66116166(-) ATACAATGATG >hg38_chr10:66116212-66116222(-) GCACAATGCAT >hg38_chr10:66155846-66155856(-) taacaatgtct >hg38_chr10:66180793-66180803(+) AAACAATAGAG >hg38_chr10:66214046-66214056(-) ACACAATGCCT >hg38_chr10:66223852-66223862(+) tcacaatgtgg >hg38_chr10:66322500-66322510(-) gtacaatgccc >hg38_chr10:66466541-66466551(-) acacaatgagt >hg38_chr10:66559981-66559991(+) acacaataggc >hg38_chr10:66560387-66560397(+) gtacaataaat >hg38_chr10:66613864-66613874(-) AAACAATATAG >hg38_chr10:66623041-66623051(+) TCACAATGCCT >hg38_chr10:66638744-66638754(-) CAACAAAGGTA >hg38_chr10:66694774-66694784(+) GCACAATGGCA >hg38_chr10:66694790-66694800(+) GAACAATAGTG >hg38_chr10:66706646-66706656(-) AGACAATAGCC >hg38_chr10:66706663-66706673(+) TAACACTGGTA >hg38_chr10:66723609-66723619(+) GAATAATGGCA >hg38_chr10:66746650-66746660(+) ctACAATAGGT >hg38_chr10:66770336-66770346(+) GCACAATGCAT >hg38_chr10:66800779-66800789(-) AGACAATGTAT >hg38_chr10:66827488-66827498(-) GGACAATATTA >hg38_chr10:66834017-66834027(+) caacaataggg >hg38_chr10:66834027-66834037(-) gaacaatacac >hg38_chr10:66834363-66834373(+) taacaataaca >hg38_chr10:66834421-66834431(-) tcacaatgata >hg38_chr10:66835038-66835048(+) ACACAATAGCA >hg38_chr10:66843979-66843989(+) GAACAATAATA >hg38_chr10:66926575-66926585(+) ATACAAAGGAT >hg38_chr10:66932155-66932165(+) TGACAATGATG >hg38_chr10:66939856-66939866(-) GTACAATGAAA >hg38_chr10:66952231-66952241(-) ttacaatgagt >hg38_chr10:66955435-66955445(+) tgacaatgcca >hg38_chr10:66985041-66985051(-) aaacaaaggca >hg38_chr10:66997173-66997183(-) ATACAAAGGGT >hg38_chr10:66998689-66998699(-) ggacaatgtta >hg38_chr10:66998751-66998761(+) caataatggga >hg38_chr10:67050586-67050596(-) GAACAATGTCT >hg38_chr10:67052594-67052604(-) GGACAATGCCA >hg38_chr10:67098614-67098624(-) TAACAATGGTT >hg38_chr10:67098660-67098670(+) AAACAAAGGCT >hg38_chr10:67099453-67099463(+) AAACAATGAAG >hg38_chr10:67122622-67122632(+) AAACAAAGGAG >hg38_chr10:67131330-67131340(+) agacaataata >hg38_chr10:67137654-67137664(-) TGACAAAGGAA >hg38_chr10:67141452-67141462(-) GGATAATGGCA >hg38_chr10:67218785-67218795(+) ctacaatagcc >hg38_chr10:67218818-67218828(-) gaacaatgaga >hg38_chr10:67345991-67346001(-) atacaatggat >hg38_chr10:67378931-67378941(+) AAATAATGGGC >hg38_chr10:67421990-67422000(-) taacaatagca >hg38_chr10:67423720-67423730(+) TGATAATGGAG >hg38_chr10:67476386-67476396(-) gaacagtgggt >hg38_chr10:67494275-67494285(-) ACACAATGATG >hg38_chr10:67495204-67495214(+) TTACAATGTTT >hg38_chr10:67526787-67526797(-) TGACAATGGTC >hg38_chr10:67609638-67609648(-) taacaatgatt >hg38_chr10:67610170-67610180(-) GAACAATAGAG >hg38_chr10:67613425-67613435(+) TCACAATGTTC >hg38_chr10:67629802-67629812(-) GAACAATAAGA >hg38_chr10:67674658-67674668(-) aaacaatagtg >hg38_chr10:67674686-67674696(-) ttacactggta >hg38_chr10:67675028-67675038(-) caacaatggga >hg38_chr10:67677747-67677757(-) GTACAATATGT >hg38_chr10:67781239-67781249(+) ATACAATGTGG >hg38_chr10:67815798-67815808(-) CAACAATGATG >hg38_chr10:67820294-67820304(+) caacaatgaca >hg38_chr10:67820300-67820310(+) tgacaaaggat >hg38_chr10:67838173-67838183(-) TTACAAAGGTC >hg38_chr10:67861975-67861985(+) TTACAATGATC >hg38_chr10:67862015-67862025(-) AGACAATGACA >hg38_chr10:67878147-67878157(-) taacaatgtat >hg38_chr10:67885024-67885034(+) AGACAATGGGC >hg38_chr10:67905214-67905224(+) ATACAATGCTT >hg38_chr10:67917246-67917256(-) ATACAATAATC >hg38_chr10:67937276-67937286(+) ATACAATGAAA >hg38_chr10:67958422-67958432(+) TGACAATGAGG >hg38_chr10:67958437-67958447(+) AAACAATATTA >hg38_chr10:67959158-67959168(+) TAACAATAACG >hg38_chr10:67967663-67967673(+) gaacaatgaaa >hg38_chr10:67981297-67981307(+) atataatgata >hg38_chr10:67981580-67981590(-) gaacaatgttt >hg38_chr10:67993991-67994001(+) GCACAATGTTT >hg38_chr10:68001926-68001936(+) atacaatattt >hg38_chr10:68023336-68023346(+) acacaatggaa >hg38_chr10:68023563-68023573(-) aaacaatgact >hg38_chr10:68033682-68033692(+) CAATAATGGAT >hg38_chr10:68069559-68069569(-) TGACAATGTAG >hg38_chr10:68083689-68083699(+) TTATAATGGGA >hg38_chr10:68133653-68133663(+) atacaataagc >hg38_chr10:68137198-68137208(-) gaacaatgaaa >hg38_chr10:68208703-68208713(+) CAACAATAGGC >hg38_chr10:68240028-68240038(-) AAACAATGGTG >hg38_chr10:68297612-68297622(+) gaacaatgcct >hg38_chr10:68327781-68327791(+) GTACAATAATT >hg38_chr10:68331589-68331599(+) GCACAATGCAA >hg38_chr10:68332894-68332904(+) GGACAAAGGAG >hg38_chr10:68332914-68332924(-) TTACAAAGGAG >hg38_chr10:68334801-68334811(-) CAACAATGTCA >hg38_chr10:68342839-68342849(+) ttactatggag >hg38_chr10:68345194-68345204(+) CGACAATAACT >hg38_chr10:68559462-68559472(-) CTACAATGTAG >hg38_chr10:68560952-68560962(+) AAACAATGCCC >hg38_chr10:68600474-68600484(-) AGACAAAGGAT >hg38_chr10:68601311-68601321(-) CTACAAAGGGA >hg38_chr10:68721042-68721052(-) TAACAATGAGC >hg38_chr10:68731230-68731240(-) GTACAAAGGTA >hg38_chr10:68739707-68739717(+) TGATAATGGGT >hg38_chr10:68840075-68840085(+) acacaatgggg >hg38_chr10:68871752-68871762(-) AAACAATAAGA >hg38_chr10:68871801-68871811(+) ACACAATAGAT >hg38_chr10:68877028-68877038(+) GCACAAAGGAA >hg38_chr10:68897664-68897674(+) TGACAATGTGA >hg38_chr10:68897734-68897744(+) AAACAATGAGA >hg38_chr10:68899788-68899798(+) CTACTATGGTA >hg38_chr10:68901149-68901159(+) GAACAAGGGCG >hg38_chr10:68909275-68909285(+) GAACAATAAAG >hg38_chr10:68935251-68935261(+) GTACAATAGAC >hg38_chr10:68973326-68973336(+) AGACAATGCTC >hg38_chr10:68973362-68973372(-) GAACAATGGTC >hg38_chr10:68973783-68973793(-) TAACAATAAGC >hg38_chr10:69052349-69052359(-) TGACAATGGCA >hg38_chr10:69052355-69052365(-) AGACAATGACA >hg38_chr10:69052371-69052381(+) ATACAATAAGA >hg38_chr10:69069292-69069302(+) ctacaaaggat >hg38_chr10:69138977-69138987(-) ttactatggta >hg38_chr10:69138988-69138998(-) tgacaatgtac >hg38_chr10:69139118-69139128(-) agacaatgaaa >hg38_chr10:69156857-69156867(+) GGATAATGGAA >hg38_chr10:69163533-69163543(+) gcacaatgctg >hg38_chr10:69180308-69180318(-) CCACAATAGGG >hg38_chr10:69181503-69181513(-) TAACACTGGAA >hg38_chr10:69190724-69190734(-) agacaaaggaa >hg38_chr10:69288739-69288749(+) CCACAATGGGG >hg38_chr10:69348233-69348243(-) AGATAATGGCA >hg38_chr10:69375528-69375538(+) GAACAATGAGG >hg38_chr10:69392772-69392782(-) AGACAAAGGGC >hg38_chr10:69494809-69494819(-) TAACAATCGCA >hg38_chr10:69550196-69550206(+) taacaatgaca >hg38_chr10:69550240-69550250(+) agacaatgaaa >hg38_chr10:69568978-69568988(-) GCACAAAGGTA >hg38_chr10:69573579-69573589(+) GGACAAAGGGC >hg38_chr10:69623913-69623923(+) caacaatagag >hg38_chr10:69646706-69646716(-) TGACAATGCTC >hg38_chr10:69648012-69648022(-) gcacaataggc >hg38_chr10:69656048-69656058(-) Taacaataaca >hg38_chr10:69710556-69710566(+) tgacaatggcg >hg38_chr10:69710581-69710591(+) GAACAAAGGAC >hg38_chr10:69717105-69717115(-) GCACAGTGGCG >hg38_chr10:69838997-69839007(+) CAATAATGGAC >hg38_chr10:69864551-69864561(+) ggacaatgagt >hg38_chr10:69864614-69864624(-) taacaatgcct >hg38_chr10:69868012-69868022(+) AGACAATGGCT >hg38_chr10:69868089-69868099(-) GGACAAAGGAA >hg38_chr10:69883569-69883579(+) tgacaatgcag >hg38_chr10:69896906-69896916(+) AAACGATGGGC >hg38_chr10:69902300-69902310(-) gaacaatagcc >hg38_chr10:69958412-69958422(+) GGACAATGCAG >hg38_chr10:69975769-69975779(+) CAACAATGGAT >hg38_chr10:69975786-69975796(+) ATACAATGTaa >hg38_chr10:69988761-69988771(+) atacaatatct >hg38_chr10:69989887-69989897(+) gcataatggat >hg38_chr10:70003159-70003169(-) ttataatggct >hg38_chr10:70003217-70003227(+) tgacaatgtct >hg38_chr10:70011108-70011118(+) gaacaaaggca >hg38_chr10:70052688-70052698(+) GGACAATAGCT >hg38_chr10:70053515-70053525(+) TGACAATGGAG >hg38_chr10:70054089-70054099(+) CAACAATGGCC >hg38_chr10:70062834-70062844(+) gtacaaaggca >hg38_chr10:70107656-70107666(+) AAACAAAGGAG >hg38_chr10:70154969-70154979(+) AAACAACGGCT >hg38_chr10:70196187-70196197(-) aaacaatgcat >hg38_chr10:70209959-70209969(+) GTACAATAGTA >hg38_chr10:70214559-70214569(-) GCACAATGATA >hg38_chr10:70244598-70244608(+) tcacaatgctc >hg38_chr10:70246437-70246447(-) atataatggct >hg38_chr10:70255978-70255988(+) GAACAAAGGCA >hg38_chr10:70369057-70369067(-) AAACAATGGGG >hg38_chr10:70382551-70382561(+) CGACAATGCCT >hg38_chr10:70403894-70403904(+) CGACAATGACA >hg38_chr10:70403904-70403914(+) AAACAATAAGA >hg38_chr10:70405714-70405724(+) GCACAATAGCA >hg38_chr10:70412941-70412951(+) CAACAATGTAA >hg38_chr10:70413016-70413026(-) caacaatggta >hg38_chr10:70421465-70421475(+) AGACAAAGGGA >hg38_chr10:70421473-70421483(+) GGACAATGGGG >hg38_chr10:70432319-70432329(+) AGACAATGGCT >hg38_chr10:70462971-70462981(-) GGACAATGGGG >hg38_chr10:70503883-70503893(-) ATACAAAGGAA >hg38_chr10:70576509-70576519(-) gaacaaaggag >hg38_chr10:70584774-70584784(-) gaacaatgaaa >hg38_chr10:70716556-70716566(-) ACACAATGGAC >hg38_chr10:70802060-70802070(-) acataatggct >hg38_chr10:70807481-70807491(+) gaacaatggtg >hg38_chr10:70811858-70811868(+) ttacaaaggag >hg38_chr10:70811910-70811920(+) acacaATGATT >hg38_chr10:70827589-70827599(-) GAATAATGGGC >hg38_chr10:70827923-70827933(-) acacaatgttg >hg38_chr10:70827995-70828005(+) ttacaatgtat >hg38_chr10:70880221-70880231(+) AAACAATCGTG >hg38_chr10:70890032-70890042(-) ttacaaaggtg >hg38_chr10:71006647-71006657(-) GAACAATGGCC >hg38_chr10:71205274-71205284(+) GCACAATGCTG >hg38_chr10:71212566-71212576(-) CCACAATGCCG >hg38_chr10:71218391-71218401(-) ATACAATGGAT >hg38_chr10:71247127-71247137(-) GGACAATGCTG >hg38_chr10:71254659-71254669(+) GAACAATGGGA >hg38_chr10:71334326-71334336(-) gaacactggaa >hg38_chr10:71334337-71334347(+) acacaaaggaa >hg38_chr10:71344847-71344857(+) AGACAATAGCC >hg38_chr10:71349641-71349651(-) gcacaatgttc >hg38_chr10:71376537-71376547(+) TAACAATGTCA >hg38_chr10:71414289-71414299(-) acacaatggca >hg38_chr10:71486738-71486748(-) GGACAATGAAG >hg38_chr10:71512873-71512883(-) GCACAATGACA >hg38_chr10:71631865-71631875(-) gcacaatgcct >hg38_chr10:71659165-71659175(+) AGACAATGGAC >hg38_chr10:71659189-71659199(+) GGACAATGGGC >hg38_chr10:71683523-71683533(-) AGACAATGGCC >hg38_chr10:71773969-71773979(-) TCACAATGTCA >hg38_chr10:71793555-71793565(-) CGACAATGTGG >hg38_chr10:71793564-71793574(-) CCACAATGCCG >hg38_chr10:71845204-71845214(+) atacaatattt >hg38_chr10:71848702-71848712(+) AGACAATGGCT >hg38_chr10:71854188-71854198(+) GAACAATGAAG >hg38_chr10:71878962-71878972(-) TGACAATGATT >hg38_chr10:71896544-71896554(+) CAACAATGTGG >hg38_chr10:71911351-71911361(-) gaacaatgggg >hg38_chr10:71919501-71919511(+) agacaatagca >hg38_chr10:71964115-71964125(+) GAACAAAGGCC >hg38_chr10:71978588-71978598(-) gaacaatgcct >hg38_chr10:71981468-71981478(+) TAACAATGGCC >hg38_chr10:72098785-72098795(-) AGACAATGCAG >hg38_chr10:72106381-72106391(-) GAACAATAGCA >hg38_chr10:72107735-72107745(-) tcacaATAGGA >hg38_chr10:72158461-72158471(+) TCACAAAGGAA >hg38_chr10:72158846-72158856(-) AAATAATGGCA >hg38_chr10:72171216-72171226(+) caacaatacga >hg38_chr10:72202519-72202529(+) TAACAATGCCC >hg38_chr10:72202539-72202549(-) GTACAATGGCT >hg38_chr10:72248983-72248993(-) ACACAATGTTC >hg38_chr10:72257096-72257106(+) ttacaaaggga >hg38_chr10:72278559-72278569(+) ATACAATGATC >hg38_chr10:72278568-72278578(-) ATACAATGAGA >hg38_chr10:72315140-72315150(+) AGACAATGGCC >hg38_chr10:72332379-72332389(+) GGACGATGGAA >hg38_chr10:72379195-72379205(+) CTACAATGATG >hg38_chr10:72522362-72522372(+) CAACAATGATA >hg38_chr10:72533033-72533043(-) GCACAATGAAC >hg38_chr10:72538664-72538674(+) taacaaaggaa >hg38_chr10:72588499-72588509(+) gaacaatgcaa >hg38_chr10:72667668-72667678(-) GGACAATAAAA >hg38_chr10:72669478-72669488(-) GAACAATAGAG >hg38_chr10:72694419-72694429(-) ttacaatgaaa >hg38_chr10:72694473-72694483(-) GGACAATAGTA >hg38_chr10:72736843-72736853(-) CAACAATGTCC >hg38_chr10:72755630-72755640(-) TAACAATAACA >hg38_chr10:72757098-72757108(-) TGACAATGTGC >hg38_chr10:72764189-72764199(-) GTACAATGCCT >hg38_chr10:72791114-72791124(-) TAACAATGAGA >hg38_chr10:72791150-72791160(-) CAACAAAGGTA >hg38_chr10:72809127-72809137(-) CTACAATGCCT >hg38_chr10:72809144-72809154(+) Taacaataacc >hg38_chr10:72814628-72814638(+) AAACAATGAAG >hg38_chr10:72821078-72821088(-) GGACAATGCTT >hg38_chr10:72827239-72827249(+) TGACAATGAGT >hg38_chr10:72840539-72840549(-) ATACAATAATG >hg38_chr10:72846432-72846442(-) gaacaaaggga >hg38_chr10:72850951-72850961(-) TCACAATGGGT >hg38_chr10:72850979-72850989(-) AAACAATAAAC >hg38_chr10:72850988-72850998(-) TCACAATATAA >hg38_chr10:72884055-72884065(-) acacaatgaac >hg38_chr10:72903689-72903699(+) taacaatagat >hg38_chr10:72903699-72903709(-) aaacaatgcta >hg38_chr10:72928319-72928329(-) gtataatggaa >hg38_chr10:72928372-72928382(-) AGACAATGCTA >hg38_chr10:72987451-72987461(-) tgacaatgcag >hg38_chr10:72999832-72999842(-) agacaatgttc >hg38_chr10:73008191-73008201(+) CAACAATAGGA >hg38_chr10:73032757-73032767(+) AGACAAAGGAA >hg38_chr10:73043348-73043358(-) ttacaatagaa >hg38_chr10:73082834-73082844(-) TTATAATGGCC >hg38_chr10:73160454-73160464(-) TCACAAAGGAA >hg38_chr10:73160480-73160490(+) AAACAATAAAC >hg38_chr10:73252687-73252697(+) CCACAATGCAA >hg38_chr10:73262294-73262304(-) ggacaaaggga >hg38_chr10:73265578-73265588(-) aaataatggaa >hg38_chr10:73266269-73266279(+) atacaatgagt >hg38_chr10:73295565-73295575(+) taacaataact >hg38_chr10:73456596-73456606(+) caacaatggtc >hg38_chr10:73496463-73496473(-) AAACTATGGCG >hg38_chr10:73522465-73522475(+) TGACAATGAAA >hg38_chr10:73539518-73539528(-) TTACTATGGCA >hg38_chr10:73553330-73553340(-) TAACAATAGCA >hg38_chr10:73577308-73577318(-) AGACAATGCTA >hg38_chr10:73591421-73591431(+) CAACAATGAGA >hg38_chr10:73742854-73742864(+) acacaatgtca >hg38_chr10:73743777-73743787(+) AAACAAAGGCG >hg38_chr10:73852927-73852937(+) AGACAAAGGCA >hg38_chr10:73886366-73886376(-) CAATAATGGAC >hg38_chr10:73914263-73914273(+) TGACAAAGGGA >hg38_chr10:73924445-73924455(-) ttacaaaggaa >hg38_chr10:73957247-73957257(-) TTACAATAACA >hg38_chr10:73957281-73957291(+) AGACAATAGGC >hg38_chr10:73979545-73979555(-) caacaatgtag >hg38_chr10:73979579-73979589(-) aaacaataggg >hg38_chr10:73999823-73999833(+) TCACAATGTCT >hg38_chr10:73999838-73999848(-) GAACAATGTAC >hg38_chr10:74008307-74008317(-) AAACAATGGGC >hg38_chr10:74008532-74008542(+) TTACAATGTAG >hg38_chr10:74012126-74012136(-) TAACAAAGGTC >hg38_chr10:74013710-74013720(+) aaacaaaggta >hg38_chr10:74035039-74035049(-) AGACAATAGGT >hg38_chr10:74035399-74035409(+) GAACAAAGGAT >hg38_chr10:74069694-74069704(+) ACATAATGGct >hg38_chr10:74079621-74079631(-) AAACAATGAAT >hg38_chr10:74080120-74080130(-) ACACAATGGAA >hg38_chr10:74181432-74181442(-) TAACAAAGGAT >hg38_chr10:74187096-74187106(+) tcacaatgttt >hg38_chr10:74193122-74193132(-) atacaaaggga >hg38_chr10:74194440-74194450(+) GAATAATGGCA >hg38_chr10:74194640-74194650(+) TAACAATGTAG >hg38_chr10:74197993-74198003(-) aaactatggaa >hg38_chr10:74254798-74254808(+) ACACAATGGAC >hg38_chr10:74254843-74254853(-) AAACAAAGGAA >hg38_chr10:74262701-74262711(+) ATACAAAGGTG >hg38_chr10:74264352-74264362(-) ccacaatgaga >hg38_chr10:74282348-74282358(-) CAACAATGCCC >hg38_chr10:74282639-74282649(+) GAACACTGGAA >hg38_chr10:74304819-74304829(-) GAATAATGGGT >hg38_chr10:74320148-74320158(-) taacaatagac >hg38_chr10:74394506-74394516(+) GCATAAtggaa >hg38_chr10:74406177-74406187(-) aaacaatggtt >hg38_chr10:74406195-74406205(-) caacaatagca >hg38_chr10:74406256-74406266(-) aaacaatgcaa >hg38_chr10:74419532-74419542(+) TGACAATGCAC >hg38_chr10:74426164-74426174(+) ATATAATGAAT >hg38_chr10:74426211-74426221(-) ATATAATGGGG >hg38_chr10:74440788-74440798(-) ATACAATGGAA >hg38_chr10:74489644-74489654(-) GAACAATAATA >hg38_chr10:74501036-74501046(+) AAACAATAGCT >hg38_chr10:74501094-74501104(+) AAACAATGCAA >hg38_chr10:74503482-74503492(+) gaacaaaggag >hg38_chr10:74513796-74513806(-) gaacaaaggat >hg38_chr10:74536938-74536948(+) gaacaatgcta >hg38_chr10:74537473-74537483(+) ACACAAAGGTA >hg38_chr10:74544337-74544347(+) taacaaaggca >hg38_chr10:74575394-74575404(+) ttacaatgcag >hg38_chr10:74576782-74576792(-) ACACAATGGAA >hg38_chr10:74577299-74577309(-) TCACAATGGCC >hg38_chr10:74624617-74624627(+) GTACAATAATA >hg38_chr10:74637947-74637957(-) atacaataaac >hg38_chr10:74675687-74675697(-) TTACTATGGCA >hg38_chr10:74675734-74675744(-) GAACAATGACA >hg38_chr10:74799923-74799933(+) acacaatggaa >hg38_chr10:74831451-74831461(+) AAACAATGTAT >hg38_chr10:74835675-74835685(+) caacaatgcta >hg38_chr10:74852529-74852539(-) CAACAATGTCT >hg38_chr10:74896758-74896768(-) AGACAAAGGCA >hg38_chr10:74917632-74917642(+) aaacaatgtag >hg38_chr10:74917654-74917664(-) gtacaaaggcc >hg38_chr10:74927008-74927018(+) TCACAATGTTG >hg38_chr10:74944373-74944383(+) agacaataggt >hg38_chr10:74944403-74944413(+) tgacaatatat >hg38_chr10:74944420-74944430(-) ttACAAtggtc >hg38_chr10:74953694-74953704(-) TCACAATGATC >hg38_chr10:74953709-74953719(+) ATACCATGGAA >hg38_chr10:74953743-74953753(-) TGACAATGACA >hg38_chr10:74953909-74953919(-) GGACAATGCAT >hg38_chr10:74960104-74960114(+) GTACAATGACT >hg38_chr10:74960115-74960125(-) TGATAATGGGA >hg38_chr10:74963520-74963530(+) GTACAATAAAG >hg38_chr10:74970610-74970620(-) ACACAATAAAC >hg38_chr10:74972364-74972374(+) TGACAAAGGGA >hg38_chr10:74973483-74973493(-) TCACAATGACC >hg38_chr10:75062495-75062505(+) AGACAAAGGAG >hg38_chr10:75076554-75076564(-) tgacaatagga >hg38_chr10:75137143-75137153(+) tcacaatagcc >hg38_chr10:75139446-75139456(+) Taacaatgggg >hg38_chr10:75139466-75139476(-) atacaataatt >hg38_chr10:75139481-75139491(+) atacaatggaa >hg38_chr10:75143640-75143650(-) gaacaaaggta >hg38_chr10:75164312-75164322(-) GGACAATGGGA >hg38_chr10:75169865-75169875(-) gtacaatggtg >hg38_chr10:75198014-75198024(+) GAATAATGGCC >hg38_chr10:75198022-75198032(-) GGACAAAGGGC >hg38_chr10:75213976-75213986(+) ATACAAAGGAA >hg38_chr10:75319412-75319422(+) gtacaatgaca >hg38_chr10:75319754-75319764(+) atataatgata >hg38_chr10:75327456-75327466(-) acataatggaa >hg38_chr10:75342217-75342227(-) taacaatgccT >hg38_chr10:75410609-75410619(-) gaacaatggga >hg38_chr10:75475689-75475699(+) TTACAATAGTC >hg38_chr10:75507599-75507609(-) AAACAATGCGA >hg38_chr10:75582635-75582645(-) aaacaatgggg >hg38_chr10:75597360-75597370(+) AAACAATGGCC >hg38_chr10:75600492-75600502(-) TAACAAAGGCT >hg38_chr10:75612064-75612074(+) GAACAATATAA >hg38_chr10:75630213-75630223(-) TAACAATGAGA >hg38_chr10:75633321-75633331(-) ACACAATGGGT >hg38_chr10:75650982-75650992(-) CAACAATGTGA >hg38_chr10:75675867-75675877(-) AAACACTGGAC >hg38_chr10:75685708-75685718(+) gtacaatatat >hg38_chr10:75685728-75685738(-) gaacaatagat >hg38_chr10:75716461-75716471(+) GAACAATGCTT >hg38_chr10:75736451-75736461(-) TGACAATGGTA >hg38_chr10:75764836-75764846(-) ACACAATGACA >hg38_chr10:75764864-75764874(+) AAACAATGGGA >hg38_chr10:75788960-75788970(+) ggacaatagct >hg38_chr10:75857513-75857523(+) AAACAAAGGAT >hg38_chr10:76002594-76002604(-) TTACAATGAGA >hg38_chr10:76033648-76033658(+) GAACAATGTGT >hg38_chr10:76033700-76033710(+) GCACAAAGGAA >hg38_chr10:76089143-76089153(-) GAACAATGGCC >hg38_chr10:76103306-76103316(+) taacaataaga >hg38_chr10:76114046-76114056(-) AAACAATATAC >hg38_chr10:76131289-76131299(-) GAACAATGCAC >hg38_chr10:76195187-76195197(+) AAACAAAGGCT >hg38_chr10:76215858-76215868(+) gcacaatgaat >hg38_chr10:76230779-76230789(-) TTACAATGTAT >hg38_chr10:76281859-76281869(-) AGACAATAACA >hg38_chr10:76286398-76286408(-) gaacaatgaag >hg38_chr10:76286438-76286448(+) GAACAGTGGTT >hg38_chr10:76297845-76297855(+) CTACAATAGAG >hg38_chr10:76301029-76301039(+) TGACAATGAGG >hg38_chr10:76303149-76303159(-) AGACAATGTGC >hg38_chr10:76353394-76353404(+) TTACAATGGTT >hg38_chr10:76364316-76364326(+) TGATAATGGGA >hg38_chr10:76393039-76393049(-) acacaatgaac >hg38_chr10:76394873-76394883(-) TAACAATGGGA >hg38_chr10:76395497-76395507(-) TGACAATGTTT >hg38_chr10:76419446-76419456(-) agacaatgaat >hg38_chr10:76433299-76433309(-) TGACAATGATT >hg38_chr10:76433334-76433344(+) GTACAAAGGTA >hg38_chr10:76447808-76447818(-) agacaaaggca >hg38_chr10:76471046-76471056(+) gcacaatggag >hg38_chr10:76539704-76539714(-) GAACAATGCAC >hg38_chr10:76539722-76539732(-) AAACAATGCTC >hg38_chr10:76547464-76547474(+) CTATAATGGCT >hg38_chr10:76551100-76551110(-) ATACAGTGGGA >hg38_chr10:76559356-76559366(-) AAACAATGTGG >hg38_chr10:76570828-76570838(-) caacaatgaca >hg38_chr10:76570840-76570850(-) ggacaatatac >hg38_chr10:76632599-76632609(+) GAACAAAGGGT >hg38_chr10:76695279-76695289(-) ccacaatggaa >hg38_chr10:76695299-76695309(-) atacaatatct >hg38_chr10:76717277-76717287(-) GCACAATGATT >hg38_chr10:76717287-76717297(+) CAACAATGctc >hg38_chr10:76724141-76724151(-) TGACAATGCAG >hg38_chr10:76758193-76758203(-) TCACAATAGAA >hg38_chr10:76758212-76758222(-) TCACAATAGAA >hg38_chr10:76794740-76794750(-) ttacaatggca >hg38_chr10:76797054-76797064(-) tgacaatagca >hg38_chr10:76807852-76807862(-) aaacaataaca >hg38_chr10:76807904-76807914(-) aaacactggac >hg38_chr10:76976300-76976310(+) GAACAATAGGT >hg38_chr10:76984672-76984682(+) TAACAATAATC >hg38_chr10:76998499-76998509(+) AGACAAAGGGC >hg38_chr10:77005041-77005051(-) GAACAATGGGT >hg38_chr10:77046275-77046285(-) TGACAATGTAT >hg38_chr10:77057221-77057231(+) tgactatggat >hg38_chr10:77072572-77072582(-) AAACAATGTAC >hg38_chr10:77081172-77081182(-) gtacaatagct >hg38_chr10:77082991-77083001(+) AGACAAAGGCA >hg38_chr10:77083006-77083016(-) TGACAATGTTT >hg38_chr10:77106012-77106022(+) AAACAAAGGGT >hg38_chr10:77226079-77226089(-) TCACAAAGGAC >hg38_chr10:77243013-77243023(-) CAACAATGCCC >hg38_chr10:77273148-77273158(-) TCACAATAGGT >hg38_chr10:77283768-77283778(+) TAACAATGTCA >hg38_chr10:77325128-77325138(+) AGACAATAGGA >hg38_chr10:77325167-77325177(-) TAACAATGAGG >hg38_chr10:77325180-77325190(+) GAACAATAAAC >hg38_chr10:77351069-77351079(+) GGACAATGCTT >hg38_chr10:77360203-77360213(+) GTACAATACAA >hg38_chr10:77360208-77360218(+) ATACAATGCAA >hg38_chr10:77360230-77360240(+) GAACAAAGGCC >hg38_chr10:77372281-77372291(-) gaacaaTCGTG >hg38_chr10:77393068-77393078(+) TGACAATGGTG >hg38_chr10:77400085-77400095(-) CAACAATGGGA >hg38_chr10:77403999-77404009(-) TAACAATGGCT >hg38_chr10:77404058-77404068(+) GAACAATGGAT >hg38_chr10:77431289-77431299(+) AAACAATAGAA >hg38_chr10:77441927-77441937(-) AGACAATGGCC >hg38_chr10:77478703-77478713(-) ATACAATGTGC >hg38_chr10:77478715-77478725(-) CTACAATGTGC >hg38_chr10:77510491-77510501(+) AAACAAAGGAT >hg38_chr10:77524267-77524277(+) TCACAAAGGAA >hg38_chr10:77533708-77533718(+) TAACAAAGGAG >hg38_chr10:77600964-77600974(+) acataatgggc >hg38_chr10:77609542-77609552(+) taacaatgtat >hg38_chr10:77609547-77609557(-) atacaatacat >hg38_chr10:77629193-77629203(+) ACACAATGTCC >hg38_chr10:77644277-77644287(+) GCACAATGCCC >hg38_chr10:77651975-77651985(-) AAACAAAGGCG >hg38_chr10:77653429-77653439(+) aaacaatggaa >hg38_chr10:77663634-77663644(-) atacaatgtag >hg38_chr10:77674290-77674300(-) tgataatggtg >hg38_chr10:77674303-77674313(-) gaacaatgttg >hg38_chr10:77710248-77710258(-) ACACAATGGGT >hg38_chr10:77716601-77716611(+) CAATAATGGAA >hg38_chr10:78039946-78039956(-) TCACAATGAAG >hg38_chr10:78040000-78040010(-) TGACAATGCCT >hg38_chr10:78171726-78171736(+) TGACAATGACT >hg38_chr10:78176880-78176890(-) GAACAATGGCA >hg38_chr10:78303230-78303240(-) AGACAATGGCC >hg38_chr10:78312902-78312912(-) caacaatagaa >hg38_chr10:78336806-78336816(+) CTACAATGACG >hg38_chr10:78358037-78358047(-) GAACAATGGAC >hg38_chr10:78377555-78377565(-) GAACAATGTGA >hg38_chr10:78408716-78408726(+) ggacaaaGACG >hg38_chr10:78435899-78435909(+) TAACAATAATA >hg38_chr10:78435947-78435957(-) GAACAATGGAT >hg38_chr10:78436778-78436788(+) CAACAATAGCA >hg38_chr10:78436796-78436806(+) CCACAATAGAA >hg38_chr10:78555575-78555585(-) CAACAATGTAG >hg38_chr10:78580208-78580218(+) GAACAATGGCT >hg38_chr10:78616284-78616294(+) ggacaatgcct >hg38_chr10:78656511-78656521(-) AGACAAAGGAG >hg38_chr10:78657147-78657157(-) ACACAATGCAG >hg38_chr10:78757095-78757105(-) GAACAATAGCC >hg38_chr10:78817981-78817991(+) TTACAATAACA >hg38_chr10:78839916-78839926(-) TAACAATACAC >hg38_chr10:78893118-78893128(+) GAACAAAGGTG >hg38_chr10:78906114-78906124(-) GGACAAAGGAT >hg38_chr10:78907799-78907809(+) CCACAATGGAT >hg38_chr10:78913839-78913849(+) GCACAATGGCC >hg38_chr10:78915345-78915355(-) GGATAATGGCC >hg38_chr10:78915359-78915369(+) ACACAATGCTT >hg38_chr10:78937923-78937933(+) aaacaataggc >hg38_chr10:78948031-78948041(-) acacaatgaag >hg38_chr10:78974269-78974279(-) GAACAATGAGG >hg38_chr10:78991388-78991398(+) ccacaatgagg >hg38_chr10:79019743-79019753(+) ggaCAATGGAA >hg38_chr10:79041637-79041647(+) tgacaatagaa >hg38_chr10:79052402-79052412(+) ACACAAAGGCA >hg38_chr10:79061855-79061865(+) GAACAATGCCT >hg38_chr10:79107926-79107936(-) GAACAATAGAC >hg38_chr10:79144651-79144661(-) GCACAATGGAG >hg38_chr10:79144660-79144670(-) AAACAATAGGC >hg38_chr10:79197067-79197077(-) GGACAAAGGGC >hg38_chr10:79236599-79236609(-) TAACAAAGGGG >hg38_chr10:79239599-79239609(-) GAACAATGAAG >hg38_chr10:79274996-79275006(-) GCACAAAGGAC >hg38_chr10:79395372-79395382(-) taataatggga >hg38_chr10:79395384-79395394(+) aaacaatgcta >hg38_chr10:79399284-79399294(+) GAACAAAGGCT >hg38_chr10:79403818-79403828(+) GAACAATGGGA >hg38_chr10:79409711-79409721(-) GGACAATAGCC >hg38_chr10:79442495-79442505(-) CCACAATAGCA >hg38_chr10:79471590-79471600(+) gaacaatgaat >hg38_chr10:79471658-79471668(-) ttacaatgctt >hg38_chr10:79482908-79482918(+) gaacaaaggcg >hg38_chr10:79553994-79554004(+) tcaCAATGGTT >hg38_chr10:79569010-79569020(-) aaacaataaga >hg38_chr10:79895182-79895192(-) AGACAATAAAA >hg38_chr10:79973076-79973086(+) TTACAATAGCC >hg38_chr10:79993064-79993074(+) ttacaatgaca >hg38_chr10:79993070-79993080(+) tgacaatggtc >hg38_chr10:80016144-80016154(-) ttacaaaggca >hg38_chr10:80059119-80059129(-) AAACAATGCAG >hg38_chr10:80076825-80076835(-) TAACAATTGTA >hg38_chr10:80283154-80283164(+) GGACAAAGGGC >hg38_chr10:80301699-80301709(+) agacaatagaa >hg38_chr10:80302133-80302143(+) ccacaatggaa >hg38_chr10:80322411-80322421(+) ATACAATGTCT >hg38_chr10:80322444-80322454(+) AAACAATGAAA >hg38_chr10:80331322-80331332(+) gtacaatgaga >hg38_chr10:80352004-80352014(-) AAACAATGCTA >hg38_chr10:80464842-80464852(-) CTACAATGGGA >hg38_chr10:80465384-80465394(-) GAACAAAGGAA >hg38_chr10:80467403-80467413(+) ACACAATGGAT >hg38_chr10:80468237-80468247(-) AGACAATGGGA >hg38_chr10:80483261-80483271(+) ATACAAAGGTG >hg38_chr10:80484558-80484568(-) TAACAAAGGGA >hg38_chr10:80484573-80484583(-) ACACAAAGGAA >hg38_chr10:80484595-80484605(-) GAACAAAGGAT >hg38_chr10:80484612-80484622(+) GTACAACGGGG >hg38_chr10:80493827-80493837(+) GTACACTGGAG >hg38_chr10:80503554-80503564(-) ccacaatggtc >hg38_chr10:80504139-80504149(-) CTACAATGAGC >hg38_chr10:80506416-80506426(+) gaacaatgaag >hg38_chr10:80740567-80740577(-) gaacaatatga >hg38_chr10:80771540-80771550(-) TTACAAAGGGA >hg38_chr10:80855653-80855663(+) CCACAATGAAT >hg38_chr10:80894435-80894445(-) aaacaataaaa >hg38_chr10:80894501-80894511(+) GAACAAAGGag >hg38_chr10:80935265-80935275(+) tgacaatagcc >hg38_chr10:80935285-80935295(+) aaacaatgtgt >hg38_chr10:81010213-81010223(+) TTACAATAAAA >hg38_chr10:81013652-81013662(+) AAACAATGTGA >hg38_chr10:81035820-81035830(+) ACACAAAGGAG >hg38_chr10:81225987-81225997(-) GGACAATAGGC >hg38_chr10:81271541-81271551(-) gaacaatatta >hg38_chr10:81404520-81404530(+) GAACAATGTAT >hg38_chr10:81515063-81515073(-) ACATAATGGTT >hg38_chr10:81520665-81520675(+) GAACTATGGGA >hg38_chr10:81601238-81601248(-) gaacaaaggga >hg38_chr10:81615811-81615821(+) atacaatgcag >hg38_chr10:81674836-81674846(-) AGACAAAGGGC >hg38_chr10:81704673-81704683(+) agacaatggga >hg38_chr10:81822894-81822904(+) GGACAATGTCC >hg38_chr10:81865825-81865835(+) GCACAATAGGA >hg38_chr10:81906386-81906396(-) CAACAATAGCA >hg38_chr10:81915796-81915806(+) TGATAATGGTG >hg38_chr10:81935987-81935997(-) taacactgggt >hg38_chr10:81943758-81943768(+) aaacaatgtat >hg38_chr10:81943778-81943788(+) ttacaatcgtg >hg38_chr10:82060260-82060270(+) GCACAATGTAG >hg38_chr10:82060273-82060283(+) ACACAATATAT >hg38_chr10:82089539-82089549(+) AGACAATGAGA >hg38_chr10:82091352-82091362(-) gtacaatagag >hg38_chr10:82100300-82100310(+) agacaatggtg >hg38_chr10:82100325-82100335(+) gaacaatgttc >hg38_chr10:82145079-82145089(-) AAACAATGCAG >hg38_chr10:82151219-82151229(-) TGACACTGGTA >hg38_chr10:82247096-82247106(-) GAACAATGTTT >hg38_chr10:82295363-82295373(-) CTACAATGCAC >hg38_chr10:82295380-82295390(+) GAACAATGAGC >hg38_chr10:82319487-82319497(-) GGACAATAGAT >hg38_chr10:82468572-82468582(-) GGACAATGCCA >hg38_chr10:82472883-82472893(+) CAACAATAGGC >hg38_chr10:82472892-82472902(+) GCACAATGCTG >hg38_chr10:82472954-82472964(-) CTACAATGTCT >hg38_chr10:82476719-82476729(-) aaacaataact >hg38_chr10:82483230-82483240(+) ACACAATGATG >hg38_chr10:82537395-82537405(+) GGACAATGGTG >hg38_chr10:82537413-82537423(-) AAACAAAGGTG >hg38_chr10:82549018-82549028(+) gaacagtggaa >hg38_chr10:82574998-82575008(-) gcacaatagat >hg38_chr10:82575034-82575044(-) atacaatacat >hg38_chr10:82591904-82591914(+) AAACAATGTTT >hg38_chr10:82662069-82662079(-) CAACAATGGCA >hg38_chr10:82667290-82667300(-) GAACCATGGTA >hg38_chr10:82718627-82718637(+) aaacaatgctg >hg38_chr10:82725181-82725191(+) GAACAATAGGT >hg38_chr10:82757634-82757644(-) tgacaatgata >hg38_chr10:82814064-82814074(-) AGATAATGGTG >hg38_chr10:82819801-82819811(+) GTACAATGGAA >hg38_chr10:82827696-82827706(+) AAACAACGGTT >hg38_chr10:82847067-82847077(-) ctacgatggta >hg38_chr10:82866024-82866034(-) AAACAATGCCC >hg38_chr10:82925508-82925518(-) CAACAATAGCA >hg38_chr10:82959984-82959994(+) GAACAAAGGCC >hg38_chr10:82964882-82964892(+) AAACAATGCTG >hg38_chr10:82999062-82999072(+) TCACAATGATC >hg38_chr10:83012952-83012962(+) AAACAATAAGT >hg38_chr10:83013002-83013012(+) CTACAATGGAT >hg38_chr10:83073666-83073676(-) aaacaatggca >hg38_chr10:83107740-83107750(+) TCACAATGTTG >hg38_chr10:83107751-83107761(-) CTACAATGAAG >hg38_chr10:83203595-83203605(-) GCACAATGTAA >hg38_chr10:83209734-83209744(+) gaacaataaat >hg38_chr10:83218410-83218420(+) AGACAATGCCG >hg38_chr10:83256563-83256573(-) GTACAATGCAC >hg38_chr10:83348498-83348508(+) agacagtggac >hg38_chr10:83420563-83420573(-) taacaatagct >hg38_chr10:83465542-83465552(+) aaacaatggag >hg38_chr10:83465608-83465618(+) gtacaaaggca >hg38_chr10:83505662-83505672(-) ctacaatgtaa >hg38_chr10:83544247-83544257(+) ttataatggaa >hg38_chr10:83544255-83544265(+) gaacaaaggga >hg38_chr10:83592524-83592534(-) caacaatgtga >hg38_chr10:83612874-83612884(+) agacaatgcaa >hg38_chr10:83626282-83626292(+) TTACAATGATC >hg38_chr10:83633025-83633035(+) gaacagtggtc >hg38_chr10:83667480-83667490(+) atacaatgtga >hg38_chr10:83667495-83667505(+) acacaatcggc >hg38_chr10:83667503-83667513(-) ccacaatggcc >hg38_chr10:83690106-83690116(+) taacaatgaag >hg38_chr10:83769660-83769670(-) gtacaatggcc >hg38_chr10:83769688-83769698(+) taacaaaggac >hg38_chr10:83817966-83817976(-) AAACAATGGGC >hg38_chr10:83871568-83871578(+) GGACAATGTGC >hg38_chr10:83889993-83890003(+) tgacaatgtaa >hg38_chr10:83890314-83890324(-) GAACAATAATA >hg38_chr10:83909168-83909178(-) TCACAATGGGG >hg38_chr10:83938630-83938640(-) TGACAATGTCC >hg38_chr10:83957279-83957289(+) CTACAATGCGT >hg38_chr10:83957286-83957296(-) AGACAATACGC >hg38_chr10:83988003-83988013(+) acacaatggaa >hg38_chr10:84029374-84029384(-) taacaatggaa >hg38_chr10:84033383-84033393(-) tcacaatgtta >hg38_chr10:84033401-84033411(-) atacaatagac >hg38_chr10:84055494-84055504(+) ACACAATCGCT >hg38_chr10:84073407-84073417(+) acacaatggaa >hg38_chr10:84075133-84075143(+) gaaCAAAGGGG >hg38_chr10:84097993-84098003(+) AAACAATAAAA >hg38_chr10:84134455-84134465(+) ccacaatgggg >hg38_chr10:84136137-84136147(-) TGACAATGCAA >hg38_chr10:84152886-84152896(-) TAACAATGACC >hg38_chr10:84214730-84214740(+) GCACAATGGTA >hg38_chr10:84244889-84244899(-) GGACAATGCAG >hg38_chr10:84296642-84296652(+) ttataatgacg >hg38_chr10:84298327-84298337(+) TAACAATGTGG >hg38_chr10:84317369-84317379(+) tcacaaaggac >hg38_chr10:84317405-84317415(-) taacaatggaa >hg38_chr10:84329992-84330002(-) TAACTATGGCT >hg38_chr10:84330174-84330184(-) AGACTATGGAG >hg38_chr10:84331898-84331908(+) TTACAATGTca >hg38_chr10:84333876-84333886(-) gtacaatacat >hg38_chr10:84355310-84355320(-) gaacaatggta >hg38_chr10:84363856-84363866(-) caacaatggcc >hg38_chr10:84375167-84375177(-) GAACAATGAAC >hg38_chr10:84391549-84391559(+) CAACAATGCCA >hg38_chr10:84398012-84398022(+) atacaatggct >hg38_chr10:84405107-84405117(+) tgacaaaggga >hg38_chr10:84429347-84429357(-) caataatggac >hg38_chr10:84433046-84433056(-) atacaatagag >hg38_chr10:84439984-84439994(-) GTACAATGACT >hg38_chr10:84452115-84452125(-) ACACAATGACA >hg38_chr10:84453885-84453895(+) ttacaataata >hg38_chr10:84456718-84456728(-) gaacaatagtt >hg38_chr10:84461806-84461816(-) tcacaaaggaa >hg38_chr10:84469404-84469414(-) AAACAATGTGG >hg38_chr10:84471051-84471061(+) AAATAATGGCC >hg38_chr10:84478443-84478453(+) ACACAAAGGAA >hg38_chr10:84478461-84478471(+) ATATAATGGCT >hg38_chr10:84498108-84498118(+) AAACAATGACT >hg38_chr10:84504412-84504422(-) TTACAAAGGGC >hg38_chr10:84511366-84511376(+) GCACAATGGCC >hg38_chr10:84520448-84520458(+) atacaataaat >hg38_chr10:84520456-84520466(-) taacaataatt >hg38_chr10:84521937-84521947(+) GTACAAAGGGC >hg38_chr10:84531529-84531539(-) gcacaatggct >hg38_chr10:84531556-84531566(-) gcacaatgaga >hg38_chr10:84537443-84537453(+) TCACAATAGCa >hg38_chr10:84537589-84537599(-) GTACAATAGAC >hg38_chr10:84537596-84537606(+) GTACAATGCTG >hg38_chr10:84567754-84567764(-) gaacaatgaaa >hg38_chr10:84574242-84574252(-) taacaatagct >hg38_chr10:84574264-84574274(-) TAACAATAGCT >hg38_chr10:84736870-84736880(-) tcacaatgtcc >hg38_chr10:84763148-84763158(-) ccacaatggat >hg38_chr10:84783582-84783592(+) agacaatggag >hg38_chr10:84811232-84811242(-) tgacaatggca >hg38_chr10:84811284-84811294(-) gaacaataaaa >hg38_chr10:84831699-84831709(-) TAACAATGAAG >hg38_chr10:84871300-84871310(+) acacaatagaa >hg38_chr10:84999682-84999692(+) TAACAATGGCA >hg38_chr10:85044743-85044753(+) CTACAATAGAC >hg38_chr10:85057160-85057170(+) TTACAAAGGGA >hg38_chr10:85104120-85104130(-) TAACAATGCTT >hg38_chr10:85151665-85151675(-) AAACAATACGC >hg38_chr10:85193976-85193986(+) TGACAATCGAT >hg38_chr10:85203537-85203547(-) TTATAATGGGA >hg38_chr10:85242405-85242415(+) GTACAATGGGA >hg38_chr10:85242416-85242426(-) AAACAATGGTA >hg38_chr10:85310798-85310808(-) aaataatggaa >hg38_chr10:85490489-85490499(+) gaacaatggtg >hg38_chr10:85492843-85492853(+) ACACAATGGCA >hg38_chr10:85525235-85525245(+) AGACAATGACA >hg38_chr10:85527111-85527121(+) ggacaaaggtt >hg38_chr10:85528203-85528213(+) GAACAATGTGT >hg38_chr10:85542976-85542986(-) TCACAATGGCA >hg38_chr10:85568843-85568853(-) aaacaatggag >hg38_chr10:85611285-85611295(-) TCACAATGCCT >hg38_chr10:85611314-85611324(+) AGACAATGACT >hg38_chr10:85643995-85644005(+) GAACAATGATG >hg38_chr10:85809693-85809703(+) taacaaaggag >hg38_chr10:85864967-85864977(+) TGACAATACGC >hg38_chr10:85871999-85872009(+) tgacaatgtcc >hg38_chr10:86002251-86002261(+) AGACAATGACA >hg38_chr10:86002784-86002794(-) GCAcaatgatt >hg38_chr10:86002830-86002840(-) gcacaatgaat >hg38_chr10:86052899-86052909(-) GGACAAAGGGC >hg38_chr10:86149481-86149491(+) TAACAATGGAA >hg38_chr10:86234386-86234396(+) AAACAACGGCA >hg38_chr10:86298428-86298438(+) AGACAAAGGAG >hg38_chr10:86423809-86423819(-) agacaacggtg >hg38_chr10:86423832-86423842(-) gaacaatgggg >hg38_chr10:86444452-86444462(+) aaactatggta >hg38_chr10:86444463-86444473(+) taacaatatta >hg38_chr10:86474829-86474839(+) CAACAATGGGA >hg38_chr10:86474857-86474867(+) AGACAATGCGC >hg38_chr10:86511569-86511579(+) atataatggaa >hg38_chr10:86533975-86533985(-) ttacaatgcag >hg38_chr10:86533987-86533997(-) taacaatgtaa >hg38_chr10:86533999-86534009(-) tgacaatgtaa >hg38_chr10:86569543-86569553(+) tgacaatggca >hg38_chr10:86603025-86603035(+) taacaatgtgt >hg38_chr10:86621251-86621261(-) acacaatgatg >hg38_chr10:86748066-86748076(-) GAACAATAGTG >hg38_chr10:86755389-86755399(-) AAACAATGTGC >hg38_chr10:86767885-86767895(-) taacaatgtct >hg38_chr10:86768180-86768190(+) tgacaATGGCC >hg38_chr10:86768454-86768464(-) GAACAAAGGCC >hg38_chr10:86775868-86775878(-) gcacaatgcat >hg38_chr10:86803369-86803379(-) TAATAATggcc >hg38_chr10:86811681-86811691(+) acacaatgtgt >hg38_chr10:86857312-86857322(+) taacaaaggca >hg38_chr10:86868501-86868511(+) GAACAATGGCC >hg38_chr10:86899819-86899829(+) GGACAATAGAA >hg38_chr10:86915002-86915012(+) atacagtggaa >hg38_chr10:86945802-86945812(-) GGATAATGGAT >hg38_chr10:86954504-86954514(-) GCATAATGGAT >hg38_chr10:86960645-86960655(-) acacaAAGGCA >hg38_chr10:87053354-87053364(-) ACACAATGGAG >hg38_chr10:87089455-87089465(-) caacaatgcat >hg38_chr10:87092097-87092107(+) TTACAATGCAC >hg38_chr10:87153020-87153030(-) GAACAATGCAG >hg38_chr10:87153035-87153045(-) GGACAATGGAA >hg38_chr10:87404445-87404455(+) taacaaaggcg >hg38_chr10:87507200-87507210(+) AGACAATAGTT >hg38_chr10:87533872-87533882(-) TTACAATGGGC >hg38_chr10:87534941-87534951(+) atacaatgtgt >hg38_chr10:87534954-87534964(+) tcacaatgcta >hg38_chr10:87549988-87549998(+) taacaaaggaa >hg38_chr10:87550016-87550026(+) agacaatgtgg >hg38_chr10:87551517-87551527(-) AAACAATGTGT >hg38_chr10:87551531-87551541(+) CCACAATAGGT >hg38_chr10:87554848-87554858(-) GCACAATAGAA >hg38_chr10:87642624-87642634(+) GAACAATGGTC >hg38_chr10:87671574-87671584(-) ACATAATGGAC >hg38_chr10:87744520-87744530(-) ATACAAAGGAT >hg38_chr10:87758139-87758149(+) gtacaataagg >hg38_chr10:87794329-87794339(-) TGACAAAGGGA >hg38_chr10:87805521-87805531(+) CCACAATGATT >hg38_chr10:87817265-87817275(+) ATACAATAAAA >hg38_chr10:87822310-87822320(-) AAATAATGGTT >hg38_chr10:87843181-87843191(-) TCACAATGATT >hg38_chr10:87862840-87862850(+) ACACAATAGCA >hg38_chr10:87864803-87864813(-) GGACAATAGCC >hg38_chr10:87874161-87874171(+) GTACAATGTAA >hg38_chr10:87874688-87874698(-) TAACAATGAGT >hg38_chr10:87874756-87874766(-) GTACAATAAGC >hg38_chr10:87889775-87889785(-) gtacaatgtaa >hg38_chr10:87917721-87917731(+) AAACAATAATA >hg38_chr10:87925744-87925754(-) CAACAATGTTT >hg38_chr10:87926738-87926748(-) ttacaatgcca >hg38_chr10:87964127-87964137(-) ATACAGTGGTC >hg38_chr10:87966674-87966684(+) TTACAATGAAT >hg38_chr10:88046250-88046260(+) ACACAAAGGGT >hg38_chr10:88046289-88046299(-) GCACAATGACA >hg38_chr10:88087373-88087383(+) gaacaatgacg >hg38_chr10:88126502-88126512(+) tgacaatggac >hg38_chr10:88143795-88143805(-) ctacaatgaga >hg38_chr10:88143827-88143837(+) ttacaatagat >hg38_chr10:88156411-88156421(+) TTACAAAGGTA >hg38_chr10:88161511-88161521(+) TGACAAAGGAA >hg38_chr10:88181891-88181901(+) GAATAATGGCC >hg38_chr10:88200829-88200839(+) GAACAATGAAC >hg38_chr10:88210764-88210774(-) GAACAATGAAG >hg38_chr10:88221436-88221446(-) gcataaTGGGA >hg38_chr10:88234542-88234552(-) ACACAATAGGT >hg38_chr10:88266617-88266627(-) CCACAATAGAA >hg38_chr10:88266629-88266639(-) AAACAATGCAA >hg38_chr10:88289492-88289502(-) caacaatgcct >hg38_chr10:88334535-88334545(-) GTACAATAAAA >hg38_chr10:88334579-88334589(+) AAACAATGTAG >hg38_chr10:88346235-88346245(+) GAACAGTGGGA >hg38_chr10:88362509-88362519(-) CAACAATGGTG >hg38_chr10:88372978-88372988(-) ACACAATGTTT >hg38_chr10:88375487-88375497(-) atacaatagaa >hg38_chr10:88395905-88395915(+) aaactatggtg >hg38_chr10:88401974-88401984(+) acactatggag >hg38_chr10:88410012-88410022(+) agacaatgtgt >hg38_chr10:88418443-88418453(-) TAACAATGCAT >hg38_chr10:88418459-88418469(-) GCACAATGTGA >hg38_chr10:88466138-88466148(-) CCACAATGTCA >hg38_chr10:88474312-88474322(-) AAACAATGATA >hg38_chr10:88494108-88494118(+) TCACAATGACA >hg38_chr10:88583490-88583500(-) CAACAATAGCC >hg38_chr10:88583943-88583953(-) aaacaacggca >hg38_chr10:88607745-88607755(+) AGACAATGGGC >hg38_chr10:88661425-88661435(-) aaacaatgaag >hg38_chr10:88703281-88703291(+) AAACAATAAAT >hg38_chr10:88768160-88768170(+) ATACAAAGGGG >hg38_chr10:88788426-88788436(-) TGACAATGGCA >hg38_chr10:88846624-88846634(+) tgataatggac >hg38_chr10:88849252-88849262(+) TCACAATGCAA >hg38_chr10:88851820-88851830(+) AAACAAAGGCA >hg38_chr10:88866642-88866652(+) tgacaatggtg >hg38_chr10:88892402-88892412(-) ttacaatgact >hg38_chr10:88965654-88965664(-) TTATAATGGCT >hg38_chr10:88970628-88970638(+) TTACAATAGTT >hg38_chr10:88975877-88975887(-) ACACAATGGGA >hg38_chr10:88976501-88976511(-) GTACAATGGAA >hg38_chr10:89026448-89026458(+) caacaatgcct >hg38_chr10:89032439-89032449(+) tcacaatgttg >hg38_chr10:89086912-89086922(-) AGACAATGACT >hg38_chr10:89100145-89100155(+) GCACAATGAAT >hg38_chr10:89120580-89120590(-) taacaatgaaa >hg38_chr10:89125673-89125683(+) TTAcaatggtg >hg38_chr10:89149155-89149165(-) aaacaatgggg >hg38_chr10:89149211-89149221(-) taacaataaag >hg38_chr10:89155505-89155515(+) CTACAATGAAT >hg38_chr10:89172973-89172983(+) CAACAATGGTA >hg38_chr10:89173371-89173381(+) CCACAATGGAT >hg38_chr10:89237682-89237692(+) ggacaatgaga >hg38_chr10:89249879-89249889(-) atacaatagca >hg38_chr10:89278000-89278010(-) TCACAATGGCA >hg38_chr10:89346839-89346849(-) ACACAATAGCT >hg38_chr10:89346862-89346872(+) TTACAAAGGGA >hg38_chr10:89374363-89374373(+) ACACAATGTGT >hg38_chr10:89418887-89418897(-) TTACAATAGCT >hg38_chr10:89467002-89467012(+) ACACAATGGAA >hg38_chr10:89471511-89471521(+) ctacaatgagt >hg38_chr10:89479747-89479757(-) TAACaatagta >hg38_chr10:89491196-89491206(-) ttataatggct >hg38_chr10:89503751-89503761(-) GAACAATTGCG >hg38_chr10:89534281-89534291(+) AAACAATGCAA >hg38_chr10:89549275-89549285(+) GAACAATGGCT >hg38_chr10:89549353-89549363(-) GCACAATAGTG >hg38_chr10:89591199-89591209(+) ATACAATATAA >hg38_chr10:89591216-89591226(-) TTACAATATAT >hg38_chr10:89591230-89591240(+) GTACAATAAAA >hg38_chr10:89617093-89617103(+) ccacaatgaac >hg38_chr10:89617125-89617135(-) gaacaaaggtt >hg38_chr10:89631900-89631910(+) ACACAATAGTC >hg38_chr10:89637434-89637444(-) gaacaataggg >hg38_chr10:89639532-89639542(-) agataatggtg >hg38_chr10:89640181-89640191(+) GAACAATGGAC >hg38_chr10:89701606-89701616(-) AAACAATGGCG >hg38_chr10:89705501-89705511(+) aaacaatggcc >hg38_chr10:89706160-89706170(+) tgataatggaa >hg38_chr10:89729670-89729680(-) AAACAATAGCA >hg38_chr10:89759490-89759500(+) AGACAATAGGA >hg38_chr10:89759533-89759543(-) TTACAATAATA >hg38_chr10:89779852-89779862(-) taacaaaggga >hg38_chr10:89795275-89795285(+) AAACAAAGGTA >hg38_chr10:89826090-89826100(+) GCACAATGTTT >hg38_chr10:89847015-89847025(-) ttacaatgcaa >hg38_chr10:89853113-89853123(+) GGACAATGGGG >hg38_chr10:89857854-89857864(-) aaacaatggta >hg38_chr10:89877483-89877493(+) GTACAAAGGAG >hg38_chr10:89883026-89883036(-) taacaataaga >hg38_chr10:89883097-89883107(-) taacaatatga >hg38_chr10:89901055-89901065(+) atacaatggca >hg38_chr10:89956788-89956798(+) TAACAATAGCT >hg38_chr10:89960279-89960289(+) AAACAATAAAC >hg38_chr10:90019442-90019452(+) AGACAAAGGAA >hg38_chr10:90028767-90028777(+) acacaatagaa >hg38_chr10:90086788-90086798(+) tgacaatgact >hg38_chr10:90126109-90126119(-) ACACAATGAGG >hg38_chr10:90164395-90164405(+) AAACAAAGGGA >hg38_chr10:90190531-90190541(+) tcacaatggtc >hg38_chr10:90227371-90227381(-) TTACAAAGGAA >hg38_chr10:90227388-90227398(+) AGACAATGCTC >hg38_chr10:90227402-90227412(+) TCATAATGGGT >hg38_chr10:90240368-90240378(+) atacaatggta >hg38_chr10:90248986-90248996(-) TAACAATAAGA >hg38_chr10:90274208-90274218(+) CTACAATGACT >hg38_chr10:90286079-90286089(+) acacaatagga >hg38_chr10:90303179-90303189(+) gtacaaaggcc >hg38_chr10:90306425-90306435(+) TGACAATGTGA >hg38_chr10:90307927-90307937(+) AAACAATGGCA >hg38_chr10:90319735-90319745(+) TCACAATGACC >hg38_chr10:90344307-90344317(+) AGACAATGTTA >hg38_chr10:90381732-90381742(+) GGACAAAGGGT >hg38_chr10:90384026-90384036(-) caacaatgcag >hg38_chr10:90394331-90394341(+) agacaatgcat >hg38_chr10:90394504-90394514(+) AGACAATAGGC >hg38_chr10:90406832-90406842(+) CAACAATGGAA >hg38_chr10:90419019-90419029(+) gtacaatagag >hg38_chr10:90419389-90419399(-) atacaatcgag >hg38_chr10:90430034-90430044(-) caacaatgttc >hg38_chr10:90443027-90443037(+) gaacaataaaa >hg38_chr10:90443057-90443067(+) atataatgata >hg38_chr10:90506641-90506651(+) ctacaatgtat >hg38_chr10:90506679-90506689(+) gcacaaaggat >hg38_chr10:90535183-90535193(+) CAACAATGTAC >hg38_chr10:90643412-90643422(+) AGACAATGGAT >hg38_chr10:90706749-90706759(-) gaacaatagat >hg38_chr10:90706789-90706799(+) ttacaatgcaa >hg38_chr10:90723499-90723509(+) agacaatgtgg >hg38_chr10:90751597-90751607(-) TGACAATGCCA >hg38_chr10:90756516-90756526(+) GAACAATAAAC >hg38_chr10:90757771-90757781(+) caacaatgaaa >hg38_chr10:90805510-90805520(-) aaacaaaggca >hg38_chr10:90814875-90814885(+) ttacaatgaga >hg38_chr10:90871545-90871555(-) TTACAATGGAC >hg38_chr10:90871554-90871564(+) AAACAACGGAA >hg38_chr10:90873391-90873401(+) AGACAAAGGAC >hg38_chr10:90874544-90874554(+) tcataatggtt >hg38_chr10:90883639-90883649(-) AAACAATGGCT >hg38_chr10:90883685-90883695(+) GAACAATGTGT >hg38_chr10:90884161-90884171(-) gtacaatgaca >hg38_chr10:90885994-90886004(+) AAACAAAGGCT >hg38_chr10:90891519-90891529(-) GCATAatgggt >hg38_chr10:90891857-90891867(-) aaacaataaaa >hg38_chr10:90894830-90894840(+) CCACAATGAGA >hg38_chr10:90895158-90895168(+) TAACAATGGTC >hg38_chr10:90911791-90911801(-) ACACAAAGGAA >hg38_chr10:90912378-90912388(-) aaacaatggaa >hg38_chr10:90972427-90972437(+) atacaatagat >hg38_chr10:91033029-91033039(-) AAATAATGGAC >hg38_chr10:91034591-91034601(+) ttataatggag >hg38_chr10:91047884-91047894(-) GAACAATAGAG >hg38_chr10:91047921-91047931(+) ATACAAAGGAA >hg38_chr10:91054482-91054492(-) ACACAATAGTG >hg38_chr10:91071759-91071769(+) GGACAATGCCC >hg38_chr10:91090392-91090402(+) ACACTATGGGA >hg38_chr10:91122821-91122831(+) tgacaatgaac >hg38_chr10:91124467-91124477(+) CCACAATAGCC >hg38_chr10:91144940-91144950(-) TAACAATAACA >hg38_chr10:91144949-91144959(-) GCACAATAGTA >hg38_chr10:91197465-91197475(-) gcacaatgccc >hg38_chr10:91239996-91240006(-) TCACAATGGAC >hg38_chr10:91256707-91256717(+) gcacaaaggac >hg38_chr10:91284698-91284708(-) TCACAATGGGG >hg38_chr10:91286186-91286196(+) TAACAATGTCC >hg38_chr10:91319514-91319524(-) GAACAATGCAA >hg38_chr10:91339738-91339748(+) TTATAATGGAT >hg38_chr10:91352350-91352360(+) taacaatgatt >hg38_chr10:91352742-91352752(-) GAACAATGGAG >hg38_chr10:91352897-91352907(+) GCACAATGTCT >hg38_chr10:91355669-91355679(+) CAACAATGTGA >hg38_chr10:91368372-91368382(-) AGACAAAGGAA >hg38_chr10:91368526-91368536(-) TAACAATGACA >hg38_chr10:91397843-91397853(+) aaacaaaggaa >hg38_chr10:91416367-91416377(+) taacaatgttc >hg38_chr10:91424987-91424997(+) gaacaatgcta >hg38_chr10:91433426-91433436(-) ggacaatgcat >hg38_chr10:91433630-91433640(+) agacaatgcca >hg38_chr10:91433638-91433648(+) ccacaatgaat >hg38_chr10:91433664-91433674(-) gaacaaagcga >hg38_chr10:91444634-91444644(-) AAACAATGCCT >hg38_chr10:91502957-91502967(+) TAATAATGGTA >hg38_chr10:91589510-91589520(+) TGACAATGATA >hg38_chr10:91614019-91614029(-) AAACAATGATC >hg38_chr10:91615784-91615794(-) gaacaatgggt >hg38_chr10:91652594-91652604(+) GAACACTGGAA >hg38_chr10:91720798-91720808(+) aaataatggga >hg38_chr10:91738174-91738184(-) acacaatagga >hg38_chr10:91745340-91745350(-) gaacaatgacg >hg38_chr10:91762050-91762060(+) aaacaaaggat >hg38_chr10:91778692-91778702(+) tcacaatgcat >hg38_chr10:91778717-91778727(-) ttacaatgata >hg38_chr10:91779968-91779978(+) aaacaatagtg >hg38_chr10:91820258-91820268(+) ATACAATGAGG >hg38_chr10:91833491-91833501(-) AGACAATAGTA >hg38_chr10:91864908-91864918(-) CCACAATGATT >hg38_chr10:91865348-91865358(+) TTACAATAAAC >hg38_chr10:91865372-91865382(+) AAACAATGTAG >hg38_chr10:91868109-91868119(-) AGACAATGCTT >hg38_chr10:91868178-91868188(+) TGACAATAGTA >hg38_chr10:91888106-91888116(-) agacaaaggat >hg38_chr10:91897582-91897592(-) TTACAATGAGA >hg38_chr10:91897591-91897601(-) GCACAATGATT >hg38_chr10:91904562-91904572(-) TCACAATGAGA >hg38_chr10:91904613-91904623(+) AAACAATGAAA >hg38_chr10:91906001-91906011(-) GAACAAtggag >hg38_chr10:91917241-91917251(-) taacaatggaa >hg38_chr10:91926938-91926948(-) tcacaaagGAC >hg38_chr10:91956433-91956443(-) TCACAATGAAT >hg38_chr10:91956468-91956478(-) CCACAATGAAT >hg38_chr10:91957348-91957358(+) GAACAATGTCA >hg38_chr10:91961273-91961283(-) TGACAATGCTG >hg38_chr10:91975544-91975554(+) TCACAATAGGG >hg38_chr10:91995257-91995267(-) TAACAATAGAG >hg38_chr10:91997637-91997647(-) GGACAATATAT >hg38_chr10:92011707-92011717(-) ctactatggaa >hg38_chr10:92037906-92037916(+) taacaatataa >hg38_chr10:92045910-92045920(+) AGACTATGGGT >hg38_chr10:92049070-92049080(+) GTACAAAGGCT >hg38_chr10:92052845-92052855(+) TGACAATGCAG >hg38_chr10:92091925-92091935(-) CGACCATGGAA >hg38_chr10:92142265-92142275(+) ACACAATAATA >hg38_chr10:92163803-92163813(+) GAACAATATGA >hg38_chr10:92218715-92218725(+) GAACAATAGAG >hg38_chr10:92230322-92230332(+) ACACAATAGTC >hg38_chr10:92230352-92230362(+) ACACAATGTTT >hg38_chr10:92230366-92230376(-) ACACAAAGGGA >hg38_chr10:92240386-92240396(-) TGACAATAACG >hg38_chr10:92291170-92291180(+) TCACAAAGGTA >hg38_chr10:92291425-92291435(-) GGACAATAGCA >hg38_chr10:92312556-92312566(-) gtacaataatg >hg38_chr10:92395550-92395560(-) tgacaatgctg >hg38_chr10:92407477-92407487(+) gaacaaaggtt >hg38_chr10:92432847-92432857(-) CGACTATGGGC >hg38_chr10:92505504-92505514(+) acactatggat >hg38_chr10:92539075-92539085(-) ATATAATGGTG >hg38_chr10:92546043-92546053(+) acacaatggaa >hg38_chr10:92559416-92559426(+) atacaatgcaa >hg38_chr10:92568069-92568079(-) TAAGAATGGCG >hg38_chr10:92568109-92568119(+) aaacaatgcaa >hg38_chr10:92591518-92591528(-) gaacaaaggat >hg38_chr10:92591566-92591576(+) taacaATAGGC >hg38_chr10:92594740-92594750(-) agataatggtt >hg38_chr10:92623652-92623662(+) GAACAATGTAA >hg38_chr10:92677194-92677204(-) taataatgata >hg38_chr10:92726928-92726938(-) GTACAAAGGCC >hg38_chr10:92789952-92789962(+) TCACAATAGGG >hg38_chr10:92792049-92792059(+) GTACAAAGGCA >hg38_chr10:92812155-92812165(+) ACACAATAGAC >hg38_chr10:92875214-92875224(+) GCACAATGCCA >hg38_chr10:92880247-92880257(+) taacaatgtaa >hg38_chr10:92880294-92880304(+) gaataatggca >hg38_chr10:92888441-92888451(-) GAACAATAGTT >hg38_chr10:92916680-92916690(-) gaacaaaggag >hg38_chr10:92957174-92957184(-) GAACAATAAAG >hg38_chr10:92965428-92965438(+) AAACAAAGGAA >hg38_chr10:92995734-92995744(-) AAACAATGCAA >hg38_chr10:92997354-92997364(-) GTACAATAGTG >hg38_chr10:92997870-92997880(-) GAATAATGGGG >hg38_chr10:93077191-93077201(-) TCACAATGCCT >hg38_chr10:93077225-93077235(+) CTACAATGAAA >hg38_chr10:93079699-93079709(+) GGACAATGGGC >hg38_chr10:93098453-93098463(-) acacaatagta >hg38_chr10:93098497-93098507(-) atacaatggag >hg38_chr10:93100656-93100666(+) gtacaatgtag >hg38_chr10:93140209-93140219(-) GAACAATGGCA >hg38_chr10:93148111-93148121(-) TAACAAAGGAC >hg38_chr10:93162450-93162460(+) gtacaataatt >hg38_chr10:93162522-93162532(+) taacaatatct >hg38_chr10:93212783-93212793(-) gtacaatggcc >hg38_chr10:93300073-93300083(-) TCATAATGGAG >hg38_chr10:93333760-93333770(-) CAACAATGGCC >hg38_chr10:93333796-93333806(+) GAACAATGTAA >hg38_chr10:93344373-93344383(-) gcacaatgtac >hg38_chr10:93395610-93395620(+) GAACAATGTGT >hg38_chr10:93413375-93413385(+) AAACAATGGTA >hg38_chr10:93436150-93436160(+) ATACAATAGTG >hg38_chr10:93437077-93437087(-) AGACAAAGGAG >hg38_chr10:93455845-93455855(+) ACACAATGGAG >hg38_chr10:93461925-93461935(+) AAACAAAGGGG >hg38_chr10:93466298-93466308(+) ATACAAAGCGA >hg38_chr10:93486149-93486159(+) ctacaaaggac >hg38_chr10:93496262-93496272(-) TGACGATGGAC >hg38_chr10:93554936-93554946(+) GGACAATGGTC >hg38_chr10:93654067-93654077(+) Taataatgata >hg38_chr10:93682064-93682074(+) GCATAATGGAA >hg38_chr10:93682089-93682099(-) TAACAATAAGC >hg38_chr10:93699459-93699469(+) GAACAATGCTC >hg38_chr10:93700195-93700205(+) ccacaatagtt >hg38_chr10:93707819-93707829(+) GCACAAAGGAT >hg38_chr10:93725308-93725318(-) GAACAATGTGC >hg38_chr10:93734052-93734062(+) agacaaaggag >hg38_chr10:93746942-93746952(-) AGACAAAGGAA >hg38_chr10:93767110-93767120(+) agacaatgact >hg38_chr10:93777149-93777159(-) TCACAATGACT >hg38_chr10:93790043-93790053(-) AAACAAAGGCA >hg38_chr10:93790513-93790523(+) CTACAAAGGAA >hg38_chr10:93791224-93791234(-) TAACTATGGTC >hg38_chr10:93814335-93814345(+) CAACAATGCCC >hg38_chr10:93814356-93814366(+) TAACAAAGGGG >hg38_chr10:93824022-93824032(-) ccacaatgtgt >hg38_chr10:93873397-93873407(-) Gaacaataata >hg38_chr10:93873466-93873476(-) AAACAAAGGCG >hg38_chr10:93897562-93897572(-) AAACAAAGGTC >hg38_chr10:93991837-93991847(-) GAACAATGGCT >hg38_chr10:94055917-94055927(-) ACACAATGCCT >hg38_chr10:94076437-94076447(+) GGACAAAGGTC >hg38_chr10:94091310-94091320(+) aaacaatgaaa >hg38_chr10:94091366-94091376(+) aaacaatgctT >hg38_chr10:94093480-94093490(+) ATACAATGTTA >hg38_chr10:94117754-94117764(-) ACACAATGCAG >hg38_chr10:94125964-94125974(-) agacaaaggca >hg38_chr10:94133515-94133525(-) GGACAATAGCT >hg38_chr10:94196006-94196016(-) aaacaatgtgg >hg38_chr10:94425440-94425450(-) TCACAATGCCC >hg38_chr10:94430836-94430846(+) CAACAATGAAG >hg38_chr10:94512532-94512542(-) gaacaatgcct >hg38_chr10:94521393-94521403(-) GAACAATAGGA >hg38_chr10:94545043-94545053(+) AGACAAAGGAA >hg38_chr10:94547513-94547523(-) tAACAATGCTG >hg38_chr10:94547551-94547561(-) aaacaatgcat >hg38_chr10:94574681-94574691(-) AGACAAAGGGC >hg38_chr10:95133072-95133082(+) caacaatgtgc >hg38_chr10:95133331-95133341(+) ttacaacggca >hg38_chr10:95181668-95181678(+) ccacaatggta >hg38_chr10:95181677-95181687(+) taacagtggta >hg38_chr10:95196889-95196899(-) taacaataaag >hg38_chr10:95196929-95196939(-) ttacaatagca >hg38_chr10:95230261-95230271(-) atacaatggaa >hg38_chr10:95264629-95264639(-) AAACAATAGAT >hg38_chr10:95289246-95289256(+) ACACAATGTCC >hg38_chr10:95295197-95295207(+) TTACAATAAGT >hg38_chr10:95304629-95304639(-) GAACAATGAAG >hg38_chr10:95304654-95304664(-) TAACAATAGTG >hg38_chr10:95339409-95339419(-) AAACAATGCAG >hg38_chr10:95351031-95351041(+) ACATAATGGGG >hg38_chr10:95375569-95375579(+) AGACAATGCTG >hg38_chr10:95378433-95378443(-) GTACAATAACT >hg38_chr10:95378485-95378495(-) gaacactggac >hg38_chr10:95404658-95404668(+) gtacaaaggaa >hg38_chr10:95404676-95404686(+) atacaatggta >hg38_chr10:95415397-95415407(+) GAACAATGAGC >hg38_chr10:95430522-95430532(-) ACACAATGCCC >hg38_chr10:95457106-95457116(+) CTACAATGGAA >hg38_chr10:95462829-95462839(-) TAACAATAGGG >hg38_chr10:95462875-95462885(+) atacaatatct >hg38_chr10:95536137-95536147(-) TTACAATGTTT >hg38_chr10:95552843-95552853(+) gaacaaaggag >hg38_chr10:95561222-95561232(+) GAACAAAGGCG >hg38_chr10:95604447-95604457(-) CCACAATGTCC >hg38_chr10:95614946-95614956(+) caacaatggga >hg38_chr10:95675915-95675925(+) GAACAATATAA >hg38_chr10:95677641-95677651(+) TCACAATGCAA >hg38_chr10:95726079-95726089(-) caacaatggga >hg38_chr10:95783163-95783173(-) TAACAATGCTA >hg38_chr10:95783172-95783182(-) CGACAATGGTA >hg38_chr10:95796277-95796287(-) tcacaatgcta >hg38_chr10:95878432-95878442(-) TTACAATATAT >hg38_chr10:95892059-95892069(+) GGATAATGGCA >hg38_chr10:95892878-95892888(+) atataatgtac >hg38_chr10:95962960-95962970(+) GAACAATGGCT >hg38_chr10:96002208-96002218(+) gaacaatgtag >hg38_chr10:96009561-96009571(-) gcacaatgcaa >hg38_chr10:96010542-96010552(+) gtacaatgtga >hg38_chr10:96036940-96036950(+) agacaatgttc >hg38_chr10:96043582-96043592(+) TAACAATGGGC >hg38_chr10:96046733-96046743(+) TGACAATGAAT >hg38_chr10:96048683-96048693(+) atacaataaat >hg38_chr10:96111554-96111564(+) aaacaatagag >hg38_chr10:96115788-96115798(+) taacaatgtct >hg38_chr10:96124717-96124727(+) caacaatggct >hg38_chr10:96162371-96162381(-) TAACAATAGTG >hg38_chr10:96205746-96205756(+) TAACAAAGGAG >hg38_chr10:96290863-96290873(-) taataatggca >hg38_chr10:96293493-96293503(+) GGACAATAGAA >hg38_chr10:96308111-96308121(-) GAACAATGAAA >hg38_chr10:96309228-96309238(-) agacaatgcct >hg38_chr10:96338437-96338447(+) tcacaatgcat >hg38_chr10:96339379-96339389(-) gtacagtggtt >hg38_chr10:96341358-96341368(-) acacaaaggga >hg38_chr10:96342519-96342529(-) AGACAATGTTG >hg38_chr10:96346277-96346287(+) ggacaatggtc >hg38_chr10:96375415-96375425(-) gcacaacGGGA >hg38_chr10:96400714-96400724(-) CCACAATGCAC >hg38_chr10:96401196-96401206(-) GCATAATGGAC >hg38_chr10:96401378-96401388(+) ACACAATGCAC >hg38_chr10:96441747-96441757(+) CCACAATGGCT >hg38_chr10:96528707-96528717(-) TGATAATGGAT >hg38_chr10:96531104-96531114(+) TTATAATGGCA >hg38_chr10:96535038-96535048(+) gcacaatgtgt >hg38_chr10:96538135-96538145(+) agacaatgtgg >hg38_chr10:96539972-96539982(+) agataatgcgt >hg38_chr10:96544073-96544083(-) GAACAATGGTG >hg38_chr10:96585358-96585368(+) AAACAATAGCA >hg38_chr10:96618828-96618838(-) AAACAATGTTT >hg38_chr10:96664833-96664843(+) CCACAATGCAC >hg38_chr10:96773009-96773019(+) ACACAATGGCC >hg38_chr10:96814083-96814093(+) AGACAATGCTT >hg38_chr10:96844828-96844838(+) TAACAAAGGCC >hg38_chr10:96857506-96857516(+) CCACAATGGCT >hg38_chr10:96872995-96873005(-) taataatggca >hg38_chr10:96893225-96893235(-) aaacaatgcat >hg38_chr10:96900158-96900168(+) tatcaatggac >hg38_chr10:96900210-96900220(+) acacaatgtgt >hg38_chr10:96909529-96909539(-) TGACAATGTAG >hg38_chr10:96909593-96909603(-) ATACAATGGTT >hg38_chr10:96922659-96922669(-) AGACAATAGCA >hg38_chr10:96946420-96946430(+) GAACAATATAC >hg38_chr10:96958110-96958120(-) GTACTATGGCT >hg38_chr10:97128580-97128590(-) ACACAATGCAG >hg38_chr10:97134398-97134408(+) GAACAATGGCC >hg38_chr10:97141665-97141675(-) atacaatgcaa >hg38_chr10:97141675-97141685(-) atacaatacga >hg38_chr10:97141700-97141710(-) atacaatacga >hg38_chr10:97141705-97141715(-) gtacaatacaa >hg38_chr10:97141720-97141730(-) gtacaatacaa >hg38_chr10:97180524-97180534(+) gcacaatgcag >hg38_chr10:97184404-97184414(+) GTACAAAGGCC >hg38_chr10:97228381-97228391(+) TAACAATGaca >hg38_chr10:97228387-97228397(+) TGacaatgtgc >hg38_chr10:97228960-97228970(+) agacaatgctg >hg38_chr10:97267904-97267914(+) ctacaaaggtc >hg38_chr10:97267939-97267949(-) agacaatgggg >hg38_chr10:97271204-97271214(+) TCACAAtgcct >hg38_chr10:97277805-97277815(-) AAACACTGGAT >hg38_chr10:97319233-97319243(-) AAACAATGGGT >hg38_chr10:97334753-97334763(+) AAACAATGGGT >hg38_chr10:97337265-97337275(+) agataatggga >hg38_chr10:97337870-97337880(-) AGACAATAGTT >hg38_chr10:97401366-97401376(+) TTACTATGGTA >hg38_chr10:97463729-97463739(+) GGACAAAGGAT >hg38_chr10:97474897-97474907(-) ATACAATGAGC >hg38_chr10:97495369-97495379(+) AAACAAAGGTG >hg38_chr10:97508556-97508566(-) gcacaatggaa >hg38_chr10:97534269-97534279(-) acacaataggt >hg38_chr10:97553785-97553795(-) TTACAATGGGC >hg38_chr10:97689855-97689865(+) ACACAATGGAA >hg38_chr10:97781243-97781253(+) AGACAATGGCC >hg38_chr10:97799004-97799014(-) aaacaatggac >hg38_chr10:97799393-97799403(+) GAACACTGGTC >hg38_chr10:97805947-97805957(+) aaacaatggtt >hg38_chr10:97851598-97851608(+) GAACAAAGGGA >hg38_chr10:97876337-97876347(-) ttacaataatc >hg38_chr10:98006468-98006478(+) GAACAAAGGGC >hg38_chr10:98068002-98068012(-) GCACAATGGCT >hg38_chr10:98103399-98103409(+) aaacaatgagg >hg38_chr10:98137788-98137798(-) CTACAATGTAC >hg38_chr10:98138322-98138332(+) TGACAATAGAA >hg38_chr10:98167054-98167064(-) TAACAATAGGC >hg38_chr10:98169246-98169256(-) TCACAATGATG >hg38_chr10:98169634-98169644(-) GAACAATGACA >hg38_chr10:98170185-98170195(+) CTATAATGgtg >hg38_chr10:98170999-98171009(+) GCACAATAGTA >hg38_chr10:98213967-98213977(-) GAACAATAAAA >hg38_chr10:98215645-98215655(-) gtacaaaggtg >hg38_chr10:98218067-98218077(-) caacaatgtcc >hg38_chr10:98242965-98242975(-) AAACAGTGGTC >hg38_chr10:98249301-98249311(-) ggacaaaggag >hg38_chr10:98269620-98269630(+) GGACAATGAGG >hg38_chr10:98284305-98284315(+) gtacaaagggt >hg38_chr10:98415970-98415980(-) GAACAAAGGGG >hg38_chr10:98442119-98442129(+) atacaatagat >hg38_chr10:98448331-98448341(-) gaacaatacac >hg38_chr10:98456517-98456527(-) GAACAATGCTG >hg38_chr10:98466891-98466901(-) AGACAATGTTC >hg38_chr10:98469409-98469419(-) TTACAGTGGTA >hg38_chr10:98505910-98505920(-) aaacaatggta >hg38_chr10:98505920-98505930(-) acacaatataa >hg38_chr10:98507959-98507969(+) taacaataaca >hg38_chr10:98520113-98520123(+) CTACAATGTGT >hg38_chr10:98540120-98540130(-) gcacaatgaac >hg38_chr10:98564412-98564422(-) TAAcaatgtgc >hg38_chr10:98564422-98564432(-) CAACAATAGTT >hg38_chr10:98581670-98581680(-) acacaatgtat >hg38_chr10:98604880-98604890(+) ctacaatgagt >hg38_chr10:98610518-98610528(+) GAACAATGTGC >hg38_chr10:98617107-98617117(+) AAACAATGAAG >hg38_chr10:98632702-98632712(+) taacaatatcc >hg38_chr10:98664576-98664586(+) cgacaatgcgc >hg38_chr10:98691891-98691901(-) TAacaatgtac >hg38_chr10:98691909-98691919(-) TAACAATGACA >hg38_chr10:98691947-98691957(-) AGACAATAGTT >hg38_chr10:98731486-98731496(-) ttacaatggta >hg38_chr10:98732392-98732402(-) ttacaatagct >hg38_chr10:98732406-98732416(+) agacaatgtag >hg38_chr10:98746869-98746879(+) aaacaatgcaa >hg38_chr10:98858146-98858156(+) caACAATGCTT >hg38_chr10:98904335-98904345(+) CTATAATGGTT >hg38_chr10:98905842-98905852(+) AGACAATGGAA >hg38_chr10:98905852-98905862(+) ACACAATGCCC >hg38_chr10:98997871-98997881(+) ctacaatggct >hg38_chr10:99052354-99052364(+) gaacaatagaa >hg38_chr10:99208137-99208147(-) ttacaataaga >hg38_chr10:99208150-99208160(-) ttactatggta >hg38_chr10:99208179-99208189(+) aaacgatggac >hg38_chr10:99208197-99208207(-) gtacaatagtt >hg38_chr10:99213552-99213562(+) ATACAATGTCA >hg38_chr10:99219142-99219152(-) TCACAATGCAC >hg38_chr10:99228143-99228153(+) GAACAAAGGTA >hg38_chr10:99277039-99277049(+) CGACAATGGAT >hg38_chr10:99337453-99337463(-) ggacaaaggca >hg38_chr10:99342270-99342280(+) AAACAGTGGGA >hg38_chr10:99373832-99373842(+) agataatggcc >hg38_chr10:99440514-99440524(+) TAACAATGTCA >hg38_chr10:99475503-99475513(-) agacaataaat >hg38_chr10:99476833-99476843(+) ccacaatggaa >hg38_chr10:99480016-99480026(+) aaacaatgggc >hg38_chr10:99523031-99523041(+) GGACAATAGGT >hg38_chr10:99524469-99524479(+) TAACAATGACA >hg38_chr10:99529394-99529404(+) AGACAACGGGA >hg38_chr10:99529432-99529442(-) AGATAATGGAT >hg38_chr10:99537143-99537153(-) CCACAATGGCG >hg38_chr10:99618216-99618226(+) caacaatagca >hg38_chr10:99618268-99618278(+) TTATAATGGAA >hg38_chr10:99619087-99619097(+) GAACAATAATC >hg38_chr10:99631185-99631195(+) gaacaatggga >hg38_chr10:99694393-99694403(-) atacaatggaa >hg38_chr10:99707005-99707015(-) aaataatggct >hg38_chr10:99707020-99707030(-) taacaaaggca >hg38_chr10:99739137-99739147(-) ctacaatggat >hg38_chr10:99740459-99740469(-) cgacaatggaa >hg38_chr10:99740812-99740822(-) aaacaatagca >hg38_chr10:99742115-99742125(-) aaacaatgaag >hg38_chr10:99775818-99775828(+) AAACAATGTTT >hg38_chr10:99776248-99776258(-) GTACAATGAAA >hg38_chr10:99782652-99782662(-) AAACAGTGGAT >hg38_chr10:99785865-99785875(-) GAACAATGGAA >hg38_chr10:99785888-99785898(+) TAACAATGACT >hg38_chr10:99790845-99790855(+) TTACAAAGGGC >hg38_chr10:99790854-99790864(-) AGATAATGGGC >hg38_chr10:99850265-99850275(-) TAACAATGGCC >hg38_chr10:99851224-99851234(-) TTACAAAGGGT >hg38_chr10:99851249-99851259(+) aaacaatgtgc >hg38_chr10:99852095-99852105(-) atacaatgcca >hg38_chr10:99852113-99852123(+) gcacaatgtat >hg38_chr10:99927556-99927566(-) ACACaaaggaa >hg38_chr10:99933634-99933644(-) ACACAATGGGC >hg38_chr10:99962737-99962747(+) ACACAATGGGC >hg38_chr10:100100588-100100598(-) ATACAATGAAC >hg38_chr10:100162211-100162221(+) aaataatgggc >hg38_chr10:100180179-100180189(-) GAACAAAGGTG >hg38_chr10:100194477-100194487(+) GCACAATGATT >hg38_chr10:100205041-100205051(-) GCACAATGCAA >hg38_chr10:100205082-100205092(-) GGACAAAGGGC >hg38_chr10:100229604-100229614(-) GAACAAAGACG >hg38_chr10:100341023-100341033(-) GCACAATAGCA >hg38_chr10:100346585-100346595(-) GAACAATGGCT >hg38_chr10:100359246-100359256(-) GAACAAAGGGT >hg38_chr10:100374261-100374271(-) taacaatagct >hg38_chr10:100432217-100432227(+) CCACAATAGCA >hg38_chr10:100432613-100432623(+) CAACAATGTGT >hg38_chr10:100433991-100434001(-) AAACAATATAG >hg38_chr10:100447185-100447195(-) taacaatgagt >hg38_chr10:100519228-100519238(-) TAACAATGTGA >hg38_chr10:100532032-100532042(-) agacaataaca >hg38_chr10:100638034-100638044(+) GGACAATGTGA >hg38_chr10:100638076-100638086(+) AGACAATGTCC >hg38_chr10:100650281-100650291(-) TTACAATGTGT >hg38_chr10:100650306-100650316(-) CCACAATAGCC >hg38_chr10:100684874-100684884(+) GAACAATGGGC >hg38_chr10:100737798-100737808(+) TGACAATGACA >hg38_chr10:100746032-100746042(+) CGACAGTGGCA >hg38_chr10:100874153-100874163(+) GGACAATGGGC >hg38_chr10:100898623-100898633(-) ctacaatgcaa >hg38_chr10:100929619-100929629(-) aaacaataacc >hg38_chr10:100933234-100933244(-) taacaatgggc >hg38_chr10:100934266-100934276(-) taacaaaggaa >hg38_chr10:100958145-100958155(+) ATACAATGCTG >hg38_chr10:100994318-100994328(-) ACACAATGGCA >hg38_chr10:100994400-100994410(-) AAACAAAGGCA >hg38_chr10:100999509-100999519(+) GAACAATGACC >hg38_chr10:101013124-101013134(+) ATACAATACAA >hg38_chr10:101029933-101029943(-) GGACAATGGGA >hg38_chr10:101052371-101052381(-) AAACAATAGCT >hg38_chr10:101061820-101061830(-) TCACAATGCGA >hg38_chr10:101109850-101109860(-) AAACAATGCCC >hg38_chr10:101161830-101161840(-) ACACAATGTGT >hg38_chr10:101161854-101161864(-) GAACACTGGGA >hg38_chr10:101290520-101290530(+) AGACAATGGCT >hg38_chr10:101367735-101367745(-) gaacaatgtgg >hg38_chr10:101379894-101379904(+) ATACAATAAAG >hg38_chr10:101380030-101380040(+) TGACAATACGA >hg38_chr10:101400017-101400027(+) TCACAATGTAT >hg38_chr10:101400486-101400496(-) TAACAATGAGT >hg38_chr10:101459722-101459732(-) ACACAAAGGGA >hg38_chr10:101464127-101464137(+) AAACAATGACA >hg38_chr10:101464869-101464879(-) CCACAATAGAC >hg38_chr10:101486028-101486038(-) TAACAATGCAA >hg38_chr10:101489777-101489787(-) TAACAATGGGA >hg38_chr10:101493362-101493372(+) tcacaatagtc >hg38_chr10:101493371-101493381(-) acacaatgaga >hg38_chr10:101529542-101529552(-) GTACAATATTA >hg38_chr10:101536943-101536953(-) TAACACTGGGT >hg38_chr10:101539558-101539568(-) atacaatagaa >hg38_chr10:101539862-101539872(-) ccacaatgaga >hg38_chr10:101591177-101591187(-) atacaatggat >hg38_chr10:101651047-101651057(-) ACACAATGCTT >hg38_chr10:101651851-101651861(-) AGACAATGGGC >hg38_chr10:101716890-101716900(-) atacaatgggg >hg38_chr10:101724947-101724957(-) AGACAATGGCC >hg38_chr10:101749479-101749489(-) ATACAATGATG >hg38_chr10:101749510-101749520(+) GGACAAAGGAG >hg38_chr10:101795485-101795495(+) ACACAATGGGG >hg38_chr10:101795539-101795549(-) AAACAATGTGG >hg38_chr10:101815177-101815187(+) GGACAATGACA >hg38_chr10:101831204-101831214(-) GGACAATGGGA >hg38_chr10:101874435-101874445(+) CAACAATGCTA >hg38_chr10:101874454-101874464(-) GCACAATGGGA >hg38_chr10:101879096-101879106(-) AGATAATGGCT >hg38_chr10:101919187-101919197(-) gaACAAAGGAA >hg38_chr10:101922854-101922864(+) GAACAATGGGA >hg38_chr10:101950318-101950328(-) aaacaatgctg >hg38_chr10:101952914-101952924(-) taacaaagggg >hg38_chr10:101955670-101955680(+) TCACAAAGGAT >hg38_chr10:101970422-101970432(-) GCACAATGTTG >hg38_chr10:101973612-101973622(+) AGACAATGGAA >hg38_chr10:102009224-102009234(+) AAACAAAGGCA >hg38_chr10:102114622-102114632(+) TTACAATGGCC >hg38_chr10:102117590-102117600(-) AGACAAAGGAA >hg38_chr10:102122204-102122214(+) AGACAAAGGTC >hg38_chr10:102132749-102132759(+) CGACAATAAAA >hg38_chr10:102279522-102279532(-) GGACAATGGGC >hg38_chr10:102279732-102279742(-) TAACAATAAAA >hg38_chr10:102284622-102284632(-) acacaatggaa >hg38_chr10:102300421-102300431(-) CTACAATGCCA >hg38_chr10:102327783-102327793(+) GAATAATGGAG >hg38_chr10:102361946-102361956(-) TTATAATGCGT >hg38_chr10:102419529-102419539(+) TTACTATGGCA >hg38_chr10:102449597-102449607(+) TAACAATGATC >hg38_chr10:102449637-102449647(-) aaacaaTAAAT >hg38_chr10:102503847-102503857(-) TGACAATAGGC >hg38_chr10:102508737-102508747(+) GAACAATGCCC >hg38_chr10:102543272-102543282(-) aaacaatgaga >hg38_chr10:102583161-102583171(-) CCACAATGAGT >hg38_chr10:102583207-102583217(-) TAACAATGAAA >hg38_chr10:102596594-102596604(-) GAACAAAGGCT >hg38_chr10:102627852-102627862(-) AAACAAAGGGG >hg38_chr10:102699160-102699170(-) TAACAATGTTG >hg38_chr10:102770003-102770013(-) GGACAAAGGCA >hg38_chr10:102782659-102782669(-) AAACAATGGCT >hg38_chr10:102864220-102864230(-) TAACAATATGA >hg38_chr10:102864226-102864236(-) GGACAATAACA >hg38_chr10:102922286-102922296(+) AAACTATGGGA >hg38_chr10:102924322-102924332(-) AAACAATGAAA >hg38_chr10:102996849-102996859(-) TAACAAAGGCA >hg38_chr10:102996882-102996892(-) ATACAATGGAG >hg38_chr10:103035740-103035750(-) AAACAATGGAT >hg38_chr10:103049466-103049476(+) GAACAATGGTG >hg38_chr10:103083440-103083450(-) ATACAATGGCA >hg38_chr10:103102102-103102112(+) AGACAATGTGA >hg38_chr10:103102120-103102130(+) caacaatggcc >hg38_chr10:103104941-103104951(+) ATACAATGTCA >hg38_chr10:103114991-103115001(-) AGATAATGGcc >hg38_chr10:103145105-103145115(+) CTATAATGGCA >hg38_chr10:103185162-103185172(+) ACACAAAGGAA >hg38_chr10:103192943-103192953(+) AAACAATGGCG >hg38_chr10:103202078-103202088(-) AGACAATGGCT >hg38_chr10:103231387-103231397(+) TAACAAAGGGT >hg38_chr10:103334045-103334055(-) TTACAATGAAT >hg38_chr10:103348052-103348062(+) CCACAATGAGC >hg38_chr10:103504588-103504598(-) gcacaatggag >hg38_chr10:103532267-103532277(-) aaacaataaga >hg38_chr10:103709578-103709588(+) CAACAATAGTA >hg38_chr10:103716407-103716417(-) GAACAATGCCC >hg38_chr10:103729959-103729969(-) ACACAATGCAG >hg38_chr10:103736891-103736901(+) caacaaaggta >hg38_chr10:103745843-103745853(+) ACACAATGGCA >hg38_chr10:103804170-103804180(+) gtataatgaat >hg38_chr10:103811068-103811078(+) AAACAATGACG >hg38_chr10:103840836-103840846(-) TAACaataaca >hg38_chr10:103840875-103840885(+) GAACAATGGAA >hg38_chr10:103844097-103844107(-) GAACAATGTCT >hg38_chr10:103854637-103854647(+) ACACAATGGCT >hg38_chr10:103894854-103894864(+) AAACAATGGTT >hg38_chr10:103908376-103908386(+) GCACAATGTCC >hg38_chr10:103918749-103918759(-) ccacaatgcac >hg38_chr10:103924590-103924600(-) taacaataaga >hg38_chr10:103951911-103951921(+) TTACAATGCTT >hg38_chr10:103955146-103955156(+) CAACAATGACT >hg38_chr10:103956782-103956792(+) GAACAATGACA >hg38_chr10:103971069-103971079(-) ACACGATGGAA >hg38_chr10:104049524-104049534(+) TAACAATGGTG >hg38_chr10:104067172-104067182(+) taacaataacc >hg38_chr10:104076913-104076923(+) GAACAATGGGC >hg38_chr10:104080984-104080994(-) AAACAAAGGTC >hg38_chr10:104116738-104116748(-) CAACAATGTAA >hg38_chr10:104122398-104122408(-) CGACAAAGGCA >hg38_chr10:104202089-104202099(+) aaacaatacgt >hg38_chr10:104208284-104208294(+) TGACAATAGGA >hg38_chr10:104248493-104248503(-) ggacaatgcta >hg38_chr10:104250313-104250323(-) caacaatgaaa >hg38_chr10:104251100-104251110(-) TTACAATAGGT >hg38_chr10:104256989-104256999(-) AAACAAAGGAA >hg38_chr10:104291925-104291935(-) GTACAATAGAA >hg38_chr10:104328582-104328592(+) ACACAAAGGAA >hg38_chr10:104329233-104329243(+) GAACAATAGAG >hg38_chr10:104331320-104331330(+) AAACAAAGGAC >hg38_chr10:104343022-104343032(+) TGACAATGGGA >hg38_chr10:104430147-104430157(+) tcacaatagtt >hg38_chr10:104485854-104485864(+) GGACAATGGGG >hg38_chr10:104490849-104490859(-) ggataatggcc >hg38_chr10:104490888-104490898(+) aaacaataggt >hg38_chr10:104512986-104512996(-) TCACAATGAAG >hg38_chr10:104557137-104557147(+) ACACAATGAAT >hg38_chr10:104563869-104563879(+) AGACAATGCAT >hg38_chr10:104568241-104568251(+) gtacaatatcg >hg38_chr10:104569809-104569819(-) ctacaataata >hg38_chr10:104621116-104621126(+) CTACAATGATC >hg38_chr10:104650323-104650333(+) AGACAATGCTG >hg38_chr10:104708019-104708029(+) gaacaatgaag >hg38_chr10:104735223-104735233(-) GAACAATGCAG >hg38_chr10:104744247-104744257(+) TGACAATGAAT >hg38_chr10:104744272-104744282(-) GAACAAAGGTG >hg38_chr10:104907686-104907696(-) GCACAATGATA >hg38_chr10:104907713-104907723(+) AAACAATGCAG >hg38_chr10:104908506-104908516(-) AGACAATAGAG >hg38_chr10:105013932-105013942(-) gaacaataact >hg38_chr10:105064105-105064115(+) aaacaatggtg >hg38_chr10:105130318-105130328(-) ATACAATAAAG >hg38_chr10:105131506-105131516(+) CGACAATGTAG >hg38_chr10:105161968-105161978(-) GAACAATAGGA >hg38_chr10:105222638-105222648(-) ATACAGTGGGT >hg38_chr10:105222664-105222674(+) GCACAATGGCC >hg38_chr10:105222711-105222721(+) GAATAATGGAA >hg38_chr10:105288070-105288080(-) GAACAATGGTA >hg38_chr10:105328734-105328744(+) agacaatagag >hg38_chr10:105328765-105328775(+) gaacaaaggaa >hg38_chr10:105485169-105485179(+) TCACAAAGGAA >hg38_chr10:105639754-105639764(+) atacaatgcaa >hg38_chr10:105639797-105639807(+) taataaTAGTA >hg38_chr10:105639803-105639813(-) AAACAATACTA >hg38_chr10:105657069-105657079(-) GGACAATGAAA >hg38_chr10:105675536-105675546(-) agacaatgggg >hg38_chr10:105682882-105682892(-) ctacaatagta >hg38_chr10:105683163-105683173(+) tcacaatggtt >hg38_chr10:105726683-105726693(+) GAACAAAGGAT >hg38_chr10:105842064-105842074(+) GCACAATGACT >hg38_chr10:105843746-105843756(-) AAACAATGGCT >hg38_chr10:105873003-105873013(-) ttacaatggcc >hg38_chr10:105974204-105974214(-) AAACAATAGCA >hg38_chr10:105974220-105974230(-) AAACAAAGGAT >hg38_chr10:105974243-105974253(-) GGACAATGTCT >hg38_chr10:106001441-106001451(+) AGACAATGAAA >hg38_chr10:106015739-106015749(-) agacaatgggg >hg38_chr10:106026418-106026428(-) TAACTATGGGG >hg38_chr10:106073689-106073699(-) TTACAATGTAA >hg38_chr10:106073712-106073722(+) TAACAGTGGTA >hg38_chr10:106073758-106073768(-) AGACAATAAGA >hg38_chr10:106081116-106081126(-) CAACAATGACC >hg38_chr10:106086756-106086766(-) gaactatggaa >hg38_chr10:106121906-106121916(+) TGACAATAGGT >hg38_chr10:106135942-106135952(+) taacaataaaa >hg38_chr10:106137153-106137163(+) aaacaatataa >hg38_chr10:106255520-106255530(+) ATATAATGAAT >hg38_chr10:106277293-106277303(+) TTACAATATAA >hg38_chr10:106277329-106277339(+) ATACAGTGGCA >hg38_chr10:106282136-106282146(+) ggacaaaggac >hg38_chr10:106282545-106282555(+) tcacaatagca >hg38_chr10:106307956-106307966(-) CTACAATGCCT >hg38_chr10:106399256-106399266(-) atacaatgaaa >hg38_chr10:106401411-106401421(+) ggacaaagggt >hg38_chr10:106416311-106416321(+) aaacaataaca >hg38_chr10:106416319-106416329(+) acacaatgaaa >hg38_chr10:106449639-106449649(+) atacaatgttg >hg38_chr10:106501108-106501118(-) ccacaatgttt >hg38_chr10:106501723-106501733(-) TTACAATAACT >hg38_chr10:106512626-106512636(+) caacaatgTAG >hg38_chr10:106513658-106513668(-) TAACAATGAAC >hg38_chr10:106514162-106514172(+) CAACAATGTTG >hg38_chr10:106514510-106514520(+) GAACAATGGCG >hg38_chr10:106514540-106514550(+) AAACAGTGGTC >hg38_chr10:106563567-106563577(+) ACACAATAAAA >hg38_chr10:106631189-106631199(-) ACACAATGCTT >hg38_chr10:106687473-106687483(-) gaacaaaggcc >hg38_chr10:106720836-106720846(+) ATATAATGGCT >hg38_chr10:106754803-106754813(+) TAACAAAGGTT >hg38_chr10:106816902-106816912(+) GAACAATGGGC >hg38_chr10:106820438-106820448(+) GAACAAAGGGA >hg38_chr10:106859212-106859222(-) gaacaaaggct >hg38_chr10:106859249-106859259(-) atacaatgcca >hg38_chr10:106889363-106889373(+) caacaatggcg >hg38_chr10:106914454-106914464(+) ACACAATGTGC >hg38_chr10:106920138-106920148(+) GAACAATGACC >hg38_chr10:106929522-106929532(+) TAACAATGAAA >hg38_chr10:106960999-106961009(+) TCACAAAGGAC >hg38_chr10:106973469-106973479(-) TCACAATGGCC >hg38_chr10:107025439-107025449(+) TTACAATGGAA >hg38_chr10:107029262-107029272(+) TAACACTGGGA >hg38_chr10:107072945-107072955(+) ATACAAAGGCC >hg38_chr10:107078533-107078543(+) AAACAATGAAT >hg38_chr10:107078543-107078553(-) GAACAAAGGCA >hg38_chr10:107085867-107085877(-) GTACAATGTAG >hg38_chr10:107103722-107103732(-) GCACAATGCAG >hg38_chr10:107103788-107103798(-) TTATAATGGCT >hg38_chr10:107129394-107129404(+) ATACAATGATT >hg38_chr10:107264949-107264959(-) agacaatgggt >hg38_chr10:107280168-107280178(+) GAACAATAACA >hg38_chr10:107280194-107280204(-) ACACAAAGGCA >hg38_chr10:107284438-107284448(-) ACACAATAAAA >hg38_chr10:107338674-107338684(+) ttacaataggg >hg38_chr10:107338703-107338713(+) acacaatgttg >hg38_chr10:107389744-107389754(+) taacaatacaa >hg38_chr10:107408419-107408429(-) GAACAAAGGGC >hg38_chr10:107408441-107408451(+) TTACAGTGGGA >hg38_chr10:107442369-107442379(+) TAACAATGACT >hg38_chr10:107501688-107501698(+) GAACAATGGAC >hg38_chr10:107529527-107529537(+) TGATAATGGAA >hg38_chr10:107539886-107539896(+) taacaaaggaa >hg38_chr10:107548558-107548568(-) GAATAATGGTG >hg38_chr10:107554370-107554380(-) TAACAATATCT >hg38_chr10:107554427-107554437(-) TGACAATAGGA >hg38_chr10:107565203-107565213(+) aaactatggaa >hg38_chr10:107565222-107565232(+) acacaatgtgc >hg38_chr10:107565240-107565250(-) tgacaatagtt >hg38_chr10:107585392-107585402(-) agacaaaggac >hg38_chr10:107615035-107615045(+) acacaatgtga >hg38_chr10:107672085-107672095(+) CAACAATGATC >hg38_chr10:107719651-107719661(+) AAACAAAGGTG >hg38_chr10:107728779-107728789(+) ACACAATGTGG >hg38_chr10:107759285-107759295(-) GAACAATGAAA >hg38_chr10:107814987-107814997(-) GGACAATGAGC >hg38_chr10:107855507-107855517(+) ctacaaaggga >hg38_chr10:107862454-107862464(+) AAACAATGGTC >hg38_chr10:107880436-107880446(-) agacaatgtct >hg38_chr10:107977534-107977544(-) aaacaatggaa >hg38_chr10:107984945-107984955(-) TAACAATGTGT >hg38_chr10:107990565-107990575(+) caacaatagaa >hg38_chr10:108037253-108037263(-) ggacaatagaa >hg38_chr10:108112409-108112419(-) aaacaaaggtt >hg38_chr10:108126231-108126241(+) tgacaatgtaa >hg38_chr10:108252877-108252887(-) AAACAATGGAG >hg38_chr10:108293554-108293564(+) caacaatgtat >hg38_chr10:108324584-108324594(-) GAACAATAGGC >hg38_chr10:108476760-108476770(+) acacaataggt >hg38_chr10:108476841-108476851(-) AAACAATGACA >hg38_chr10:108538555-108538565(-) GAACAATGGGA >hg38_chr10:108594313-108594323(+) ATACTATGGTC >hg38_chr10:108643998-108644008(-) TAACAATGGAG >hg38_chr10:108663673-108663683(+) CAACAATAGGA >hg38_chr10:108680675-108680685(+) TTACAATGTCT >hg38_chr10:108779674-108779684(-) caacaataggc >hg38_chr10:108877713-108877723(+) AGACAATAGCA >hg38_chr10:108925889-108925899(-) ATACTATGGTC >hg38_chr10:108925935-108925945(+) AGATAATGGCC >hg38_chr10:109031240-109031250(-) GAACAACGGTG >hg38_chr10:109051352-109051362(-) ttacaatgagc >hg38_chr10:109073548-109073558(-) ggacaatggat >hg38_chr10:109101321-109101331(+) aaacaatgcat >hg38_chr10:109107719-109107729(+) GAACTATGGAA >hg38_chr10:109150347-109150357(+) AAACAAAGGCC >hg38_chr10:109150411-109150421(+) AAACAATAATA >hg38_chr10:109171575-109171585(+) AAACAATGTCA >hg38_chr10:109206132-109206142(-) taacaatatga >hg38_chr10:109232720-109232730(+) AAACAATGCGC >hg38_chr10:109252731-109252741(-) gtacaatggag >hg38_chr10:109263208-109263218(-) TCACAATGTCA >hg38_chr10:109263262-109263272(+) ACACAATGTAG >hg38_chr10:109291666-109291676(-) TAACAAAGGGG >hg38_chr10:109343717-109343727(+) CGACAATATGG >hg38_chr10:109373836-109373846(+) AAACAATGATT >hg38_chr10:109373860-109373870(+) ACACAAAGGAA >hg38_chr10:109374426-109374436(+) TAACAAAGGGC >hg38_chr10:109406544-109406554(+) gaacaaaggag >hg38_chr10:109409533-109409543(-) tcacaatagca >hg38_chr10:109412174-109412184(-) AAACAAAGGGC >hg38_chr10:109418941-109418951(-) AAACAATGGTA >hg38_chr10:109418956-109418966(+) TTACAATGGAA >hg38_chr10:109419001-109419011(-) TCACAATAATA >hg38_chr10:109426819-109426829(+) TCACAATAGGA >hg38_chr10:109440238-109440248(-) taacaatgcca >hg38_chr10:109441415-109441425(-) aaacaataaat >hg38_chr10:109450926-109450936(-) AAACAATGGGG >hg38_chr10:109452630-109452640(-) AAACAATAGAT >hg38_chr10:109463153-109463163(-) taacaatagta >hg38_chr10:109463382-109463392(-) acacaatgagg >hg38_chr10:109481095-109481105(+) AAACAATGGAG >hg38_chr10:109560235-109560245(-) agacaataaac >hg38_chr10:109561942-109561952(-) GGACAAAGGTC >hg38_chr10:109586718-109586728(+) GCACAATGCCA >hg38_chr10:109587480-109587490(-) GAACAATGGCT >hg38_chr10:109726608-109726618(+) gcacaatgcct >hg38_chr10:109726637-109726647(+) GTACTAtggcc >hg38_chr10:109743386-109743396(+) TTACAATGGCT >hg38_chr10:109779897-109779907(-) TAACAATGGTA >hg38_chr10:109779916-109779926(-) TTACAATAATA >hg38_chr10:109779935-109779945(+) TAACAATGCAC >hg38_chr10:109781146-109781156(+) GTACAATGGAG >hg38_chr10:109894653-109894663(+) CCACAATGGCA >hg38_chr10:109935364-109935374(+) AAACAAAGGAG >hg38_chr10:109935413-109935423(-) ATACAATAGCA >hg38_chr10:109961643-109961653(+) AAACAAAGGCC >hg38_chr10:109987548-109987558(+) CTACAATAGGG >hg38_chr10:110013963-110013973(+) CCACAATGGCT >hg38_chr10:110014435-110014445(-) GAACAATGCAT >hg38_chr10:110053044-110053054(+) ACACAATAGGA >hg38_chr10:110064091-110064101(-) atacaataaag >hg38_chr10:110064141-110064151(+) agataatggtc >hg38_chr10:110069804-110069814(-) AAACAATGCTT >hg38_chr10:110077040-110077050(-) TAACAATGAAC >hg38_chr10:110082160-110082170(+) GAACAATAGGC >hg38_chr10:110083894-110083904(+) AGACAATAGAG >hg38_chr10:110087597-110087607(-) CCACAATGGCA >hg38_chr10:110087620-110087630(-) GTACAATGCAA >hg38_chr10:110098421-110098431(-) TTACAAAGGGC >hg38_chr10:110169280-110169290(-) ggacaatgtca >hg38_chr10:110174435-110174445(+) acacaatgggg >hg38_chr10:110174444-110174454(+) ggacaatgctg >hg38_chr10:110174489-110174499(+) atacaatgtaa >hg38_chr10:110175890-110175900(+) ttacaaaggga >hg38_chr10:110205306-110205316(-) ACACAGTGGAA >hg38_chr10:110205326-110205336(-) AGACAATGGCA >hg38_chr10:110210770-110210780(+) ACACAATGCCC >hg38_chr10:110214583-110214593(-) CCACAATGACT >hg38_chr10:110219984-110219994(-) tcacaatgact >hg38_chr10:110220012-110220022(+) ctacaatgttt >hg38_chr10:110233041-110233051(-) AAACAATGCAA >hg38_chr10:110234143-110234153(-) TAACAATGATG >hg38_chr10:110245223-110245233(-) TTACAAAGGAA >hg38_chr10:110246589-110246599(-) ttacaatgcta >hg38_chr10:110267403-110267413(-) TGACAATGACA >hg38_chr10:110268780-110268790(+) GTATAATGAAA >hg38_chr10:110286278-110286288(-) TAACAATAGCA >hg38_chr10:110291449-110291459(+) AAACAATGGGT >hg38_chr10:110291466-110291476(-) TTACAATGGAT >hg38_chr10:110294038-110294048(+) CGACAATGGTA >hg38_chr10:110298409-110298419(-) GGACAATGAAT >hg38_chr10:110299595-110299605(-) TAACAATATAG >hg38_chr10:110301047-110301057(+) AAACAAAGGGC >hg38_chr10:110310368-110310378(-) atacaatgtcc >hg38_chr10:110311509-110311519(+) ACACAATGGAG >hg38_chr10:110354457-110354467(-) AGATAATGGAG >hg38_chr10:110363819-110363829(+) AAACAAAGGGC >hg38_chr10:110443896-110443906(+) TGACAATAGCC >hg38_chr10:110444342-110444352(-) acacaataggc >hg38_chr10:110471702-110471712(+) ACACAATGCTA >hg38_chr10:110567235-110567245(+) TAACAAAGGGG >hg38_chr10:110568621-110568631(-) GTACAATAGCA >hg38_chr10:110570793-110570803(+) TTACAAAGGAA >hg38_chr10:110631294-110631304(+) atacaatggtg >hg38_chr10:110639967-110639977(+) gaacaatgcca >hg38_chr10:110692583-110692593(-) atacaatatca >hg38_chr10:110692625-110692635(-) acacaatggtt >hg38_chr10:110709217-110709227(+) GTACAATGTGG >hg38_chr10:110774426-110774436(-) TAACAATAGGG >hg38_chr10:110794940-110794950(+) AGACAATGAGA >hg38_chr10:110823258-110823268(-) ACACAATAAAA >hg38_chr10:110858084-110858094(+) GAACAAAGGGC >hg38_chr10:110863693-110863703(+) TCATAATGGGA >hg38_chr10:110876978-110876988(-) AAACAATAGTG >hg38_chr10:110876987-110876997(+) TTACAATGTAC >hg38_chr10:110880032-110880042(+) TAACAATAATG >hg38_chr10:110895934-110895944(-) CAACAATGAAA >hg38_chr10:110895962-110895972(+) TTACAATGAAA >hg38_chr10:110901432-110901442(+) ACACAATAGCT >hg38_chr10:110903305-110903315(+) AAACAATGAAA >hg38_chr10:110903357-110903367(-) TAACAATGGCA >hg38_chr10:110907700-110907710(-) agacaatcgga >hg38_chr10:110907760-110907770(+) ATACAATAACC >hg38_chr10:110916679-110916689(+) CAACAATGTCT >hg38_chr10:110919229-110919239(-) TGATAATGGCA >hg38_chr10:110920434-110920444(-) AAACAATGGAT >hg38_chr10:110921606-110921616(+) atacaatgcat >hg38_chr10:110931347-110931357(+) AAACAATAACA >hg38_chr10:110932789-110932799(-) taacaataaag >hg38_chr10:110942970-110942980(-) aaacaaaggca >hg38_chr10:110945207-110945217(-) gcacaatgcaa >hg38_chr10:110946598-110946608(+) aaacaatgtgg >hg38_chr10:110948268-110948278(+) gaacaatagat >hg38_chr10:110962342-110962352(-) GAATAATGGGA >hg38_chr10:110968178-110968188(-) ctacaatgaga >hg38_chr10:110969935-110969945(+) ttacaatgtga >hg38_chr10:110969961-110969971(+) atacaatgtgt >hg38_chr10:110970921-110970931(-) aaacaataatc >hg38_chr10:110970955-110970965(-) tgacaatagat >hg38_chr10:110971406-110971416(-) agacaatagat >hg38_chr10:110974471-110974481(-) atacaatgcaa >hg38_chr10:110981265-110981275(-) ctacaatatac >hg38_chr10:110994525-110994535(+) agataatggta >hg38_chr10:110994554-110994564(+) ttacaatggtt >hg38_chr10:111008782-111008792(+) GAACAAAGGCT >hg38_chr10:111012855-111012865(+) GTACTATGGTT >hg38_chr10:111111473-111111483(+) GTACAATACAC >hg38_chr10:111137158-111137168(+) ACACAATAGTA >hg38_chr10:111137172-111137182(-) GCACAATGAAT >hg38_chr10:111137378-111137388(+) GAACAATGCAA >hg38_chr10:111217174-111217184(-) taacaataaat >hg38_chr10:111362016-111362026(+) TAACAAAGGAG >hg38_chr10:111370278-111370288(-) TCACAGTGGTA >hg38_chr10:111478656-111478666(+) ACACAATGTGC >hg38_chr10:111501877-111501887(-) gtacaatgcaa >hg38_chr10:111516586-111516596(+) agacaatggag >hg38_chr10:111520307-111520317(-) GGACAGTGGAT >hg38_chr10:111595765-111595775(+) tcacaATGCCA >hg38_chr10:111600799-111600809(-) CAACAATAGGC >hg38_chr10:111677862-111677872(-) TCACAATGAAT >hg38_chr10:111677890-111677900(-) GGACAATGACA >hg38_chr10:111756093-111756103(-) AAACAATAACT >hg38_chr10:111766538-111766548(+) AAACAATGCAA >hg38_chr10:111767838-111767848(-) TAACAATGGCA >hg38_chr10:111785208-111785218(+) AGATAATGGAA >hg38_chr10:111985620-111985630(+) taacaatgaga >hg38_chr10:112059318-112059328(-) atacagtggtg >hg38_chr10:112080511-112080521(+) agacaaaggat >hg38_chr10:112093604-112093614(+) AGACAAAGGAC >hg38_chr10:112134799-112134809(-) acacaatgtgg >hg38_chr10:112134816-112134826(-) ttacaatgtaa >hg38_chr10:112173867-112173877(+) AGACAATGTAA >hg38_chr10:112176737-112176747(-) ACACAATGCTT >hg38_chr10:112181749-112181759(-) GTACAATAGAT >hg38_chr10:112184613-112184623(+) agacaatggct >hg38_chr10:112230098-112230108(-) TGACAATATAC >hg38_chr10:112230125-112230135(+) ATACAATGCAT >hg38_chr10:112288707-112288717(+) ACACAATGGAA >hg38_chr10:112349011-112349021(+) AAACAATGTGC >hg38_chr10:112349375-112349385(+) ACACAATAGCC >hg38_chr10:112389552-112389562(-) GCACAATAGTG >hg38_chr10:112435566-112435576(+) GGACAATGTTT >hg38_chr10:112461094-112461104(+) caacaatagca >hg38_chr10:112465429-112465439(+) tcacaatagca >hg38_chr10:112479909-112479919(-) tgacaatagac >hg38_chr10:112508055-112508065(+) aaacaataagt >hg38_chr10:112508064-112508074(-) tcacaatagac >hg38_chr10:112519296-112519306(-) CAACAATAGCC >hg38_chr10:112526478-112526488(-) AAATAATGGCC >hg38_chr10:112527599-112527609(-) ACACAATAGGA >hg38_chr10:112534101-112534111(-) TAACAATGACG >hg38_chr10:112580575-112580585(+) GAACAGTGGAA >hg38_chr10:112583431-112583441(-) AAACAAAGGCG >hg38_chr10:112583661-112583671(-) GTACAATGGCC >hg38_chr10:112584018-112584028(-) ACACAATGTGT >hg38_chr10:112609528-112609538(+) agacaataaat >hg38_chr10:112638047-112638057(-) TAACAATGAAG >hg38_chr10:112649145-112649155(+) GCACAATGAAT >hg38_chr10:112652117-112652127(+) AGACAATGTAA >hg38_chr10:112652163-112652173(+) GAACAATGTCT >hg38_chr10:112657890-112657900(-) TTACAATGTGG >hg38_chr10:112670897-112670907(+) TGACAATGTTT >hg38_chr10:112674652-112674662(-) gtacaatacat >hg38_chr10:112698718-112698728(-) GAACAAAGGGC >hg38_chr10:112698766-112698776(-) CAACAATGAGT >hg38_chr10:112717265-112717275(-) CAACAATAGAA >hg38_chr10:112718789-112718799(-) TAACAATGCCA >hg38_chr10:112718862-112718872(-) TAACAATAACC >hg38_chr10:112722944-112722954(+) GTACAATAAGG >hg38_chr10:112728510-112728520(+) GCACAATAGCT >hg38_chr10:112728533-112728543(-) AGACAAAGGTC >hg38_chr10:112743241-112743251(+) CAACAATGAGC >hg38_chr10:112743254-112743264(-) TTATAATGGGT >hg38_chr10:112786205-112786215(-) tcacaatagca >hg38_chr10:112786217-112786227(-) aaacaatgtaa >hg38_chr10:112788349-112788359(-) atacaatgatg >hg38_chr10:112789383-112789393(-) aaacaatggaa >hg38_chr10:112797437-112797447(+) AAACAAAGGGG >hg38_chr10:112835306-112835316(+) AAACAATGCAC >hg38_chr10:112839287-112839297(-) tcacaatggga >hg38_chr10:112912576-112912586(+) TAACAATGGCT >hg38_chr10:112914064-112914074(-) TAACAAAGGGC >hg38_chr10:112914087-112914097(-) AAATAATGGCA >hg38_chr10:112914326-112914336(-) TAACAATGCCA >hg38_chr10:112914348-112914358(+) TAACAATAGAT >hg38_chr10:112938986-112938996(-) ATACAAAGGAA >hg38_chr10:112949603-112949613(-) CTACAATGAAA >hg38_chr10:112949950-112949960(-) AGACAATGCGG >hg38_chr10:112950134-112950144(-) TAACAATGATC >hg38_chr10:112955213-112955223(+) GAACAATAAAA >hg38_chr10:112957016-112957026(-) TAACAATAGCC >hg38_chr10:112959564-112959574(-) AAACAATAACT >hg38_chr10:112967491-112967501(+) ACACAATAGCA >hg38_chr10:113003347-113003357(-) AAACAAAGGCC >hg38_chr10:113022217-113022227(-) TCACAATGCAT >hg38_chr10:113047803-113047813(+) CCACAATGAAT >hg38_chr10:113054188-113054198(+) TCACAATGAGC >hg38_chr10:113058850-113058860(+) GCACAATGCCA >hg38_chr10:113059673-113059683(+) GGACAATGTCT >hg38_chr10:113080567-113080577(-) GAACAATGCAT >hg38_chr10:113080589-113080599(-) ATACAAAGATA >hg38_chr10:113100110-113100120(-) AGACAATGGGA >hg38_chr10:113119118-113119128(+) TTACAATGAGA >hg38_chr10:113119138-113119148(+) GCATAATGGGA >hg38_chr10:113125642-113125652(+) TGACAATGCTA >hg38_chr10:113132079-113132089(+) GAACAATGCAG >hg38_chr10:113132093-113132103(-) ACACAAAGGCA >hg38_chr10:113158259-113158269(-) CAACAATGGAT >hg38_chr10:113158278-113158288(-) TTACAATGTGC >hg38_chr10:113182367-113182377(-) TGACAAAGGAA >hg38_chr10:113183892-113183902(-) CCACAATGATC >hg38_chr10:113209336-113209346(-) gaacaatgtat >hg38_chr10:113216288-113216298(+) taacaatggaa >hg38_chr10:113216304-113216314(-) caacaatgggt >hg38_chr10:113264511-113264521(+) AGACAAAGGGC >hg38_chr10:113310022-113310032(-) gcacaatggga >hg38_chr10:113311605-113311615(+) GCACAATGGAG >hg38_chr10:113311642-113311652(-) TCACAATAGCT >hg38_chr10:113311666-113311676(+) AAACAATCGTC >hg38_chr10:113311673-113311683(-) GAACAGTGACG >hg38_chr10:113320971-113320981(-) CAACAAAGGAC >hg38_chr10:113320990-113321000(-) GCACAATGAAA >hg38_chr10:113327021-113327031(+) acacaaaggca >hg38_chr10:113345659-113345669(+) GGACAATGATA >hg38_chr10:113345700-113345710(-) TGACAATAGCT >hg38_chr10:113346032-113346042(-) TTACAATGAGC >hg38_chr10:113399275-113399285(-) TGATAATGGAA >hg38_chr10:113410813-113410823(-) TAACAATGCAA >hg38_chr10:113422086-113422096(+) GAACAAAGGGC >hg38_chr10:113510852-113510862(-) taacaataact >hg38_chr10:113511141-113511151(-) tgacaatagca >hg38_chr10:113511166-113511176(-) acacaatgtac >hg38_chr10:113511568-113511578(-) aaacaatggag >hg38_chr10:113556081-113556091(+) AAACAATGTTT >hg38_chr10:113594847-113594857(+) acacaatggcc >hg38_chr10:113609816-113609826(-) gtacaatgcaa >hg38_chr10:113614540-113614550(-) TAACAATGCAC >hg38_chr10:113620741-113620751(-) CAACAATGGCC >hg38_chr10:113640295-113640305(+) CGACAATATCA >hg38_chr10:113780904-113780914(+) taacaatgggg >hg38_chr10:113794534-113794544(-) GCATAATGGGA >hg38_chr10:113794566-113794576(-) TAACAATAATC >hg38_chr10:113878398-113878408(+) gcacaatgcta >hg38_chr10:113878880-113878890(+) GGACAATGGAG >hg38_chr10:113891675-113891685(+) gtacaatgaag >hg38_chr10:113895104-113895114(-) AAACAATGATG >hg38_chr10:113979062-113979072(-) TGACAATGGCT >hg38_chr10:113985504-113985514(-) AGACAAAGACG >hg38_chr10:114046940-114046950(+) TGACAATGAAA >hg38_chr10:114067035-114067045(-) GAACAATGCAA >hg38_chr10:114079702-114079712(-) AGACAATGGAG >hg38_chr10:114125075-114125085(+) CAACAATAGAG >hg38_chr10:114151652-114151662(-) ggacaaaggga >hg38_chr10:114151670-114151680(-) gtacaatgcag >hg38_chr10:114166765-114166775(+) tgacaatgtgg >hg38_chr10:114195185-114195195(-) ccacaatagac >hg38_chr10:114198328-114198338(-) caacaatgagg >hg38_chr10:114199819-114199829(-) atacaatgaga >hg38_chr10:114199835-114199845(-) tgactatggta >hg38_chr10:114239140-114239150(+) CAACAATAGGA >hg38_chr10:114262651-114262661(+) ccacaatgggc >hg38_chr10:114350325-114350335(-) GAACAATAAAG >hg38_chr10:114350357-114350367(-) ggacaaagGAC >hg38_chr10:114350920-114350930(-) GAACAAAGGCC >hg38_chr10:114372346-114372356(-) ACACAATGGCA >hg38_chr10:114427350-114427360(+) GCACAATAGCA >hg38_chr10:114486096-114486106(+) AAACAATAGCT >hg38_chr10:114489713-114489723(+) GCACAATGGCA >hg38_chr10:114489722-114489732(-) GAACAATAGTG >hg38_chr10:114512401-114512411(+) ggacaatagac >hg38_chr10:114527060-114527070(-) AGACAATAGTG >hg38_chr10:114538284-114538294(-) TCACAATGGGA >hg38_chr10:114615578-114615588(-) ATACAATGGTG >hg38_chr10:114639534-114639544(-) aaacaatgtgc >hg38_chr10:114683446-114683456(-) GTACAAAGGAA >hg38_chr10:114714513-114714523(-) TCACAATGGCA >hg38_chr10:114758645-114758655(-) GGACAATGAGG >hg38_chr10:114758664-114758674(-) TAACAATGAAG >hg38_chr10:114773080-114773090(+) tgacaatagga >hg38_chr10:114855574-114855584(-) AGACAATGGCC >hg38_chr10:114862924-114862934(-) ACACAATGCGT >hg38_chr10:114870088-114870098(-) GTACAATGAGG >hg38_chr10:114870127-114870137(-) ACACAATGGTC >hg38_chr10:114872652-114872662(-) GGACAATAGGC >hg38_chr10:114895066-114895076(+) GCACAATAGTT >hg38_chr10:114918081-114918091(+) AAATAATGGGT >hg38_chr10:114918115-114918125(-) TTACAATGGCA >hg38_chr10:114950531-114950541(-) CAACAATGGCC >hg38_chr10:115052734-115052744(-) TAACAATACAC >hg38_chr10:115063905-115063915(+) GCATAATGGAC >hg38_chr10:115096203-115096213(-) GTACAATGAGT >hg38_chr10:115100981-115100991(-) ttacaatagca >hg38_chr10:115122838-115122848(+) GGACAATAGAG >hg38_chr10:115158810-115158820(-) atacagtggca >hg38_chr10:115160792-115160802(+) TAACAATACAA >hg38_chr10:115170910-115170920(+) GAACAATGCCT >hg38_chr10:115173810-115173820(-) taacaataaag >hg38_chr10:115173819-115173829(-) aaacaataata >hg38_chr10:115242907-115242917(-) AAACAATGATT >hg38_chr10:115252496-115252506(-) acacaatgtag >hg38_chr10:115275555-115275565(-) taacaaaggca >hg38_chr10:115307017-115307027(-) AGACAATGGCA >hg38_chr10:115348415-115348425(-) TCACaataata >hg38_chr10:115348673-115348683(+) GAACAATGTTA >hg38_chr10:115348698-115348708(-) ACACAATGTAT >hg38_chr10:115432379-115432389(+) GCATAATGGTA >hg38_chr10:115432979-115432989(-) GCACAATAGTG >hg38_chr10:115456967-115456977(-) gaacaatggga >hg38_chr10:115479869-115479879(+) CTACAATAGTG >hg38_chr10:115506300-115506310(+) gaacaataaaa >hg38_chr10:115537833-115537843(+) gaacaatgcca >hg38_chr10:115639417-115639427(+) tgacaaaggaa >hg38_chr10:115649972-115649982(-) TAACAATAGAG >hg38_chr10:115649990-115650000(+) CAACAATAGAC >hg38_chr10:115659607-115659617(-) ttacaatAACT >hg38_chr10:115670292-115670302(-) CAACaatgata >hg38_chr10:115670311-115670321(+) AGACAATGAAA >hg38_chr10:115670348-115670358(-) TAACAATGACT >hg38_chr10:115709264-115709274(+) CTACAATGCTA >hg38_chr10:115709755-115709765(+) TCACAATGTTG >hg38_chr10:115709783-115709793(-) AGACAAAGGAT >hg38_chr10:115724033-115724043(-) GAACAATAAAG >hg38_chr10:115735351-115735361(-) acacaatgtgt >hg38_chr10:115759344-115759354(-) caacaatggat >hg38_chr10:115761881-115761891(+) aaacaaagtcg >hg38_chr10:115801064-115801074(-) AGACAATGACT >hg38_chr10:115801538-115801548(-) gaacaatggtt >hg38_chr10:115802190-115802200(+) aaacaatgaac >hg38_chr10:115814029-115814039(-) ACACAATGGTA >hg38_chr10:115816266-115816276(-) ATACAATGTAG >hg38_chr10:115818540-115818550(-) CTACAATAGAG >hg38_chr10:115830164-115830174(+) GAACAAAGGGC >hg38_chr10:115863662-115863672(+) atacaattgta >hg38_chr10:115863663-115863673(-) atacaattgta >hg38_chr10:115936699-115936709(-) TAATAATGGCT >hg38_chr10:115936707-115936717(+) TTACAATACTA >hg38_chr10:115936716-115936726(-) GAACAATGGTA >hg38_chr10:115957106-115957116(+) TGACAATGCTA >hg38_chr10:115957112-115957122(-) GCACAATAGCA >hg38_chr10:115957127-115957137(-) TGACAATGTAG >hg38_chr10:115975631-115975641(-) TAACAATAGCA >hg38_chr10:116001476-116001486(+) TAACAATGTGA >hg38_chr10:116013559-116013569(-) gcacaatgcct >hg38_chr10:116145828-116145838(+) aaactatggta >hg38_chr10:116145844-116145854(+) acacaatgaaa >hg38_chr10:116171091-116171101(+) ACACAATGAAT >hg38_chr10:116195212-116195222(-) AAACAAAGGGA >hg38_chr10:116200955-116200965(-) AGATAATGGTT >hg38_chr10:116245729-116245739(+) atacaatggaa >hg38_chr10:116269465-116269475(+) ACACAAAGGCA >hg38_chr10:116274374-116274384(+) AGACAATGGGC >hg38_chr10:116279184-116279194(+) CAACAATGAAG >hg38_chr10:116341087-116341097(+) AAACAGTGGAA >hg38_chr10:116426092-116426102(-) TAACAATAGCT >hg38_chr10:116437163-116437173(+) CAACAATGCCT >hg38_chr10:116437170-116437180(-) AGACAATAGGC >hg38_chr10:116452475-116452485(+) agacaatgggg >hg38_chr10:116538757-116538767(+) taataatggcc >hg38_chr10:116575453-116575463(-) taacaaaggac >hg38_chr10:116581453-116581463(+) GCACAAAGGGA >hg38_chr10:116581495-116581505(+) ACACAAAGGTC >hg38_chr10:116594690-116594700(-) AGATAATGGGA >hg38_chr10:116600169-116600179(-) GGACAATAGCT >hg38_chr10:116666058-116666068(+) CAACAAAGGCG >hg38_chr10:116802523-116802533(+) TAACAAAGGGT >hg38_chr10:116802558-116802568(+) TTACAATGAGA >hg38_chr10:116817337-116817347(+) AAACAATGGCA >hg38_chr10:116817354-116817364(+) AGACAATAGGG >hg38_chr10:116831239-116831249(+) CGACAGTgggg >hg38_chr10:116836274-116836284(+) CCACAATGGGC >hg38_chr10:116947997-116948007(+) TAACAATAGGG >hg38_chr10:116961974-116961984(+) ACACAATGGTC >hg38_chr10:116974354-116974364(+) GCATAATGGAT >hg38_chr10:116974465-116974475(+) TAACAATAGAC >hg38_chr10:116976722-116976732(-) TTACAAAGGAG >hg38_chr10:116979259-116979269(-) AAACAAAGGAG >hg38_chr10:116992299-116992309(-) tatcaatggac >hg38_chr10:117044790-117044800(+) TAACAATGAGA >hg38_chr10:117044811-117044821(-) CAACAATGAAA >hg38_chr10:117095037-117095047(-) AAACAATGAGC >hg38_chr10:117112510-117112520(+) ttacaataacc >hg38_chr10:117112517-117112527(-) aaacaatggtt >hg38_chr10:117112588-117112598(+) aaacaaaggct >hg38_chr10:117157764-117157774(-) TTACAATGGCT >hg38_chr10:117159560-117159570(-) AAACAATGCTC >hg38_chr10:117159589-117159599(+) tgacaatggaa >hg38_chr10:117159602-117159612(-) ggacaatgttt >hg38_chr10:117175021-117175031(-) CAACAATAGAG >hg38_chr10:117221339-117221349(-) GAACAAAGGCC >hg38_chr10:117225505-117225515(-) taacaATCGtt >hg38_chr10:117227242-117227252(+) GGACAATGGCA >hg38_chr10:117227264-117227274(+) GGACAATGGCA >hg38_chr10:117238823-117238833(+) aaacaataaaa >hg38_chr10:117281628-117281638(-) aaacaatagca >hg38_chr10:117292345-117292355(+) TTACAATGTAA >hg38_chr10:117312731-117312741(-) AGACAAAGGTG >hg38_chr10:117320986-117320996(+) agacaataaca >hg38_chr10:117327319-117327329(-) aaacaatggcc >hg38_chr10:117327539-117327549(-) gaacaatagaa >hg38_chr10:117352487-117352497(+) AGAcaaaggaa >hg38_chr10:117354976-117354986(+) AAACTATGGCA >hg38_chr10:117363276-117363286(-) ACACAATAGTC >hg38_chr10:117372088-117372098(+) gtacaataaac >hg38_chr10:117388776-117388786(-) taacaatggcc >hg38_chr10:117503173-117503183(-) TTACATTGGCG >hg38_chr10:117503372-117503382(-) GAACAATGGTG >hg38_chr10:117528109-117528119(-) GCACAATGGCC >hg38_chr10:117551312-117551322(+) CCACAATGGTA >hg38_chr10:117551355-117551365(-) ATACAAAGGGC >hg38_chr10:117580299-117580309(-) agacaataaga >hg38_chr10:117639159-117639169(+) atacaatatgt >hg38_chr10:117643776-117643786(-) atacaatgata >hg38_chr10:117711352-117711362(+) aaacaaTAGTA >hg38_chr10:117734598-117734608(+) CAACAATGGGG >hg38_chr10:117770581-117770591(+) CTACAATAGGG >hg38_chr10:117781996-117782006(-) ATACACTGGGC >hg38_chr10:117884714-117884724(-) AGACTATGGGA >hg38_chr10:117904113-117904123(+) ACACAATGGAA >hg38_chr10:117910677-117910687(-) aaacaAAGGAC >hg38_chr10:117935168-117935178(+) TGACAATAGCT >hg38_chr10:117977836-117977846(+) atacaatagag >hg38_chr10:117978318-117978328(+) atacaatggca >hg38_chr10:117985456-117985466(+) CTACAATGAAG >hg38_chr10:118006028-118006038(+) GGACAATGGCA >hg38_chr10:118014981-118014991(+) AGACAAAGGCA >hg38_chr10:118020012-118020022(+) taaccatggta >hg38_chr10:118028928-118028938(-) AAACAATAGCA >hg38_chr10:118096414-118096424(-) TAACAATAAGT >hg38_chr10:118096426-118096436(-) TAACAATAAAA >hg38_chr10:118115757-118115767(-) TAACAATGAGA >hg38_chr10:118115990-118116000(-) AGACAATGGAA >hg38_chr10:118121344-118121354(+) CAACAATGTAG >hg38_chr10:118204449-118204459(-) GAACAATAGCA >hg38_chr10:118305833-118305843(-) AAACAATGAAG >hg38_chr10:118317728-118317738(-) GCACAATGTAT >hg38_chr10:118472165-118472175(-) GTACAATGCat >hg38_chr10:118504759-118504769(-) AGACAATGGGT >hg38_chr10:118504796-118504806(-) GAACAATGGGT >hg38_chr10:118515021-118515031(-) GAACAATAGTG >hg38_chr10:118539145-118539155(+) ccacaatagaa >hg38_chr10:118540895-118540905(+) gtacaatgagg >hg38_chr10:118541642-118541652(+) ggacaatagga >hg38_chr10:118559638-118559648(-) ACACAATGGCA >hg38_chr10:118571171-118571181(-) AAACAATGGCT >hg38_chr10:118596938-118596948(-) TTACAAAGGCC >hg38_chr10:118682205-118682215(+) AAACAATGGCT >hg38_chr10:118695497-118695507(+) GTATAATGTAT >hg38_chr10:118705409-118705419(-) GGACAATATAC >hg38_chr10:118706474-118706484(+) ATACAATGTAA >hg38_chr10:118742146-118742156(+) ACACAATAAAC >hg38_chr10:118750962-118750972(-) aaacaaaggat >hg38_chr10:118982297-118982307(+) gaacaatggga >hg38_chr10:118983677-118983687(-) caacaatggct >hg38_chr10:119056572-119056582(-) TCACAATAGAG >hg38_chr10:119061210-119061220(+) ATACAAAGGCT >hg38_chr10:119061221-119061231(-) TCACAAAGGTA >hg38_chr10:119080196-119080206(-) GGACAATGCAC >hg38_chr10:119085496-119085506(-) aaacaaagggg >hg38_chr10:119206413-119206423(-) GAACAATGAGG >hg38_chr10:119271380-119271390(-) AAACAATAGAA >hg38_chr10:119271411-119271421(+) AAACAATGTGT >hg38_chr10:119318685-119318695(+) GGACAATGCCT >hg38_chr10:119335965-119335975(-) tgacaatgaTG >hg38_chr10:119335983-119335993(-) tgacaatgacg >hg38_chr10:119336377-119336387(+) taacaatggtt >hg38_chr10:119454566-119454576(-) atacaatgggg >hg38_chr10:119484480-119484490(-) CAACAATGCTT >hg38_chr10:119519053-119519063(-) GGACAATGGAG >hg38_chr10:119544216-119544226(-) TTACAATGAGA >hg38_chr10:119569254-119569264(+) TAACAATGCTG >hg38_chr10:119583785-119583795(+) AAACTATGGGC >hg38_chr10:119611516-119611526(+) taacaatagga >hg38_chr10:119652666-119652676(+) TTATAATGGCA >hg38_chr10:119653059-119653069(-) AAACAATGGGT >hg38_chr10:119667820-119667830(+) AAACAATGAGC >hg38_chr10:119687454-119687464(+) TCACAATAGGC >hg38_chr10:119702095-119702105(+) TGACAATGGGT >hg38_chr10:119767287-119767297(+) caacaatagta >hg38_chr10:119767299-119767309(+) taacaatgggg >hg38_chr10:119786349-119786359(-) TTACAATGCAT >hg38_chr10:119819031-119819041(-) CAACAATGGTC >hg38_chr10:119872837-119872847(+) GCACAATGGCG >hg38_chr10:119873056-119873066(-) CGACAACGCGC >hg38_chr10:119928587-119928597(+) gcataatggTT >hg38_chr10:119928651-119928661(-) GCACAATGAAT >hg38_chr10:119970835-119970845(-) AAACAATGAAT >hg38_chr10:119999039-119999049(-) tcacaatgaaa >hg38_chr10:119999582-119999592(-) aaacaataaac >hg38_chr10:120005973-120005983(-) acacaaaggag >hg38_chr10:120017970-120017980(+) GAACAATGACA >hg38_chr10:120048808-120048818(-) AAACAATAAAA >hg38_chr10:120114596-120114606(-) caacaatggcc >hg38_chr10:120181377-120181387(-) AAACCATGGCG >hg38_chr10:120202301-120202311(-) taacaataaag >hg38_chr10:120242696-120242706(-) ACACAATgatt >hg38_chr10:120311691-120311701(+) tgacaatagga >hg38_chr10:120322306-120322316(-) TAACAATAATC >hg38_chr10:120322353-120322363(+) GAACAATGCCT >hg38_chr10:120342479-120342489(+) AGACAATGAGG >hg38_chr10:120344822-120344832(+) gaacagtggtc >hg38_chr10:120364244-120364254(+) AAACAATGGCA >hg38_chr10:120429596-120429606(+) TTACAATAGTC >hg38_chr10:120429606-120429616(+) CAACAATGTGA >hg38_chr10:120475103-120475113(+) ATACAAAGGGG >hg38_chr10:120475390-120475400(+) TAATAATGGGT >hg38_chr10:120505996-120506006(+) GAACAATGGAT >hg38_chr10:120506058-120506068(+) ATACGATGGAG >hg38_chr10:120514201-120514211(-) CGACAGTGGGC >hg38_chr10:120514214-120514224(-) GCACAACGGAC >hg38_chr10:120533486-120533496(-) aaacaatacta >hg38_chr10:120556326-120556336(-) GAACAATGTAA >hg38_chr10:120557758-120557768(+) TGACAATGAGA >hg38_chr10:120605596-120605606(+) ACACAATAGCA >hg38_chr10:120618077-120618087(-) ATACAATATGT >hg38_chr10:120634994-120635004(+) caACAATGAAC >hg38_chr10:120686070-120686080(-) ACACAATAATA >hg38_chr10:120688453-120688463(-) agacaACGGAG >hg38_chr10:120716693-120716703(-) GGACAAAGGGT >hg38_chr10:120799745-120799755(+) taacaatagcc >hg38_chr10:120800749-120800759(-) ttacaatgagg >hg38_chr10:120839130-120839140(-) GTACAATGGAT >hg38_chr10:120855281-120855291(+) ggacaaaggga >hg38_chr10:120897563-120897573(-) gaacaaaggat >hg38_chr10:120950692-120950702(+) aaacaATGCAG >hg38_chr10:120980675-120980685(-) AAACAATGAGG >hg38_chr10:121028272-121028282(+) TAACAATGATT >hg38_chr10:121077876-121077886(-) gtacaatagag >hg38_chr10:121077946-121077956(-) taacaaaggat >hg38_chr10:121084774-121084784(+) GAACAATGGGC >hg38_chr10:121094230-121094240(-) gaacaatggct >hg38_chr10:121097089-121097099(+) ggacaaaggca >hg38_chr10:121104710-121104720(+) AGACAATAGAG >hg38_chr10:121105016-121105026(-) TTACAAAGGAG >hg38_chr10:121155060-121155070(+) GAACAATGAGC >hg38_chr10:121303410-121303420(-) gtacaaaggta >hg38_chr10:121312019-121312029(-) GAACAAAGCGA >hg38_chr10:121340324-121340334(-) GAACAATGGGG >hg38_chr10:121340794-121340804(-) TGACAATGGGA >hg38_chr10:121418571-121418581(-) TGACAATGCCC >hg38_chr10:121429004-121429014(+) TGACAATGAAA >hg38_chr10:121436813-121436823(+) AAACAAAGGGC >hg38_chr10:121436888-121436898(-) TAACAATGGGT >hg38_chr10:121450485-121450495(-) GAACAATGTGT >hg38_chr10:121450499-121450509(-) GCACAATGGAG >hg38_chr10:121553642-121553652(+) ACACAATAGCC >hg38_chr10:121553966-121553976(-) AGACAAAGGTG >hg38_chr10:121559819-121559829(+) TCACAATGCAA >hg38_chr10:121590286-121590296(+) caacaatgact >hg38_chr10:121590314-121590324(+) tgacaatgtca >hg38_chr10:121608751-121608761(-) GAACAAAGGGC >hg38_chr10:121648380-121648390(+) GAACAATGGAG >hg38_chr10:121694540-121694550(+) GAACAATAGAA >hg38_chr10:121695625-121695635(+) TGACAATGCCT >hg38_chr10:121698554-121698564(+) GGACAATGGTC >hg38_chr10:121733243-121733253(+) GAACAAAGGGT >hg38_chr10:121735618-121735628(-) GTATAATGGAG >hg38_chr10:121754660-121754670(+) cgtcaatggat >hg38_chr10:121755032-121755042(+) atactatggta >hg38_chr10:121771519-121771529(-) GAATAATGGCT >hg38_chr10:121798481-121798491(+) GAACAATGCCA >hg38_chr10:121801088-121801098(+) AGACAAAGGAC >hg38_chr10:121833422-121833432(-) aaacaatgtgc >hg38_chr10:121843688-121843698(+) ttacaataaat >hg38_chr10:121869951-121869961(+) CAACAATGGTG >hg38_chr10:121870962-121870972(+) ATACAATGACA >hg38_chr10:121873427-121873437(-) GAACAATGGTA >hg38_chr10:121880772-121880782(+) ATATAATGAAT >hg38_chr10:121880833-121880843(-) GAACAATAGTA >hg38_chr10:122034289-122034299(-) tcacaatgcTC >hg38_chr10:122052090-122052100(+) GGACAAAGGTT >hg38_chr10:122106965-122106975(+) tgacaatgtca >hg38_chr10:122143555-122143565(-) ACACAATGAGG >hg38_chr10:122157195-122157205(-) ggacaatgtca >hg38_chr10:122163419-122163429(+) ACACAATGCGG >hg38_chr10:122171050-122171060(-) GAACAAAGGAA >hg38_chr10:122171076-122171086(+) TTACAAAGGAA >hg38_chr10:122172503-122172513(-) GAACAATGTGC >hg38_chr10:122172510-122172520(-) GAACAATGAAC >hg38_chr10:122178979-122178989(+) agataatggaa >hg38_chr10:122181246-122181256(-) CAACAATGCCC >hg38_chr10:122182495-122182505(+) AAACAATGACT >hg38_chr10:122189417-122189427(+) GGACAATGCTG >hg38_chr10:122190360-122190370(-) ACACAATGCTG >hg38_chr10:122234559-122234569(+) ACACAATGCAT >hg38_chr10:122307869-122307879(-) AAACAATGCAA >hg38_chr10:122344904-122344914(-) GCACAAAGGTC >hg38_chr10:122347923-122347933(+) gtacaaaggca >hg38_chr10:122347941-122347951(-) caacaatgcta >hg38_chr10:122349260-122349270(+) GAACAAAGGCC >hg38_chr10:122368819-122368829(-) CAACAATGGAA >hg38_chr10:122382695-122382705(-) gtacaatacat >hg38_chr10:122397705-122397715(+) TGATAATGGAA >hg38_chr10:122458125-122458135(-) GAACAATGAAA >hg38_chr10:122475686-122475696(-) GGACAATGGCA >hg38_chr10:122486489-122486499(-) GAACAAAGGGC >hg38_chr10:122624787-122624797(+) GCACAATGGCT >hg38_chr10:122627390-122627400(-) ATACAATGGGA >hg38_chr10:122667276-122667286(-) AGACAATGGCT >hg38_chr10:122700201-122700211(-) GAACAATAGCT >hg38_chr10:122702545-122702555(+) acacaatgcaa >hg38_chr10:122733677-122733687(+) TGACAATGATA >hg38_chr10:122841774-122841784(-) tgacaatagtg >hg38_chr10:122862017-122862027(+) ccacaatggcc >hg38_chr10:122862599-122862609(-) AAACAAAGGCC >hg38_chr10:122866664-122866674(-) aaacaatggca >hg38_chr10:122877250-122877260(-) ctacaatgcca >hg38_chr10:122912053-122912063(-) GAACAAAGGGA >hg38_chr10:122940212-122940222(+) atacaatgaaa >hg38_chr10:122956109-122956119(-) GTACAAAGGAC >hg38_chr10:122990914-122990924(+) AAACAATATAG >hg38_chr10:122990924-122990934(-) GTACAATACAC >hg38_chr10:123000332-123000342(-) agacaaaggtt >hg38_chr10:123000356-123000366(-) ttacaatgttg >hg38_chr10:123004024-123004034(-) ACACAATGATA >hg38_chr10:123069525-123069535(+) GAACAAAGGAC >hg38_chr10:123102634-123102644(+) ctacaatagac >hg38_chr10:123135651-123135661(-) GTACAATGGCA >hg38_chr10:123158626-123158636(+) TTACAATAGTG >hg38_chr10:123158646-123158656(-) AAATAATGGCT >hg38_chr10:123162003-123162013(-) TTACAATAAAA >hg38_chr10:123172735-123172745(+) ACACAATACGT >hg38_chr10:123176814-123176824(-) TAACAATAACA >hg38_chr10:123230328-123230338(-) ACACAATGGTG >hg38_chr10:123250806-123250816(-) AAACAATGAAT >hg38_chr10:123301191-123301201(+) ATACAGTGGGT >hg38_chr10:123312928-123312938(-) TCACAAAGGAC >hg38_chr10:123357334-123357344(-) ACACAATGTAC >hg38_chr10:123371350-123371360(-) ccacaatgaga >hg38_chr10:123381400-123381410(+) GCACAATAGGC >hg38_chr10:123418374-123418384(+) GGACAATGCGC >hg38_chr10:123418396-123418406(-) AGATAATGGAA >hg38_chr10:123441583-123441593(-) AGACAATGCCA >hg38_chr10:123459082-123459092(-) gtacagtGGGT >hg38_chr10:123470741-123470751(-) TCACAATGGTA >hg38_chr10:123517471-123517481(+) AAACTATGGCG >hg38_chr10:123541962-123541972(+) taacaatatcg >hg38_chr10:123608257-123608267(-) atataatggct >hg38_chr10:123640229-123640239(-) TTACAATGAGT >hg38_chr10:123798018-123798028(-) TGATAATGGGA >hg38_chr10:123807753-123807763(-) TAACAATGGAT >hg38_chr10:123868246-123868256(-) TGACAATGATG >hg38_chr10:123980704-123980714(+) aaacaatggca >hg38_chr10:124021106-124021116(+) GAATAATGGGG >hg38_chr10:124021171-124021181(+) CCACAATGCCG >hg38_chr10:124070084-124070094(+) ACACAAAGGAC >hg38_chr10:124075431-124075441(+) TGACAATGAGA >hg38_chr10:124288670-124288680(-) AGACAAAGGAC >hg38_chr10:124341257-124341267(-) TTACAATAGTC >hg38_chr10:124391078-124391088(+) AGACAAAGGGA >hg38_chr10:124423489-124423499(+) aaacaatgtat >hg38_chr10:124454357-124454367(+) AAACAAAGGTG >hg38_chr10:124484923-124484933(+) GAACAATATTA >hg38_chr10:124493576-124493586(+) AGACTATGGAA >hg38_chr10:124520595-124520605(-) TAACAAAGGCA >hg38_chr10:124520613-124520623(+) GGACAAAGGGA >hg38_chr10:124563821-124563831(+) GAACAATGACA >hg38_chr10:124565592-124565602(-) GAACAATGTCC >hg38_chr10:124627181-124627191(+) CCACAATGGGG >hg38_chr10:124643632-124643642(-) ACACAATGTAG >hg38_chr10:124648624-124648634(+) TCACAATGGGC >hg38_chr10:124691652-124691662(-) GGACAATGGAC >hg38_chr10:124744941-124744951(-) GCACAATGAAA >hg38_chr10:124747508-124747518(+) ATACACTGGAT >hg38_chr10:124748349-124748359(-) GCACAATGCTG >hg38_chr10:124756346-124756356(+) TTACAGTGGTA >hg38_chr10:124756737-124756747(-) CTACAATGGAA >hg38_chr10:124762796-124762806(-) GGACAATGCCT >hg38_chr10:124764018-124764028(-) ATATAATGTAA >hg38_chr10:124765509-124765519(-) TGACAATGCAA >hg38_chr10:124765545-124765555(-) TGACAAAGGGA >hg38_chr10:124802929-124802939(-) GTACAATAATT >hg38_chr10:124861325-124861335(-) GAACAATAGTG >hg38_chr10:124902301-124902311(+) CTACAATGTGA >hg38_chr10:124911436-124911446(-) GGACAATAGTT >hg38_chr10:124915593-124915603(-) AAACAATGATG >hg38_chr10:124936071-124936081(-) GCACAATAGAC >hg38_chr10:124947419-124947429(-) ATACAATAGCA >hg38_chr10:124982702-124982712(-) CTACAATGCAT >hg38_chr10:124985847-124985857(+) CAACAATGTGC >hg38_chr10:124995170-124995180(+) GCACAATGGCT >hg38_chr10:125035798-125035808(+) TCACAATGTCA >hg38_chr10:125047006-125047016(+) TCATAATGGAA >hg38_chr10:125135241-125135251(-) GAACAAAGGAC >hg38_chr10:125155346-125155356(+) AAACAATGGCC >hg38_chr10:125155366-125155376(-) GTACAATAGGG >hg38_chr10:125157205-125157215(+) GGACAATGAGG >hg38_chr10:125159543-125159553(+) CAACAATGCGG >hg38_chr10:125159789-125159799(-) GGACAATACGG >hg38_chr10:125174545-125174555(+) ggacaatgggg >hg38_chr10:125175740-125175750(+) AGACAATGGAC >hg38_chr10:125175962-125175972(-) CGACACTGGAA >hg38_chr10:125225982-125225992(+) TGATAATGGAG >hg38_chr10:125225992-125226002(-) GTACAATACGC >hg38_chr10:125229622-125229632(+) GGACAATAGGG >hg38_chr10:125283495-125283505(+) TAACAAAGGGA >hg38_chr10:125299611-125299621(+) AAACAATGAGC >hg38_chr10:125299652-125299662(+) ACACAATGGCC >hg38_chr10:125312489-125312499(+) tgacaatgtta >hg38_chr10:125327543-125327553(-) gtacaataacc >hg38_chr10:125343261-125343271(-) acacaatggaa >hg38_chr10:125353578-125353588(+) ggacaatggaa >hg38_chr10:125370768-125370778(+) TGACAATGCAG >hg38_chr10:125376431-125376441(+) aaacaatgacc >hg38_chr10:125398159-125398169(+) GAACAATGAAA >hg38_chr10:125398196-125398206(+) GAACAATGGCC >hg38_chr10:125514580-125514590(-) AGACAATAGGC >hg38_chr10:125514644-125514654(+) TCATAATGGAA >hg38_chr10:125545583-125545593(-) GGACAATGGTG >hg38_chr10:125598171-125598181(-) ATACACTGGAG >hg38_chr10:125633548-125633558(+) acacaaaggtt >hg38_chr10:125633851-125633861(-) TTACAATGCAG >hg38_chr10:125633877-125633887(+) GAACAAAGGCA >hg38_chr10:125662143-125662153(-) TTACAATGTTT >hg38_chr10:125691909-125691919(-) TAACAATGCTA >hg38_chr10:125691978-125691988(+) TGACAATAGCC >hg38_chr10:125724994-125725004(-) aaacaatgaac >hg38_chr10:125731705-125731715(-) GTACAATGCCT >hg38_chr10:125731768-125731778(+) CAACAATGGTa >hg38_chr10:125738487-125738497(+) ATACACTGGTT >hg38_chr10:125757750-125757760(-) agacaatggag >hg38_chr10:125760633-125760643(-) TAACAATAAAA >hg38_chr10:125761821-125761831(-) GGACAATGACA >hg38_chr10:125811930-125811940(+) tcacaatggaa >hg38_chr10:125962026-125962036(+) aaacaataaac >hg38_chr10:125980716-125980726(-) ggacaatggta >hg38_chr10:126015592-126015602(-) TGACTATGGAT >hg38_chr10:126034499-126034509(+) gcacaatgaaa >hg38_chr10:126042024-126042034(+) AAACGATGGCA >hg38_chr10:126059339-126059349(+) AGACAAAGGCA >hg38_chr10:126059404-126059414(-) ATACAAAGGTC >hg38_chr10:126062641-126062651(+) ACACAATGGGG >hg38_chr10:126063661-126063671(+) TGACAAAGGAC >hg38_chr10:126115196-126115206(+) gaacaatggca >hg38_chr10:126116067-126116077(-) TAACAACGGTG >hg38_chr10:126148052-126148062(+) TAACAATATAA >hg38_chr10:126148495-126148505(-) GAACAATGTTG >hg38_chr10:126208321-126208331(+) ctacaatgccc >hg38_chr10:126215961-126215971(-) TGACAATAATA >hg38_chr10:126262419-126262429(+) TGACAATGTCC >hg38_chr10:126376060-126376070(-) ttacaatgata >hg38_chr10:126410087-126410097(+) ACATAATGGTT >hg38_chr10:126497790-126497800(+) gaacaatgcat >hg38_chr10:126561697-126561707(+) AAACAATGACT >hg38_chr10:126561749-126561759(+) AAACAGTGGAC >hg38_chr10:126585692-126585702(+) GGACAAAGGAA >hg38_chr10:126790164-126790174(+) gaacaatggga >hg38_chr10:126808407-126808417(+) aaacaatatat >hg38_chr10:126820533-126820543(+) aaataatggag >hg38_chr10:126911799-126911809(-) taacaatgttt >hg38_chr10:126939901-126939911(-) GGACAAAGGAA >hg38_chr10:126976698-126976708(-) GAACAAAGGAC >hg38_chr10:126982039-126982049(+) GTACGATGGCG >hg38_chr10:126982066-126982076(+) AGACAATGGGT >hg38_chr10:127025031-127025041(-) AGACAATAGCT >hg38_chr10:127088240-127088250(-) GTACAATAATA >hg38_chr10:127090338-127090348(-) ACACAATGGTC >hg38_chr10:127108822-127108832(+) caacaatggta >hg38_chr10:127108842-127108852(-) taacaatagaa >hg38_chr10:127158454-127158464(-) TTACAAAGGTA >hg38_chr10:127216124-127216134(+) TGACAATGACA >hg38_chr10:127237473-127237483(-) ATACAGTGGAA >hg38_chr10:127462863-127462873(+) TAACAATGGTG >hg38_chr10:127511377-127511387(-) ggacaaaggag >hg38_chr10:127525443-127525453(+) TGACAATGTCC >hg38_chr10:127549077-127549087(+) CCACAATGATT >hg38_chr10:127549097-127549107(+) AGACAATGGTT >hg38_chr10:127549163-127549173(+) TGACAATGCCC >hg38_chr10:127614333-127614343(-) taacaatgcta >hg38_chr10:127763132-127763142(-) tcacaatggat >hg38_chr10:127790560-127790570(-) CAACAATGCAG >hg38_chr10:127852202-127852212(+) CAACAATGCTC >hg38_chr10:127863568-127863578(-) aaacaaaggag >hg38_chr10:127887753-127887763(+) TAACAATAAAC >hg38_chr10:127968275-127968285(+) GGACAATGAAG >hg38_chr10:127973089-127973099(-) GAACAATATAC >hg38_chr10:127987172-127987182(-) TGACAAAGGAT >hg38_chr10:127998096-127998106(+) AAATAATGGCC >hg38_chr10:128085310-128085320(-) GTACAATGGAC >hg38_chr10:128085355-128085365(-) GAACAATGGTG >hg38_chr10:128120667-128120677(+) agacaataaca >hg38_chr10:128241165-128241175(+) GAACTATGGAG >hg38_chr10:128324225-128324235(+) CTACAATAGGA >hg38_chr10:128354025-128354035(+) AAACAATAACA >hg38_chr10:128354080-128354090(-) CAACAATGGAT >hg38_chr10:128374574-128374584(-) GGACAAAGGCA >hg38_chr10:128397363-128397373(+) AAACAATAGGA >hg38_chr10:128465362-128465372(-) GTACAGTGGAG >hg38_chr10:128485216-128485226(+) GAACAATGGAT >hg38_chr10:128505504-128505514(+) TAACAAAGGCT >hg38_chr10:128505534-128505544(+) GAACAATGATG >hg38_chr10:128584910-128584920(-) TGATAATGGAA >hg38_chr10:128771070-128771080(+) tgacaataccg >hg38_chr10:128866099-128866109(-) CCACAATGGAG >hg38_chr10:128972250-128972260(+) CCACAATGTTA >hg38_chr10:129062089-129062099(-) TTACAATAGCA >hg38_chr10:129091142-129091152(-) GGACAAAGGGT >hg38_chr10:129169053-129169063(+) TGACAATAGAG >hg38_chr10:129435477-129435487(-) GCATAATGGAA >hg38_chr10:129438168-129438178(+) ACACAAAGGAA >hg38_chr10:129438180-129438190(-) AAACAATGCTG >hg38_chr10:129543274-129543284(-) ACACAACGGCA >hg38_chr10:129636498-129636508(-) AAACAATGACT >hg38_chr10:129650913-129650923(-) CCACAATGCGG >hg38_chr10:129709249-129709259(+) GGACAAAGGCA >hg38_chr10:129711442-129711452(-) TCACAATGAGG >hg38_chr10:129711507-129711517(-) GAACAATGAGG >hg38_chr10:129730742-129730752(-) GAACAATAGCA >hg38_chr10:129768918-129768928(+) GAACGATGGAA >hg38_chr10:129793990-129794000(+) GGACAATGAGT >hg38_chr10:129794015-129794025(+) GTACAATGGAT >hg38_chr10:129896671-129896681(-) AGACAAAGGCA >hg38_chr10:130018410-130018420(-) GGACAGTGGAC >hg38_chr10:130036816-130036826(+) GAACAATGGTG >hg38_chr10:130173665-130173675(-) AAACAATGAGT >hg38_chr10:130179843-130179853(+) AAACAACGGAA >hg38_chr10:130300604-130300614(-) GGACAGTGGAC >hg38_chr10:130401240-130401250(-) AGACAAAGGTG >hg38_chr10:130476051-130476061(+) CGACACTGGGG >hg38_chr10:130611566-130611576(+) CCACAATGGCA >hg38_chr10:130711220-130711230(+) TGACAATAGGC >hg38_chr10:130929815-130929825(+) GGACAATGGAC >hg38_chr10:130935176-130935186(+) ACACAATAAAA >hg38_chr10:130987126-130987136(+) AAACAATAGCA >hg38_chr10:131003187-131003197(-) TAACAATGGAC >hg38_chr10:131066278-131066288(-) ttacaatgagg >hg38_chr10:131323189-131323199(+) tgacaatgagg >hg38_chr10:131392147-131392157(+) GGACAATGGCA >hg38_chr10:131453622-131453632(-) TTACAATGGCA >hg38_chr10:131677061-131677071(-) AGACAATGCGG >hg38_chr10:131726725-131726735(+) GGACAATGCTT >hg38_chr10:131761000-131761010(+) tgacaatgagt >hg38_chr10:131761015-131761025(+) aaacaataaga >hg38_chr10:131874842-131874852(+) AAACAATGAAA >hg38_chr10:131888338-131888348(+) atacagtggga >hg38_chr10:131888356-131888366(+) caacaatagaa >hg38_chr10:131888365-131888375(+) aaacaatgagt >hg38_chr10:131914107-131914117(+) AGACAATAGGT >hg38_chr10:131980839-131980849(+) acacaaaggtc >hg38_chr10:132007128-132007138(-) taacaataaaa >hg38_chr10:132007182-132007192(+) ggacaatgtgg >hg38_chr10:132177094-132177104(-) AGACAATAGAG >hg38_chr10:132265595-132265605(+) GGACAATGTCT >hg38_chr10:132332189-132332199(-) CGACAATGGAG >hg38_chr10:132365408-132365418(-) AAACAAAGGGC >hg38_chr10:132595463-132595473(-) CCACAATGTAG >hg38_chr10:132714323-132714333(+) GAACAATGGGT >hg38_chr10:132715331-132715341(-) AAATAATGGGG >hg38_chr10:132715355-132715365(-) TGACAATGGAC >hg38_chr10:132742993-132743003(-) AAACAATGGCC >hg38_chr10:133089563-133089573(+) GAACAATGCAT >hg38_chr10:133089568-133089578(-) ACACAATGCAT >hg38_chr10:133151772-133151782(-) gaacaaaggtt >hg38_chr10:133151811-133151821(-) aaacaatgtcg >hg38_chr10:133192722-133192732(+) agacaatagaa >hg38_chr10:133193841-133193851(+) taacaataaag >hg38_chr10:133232351-133232361(+) TAACAATGCCT >hg38_chr10:133611830-133611840(+) aaacaatgcaa >hg38_chr11:228500-228510(+) caacaatgcca >hg38_chr11:242515-242525(-) GGACAATGGAC >hg38_chr11:283965-283975(-) gcacaatcgtc >hg38_chr11:317205-317215(+) agacaatagat >hg38_chr11:364561-364571(+) gcacaatgcag >hg38_chr11:450277-450287(+) CCACAATGCAC >hg38_chr11:456900-456910(+) TCACAATGAAA >hg38_chr11:742617-742627(-) ATACAAAGGGG >hg38_chr11:742660-742670(-) ATACAAAGGGA >hg38_chr11:746673-746683(+) gaacaatcggc >hg38_chr11:746725-746735(+) tgacaatagac >hg38_chr11:762995-763005(-) AGACAAAGGCA >hg38_chr11:763002-763012(-) ACACAATAGAC >hg38_chr11:797765-797775(+) GGACAAAGGAG >hg38_chr11:809292-809302(-) GGACAATGACG >hg38_chr11:854951-854961(+) GAACAAAGGCC >hg38_chr11:928040-928050(+) GGACAATGAGA >hg38_chr11:944990-945000(-) TTACAAAGGCC >hg38_chr11:1213203-1213213(+) taacaatagct >hg38_chr11:1213614-1213624(+) ccacaatgtat >hg38_chr11:1213640-1213650(+) acacaaaggta >hg38_chr11:1496571-1496581(+) tcacaatagcc >hg38_chr11:1785774-1785784(+) gcacaatGAGC >hg38_chr11:1785816-1785826(-) acacaatggta >hg38_chr11:1804600-1804610(-) gaacaataaca >hg38_chr11:1827435-1827445(+) CGACAATGCAC >hg38_chr11:1901763-1901773(+) aaacaaaggta >hg38_chr11:1901794-1901804(+) aAACAAAGGGA >hg38_chr11:2042252-2042262(-) ggacaatgagt >hg38_chr11:2047337-2047347(-) agacaatggag >hg38_chr11:2048189-2048199(-) ggacaatggaa >hg38_chr11:2049297-2049307(-) gaacaatgtat >hg38_chr11:2052303-2052313(-) gaacaaaggtg >hg38_chr11:2052352-2052362(-) gaactatgggt >hg38_chr11:2054687-2054697(-) agataatggtg >hg38_chr11:2054722-2054732(-) ggactatggag >hg38_chr11:2055435-2055445(-) gaactatggga >hg38_chr11:2058500-2058510(-) ccacaatagag >hg38_chr11:2061973-2061983(-) agacaatggag >hg38_chr11:2064895-2064905(-) gaacaatgaag >hg38_chr11:2066438-2066448(+) ttacaatgggg >hg38_chr11:2113695-2113705(-) taacaataaag >hg38_chr11:2222832-2222842(-) ttacaatgggt >hg38_chr11:2241953-2241963(+) taacaatagag >hg38_chr11:2253639-2253649(+) tgacaataata >hg38_chr11:2253654-2253664(+) aaacagtggga >hg38_chr11:2254375-2254385(+) taacaataaag >hg38_chr11:2338350-2338360(+) ggacaatagat >hg38_chr11:2338390-2338400(+) ccacaatgggt >hg38_chr11:2544893-2544903(-) gaacaatgatg >hg38_chr11:2546377-2546387(-) acacaatggag >hg38_chr11:2591508-2591518(-) AGACAATGGTC >hg38_chr11:2599825-2599835(-) ctacaatgagg >hg38_chr11:2632779-2632789(-) acacaatggaa >hg38_chr11:2697077-2697087(-) aaataatgtcg >hg38_chr11:2721334-2721344(-) GAACAAAGGCT >hg38_chr11:2729038-2729048(+) GAACAATAGCC >hg38_chr11:2752494-2752504(-) gaacaatgaat >hg38_chr11:3189236-3189246(-) TAACAAAGGAG >hg38_chr11:3217408-3217418(+) GGACAATGATG >hg38_chr11:3338610-3338620(+) AAACAAAGGAA >hg38_chr11:3699752-3699762(+) AGACAATGAGA >hg38_chr11:3723286-3723296(+) CAACAATGGTA >hg38_chr11:3735884-3735894(+) TGACAATATAC >hg38_chr11:3737003-3737013(+) TAACAATGAAG >hg38_chr11:3737027-3737037(-) GTACAATGGTA >hg38_chr11:3764341-3764351(-) taacaatgaat >hg38_chr11:3784104-3784114(+) acacaatgtat >hg38_chr11:3808017-3808027(+) GAACAAAGGCG >hg38_chr11:3826869-3826879(+) CCACAATGAAG >hg38_chr11:3826879-3826889(-) AGACAATAGGC >hg38_chr11:3858818-3858828(+) tcacaatagcc >hg38_chr11:3858832-3858842(+) ttacaatgcag >hg38_chr11:3887400-3887410(-) TCACAATATAT >hg38_chr11:3887424-3887434(+) AAACAATGGAC >hg38_chr11:3917215-3917225(+) GAACAATGTAG >hg38_chr11:3951361-3951371(-) AGACAATAAAA >hg38_chr11:3951588-3951598(-) GCACAATGATA >hg38_chr11:3954520-3954530(-) tcacaatagta >hg38_chr11:3981508-3981518(-) AAACAATAAGA >hg38_chr11:3994181-3994191(-) agacaatggga >hg38_chr11:3994198-3994208(-) aaataatggat >hg38_chr11:4058088-4058098(+) CGACAATGTTT >hg38_chr11:4059605-4059615(-) GCACAATGCAG >hg38_chr11:4072580-4072590(+) CAACAATGATT >hg38_chr11:4072587-4072597(-) GAACAATAATC >hg38_chr11:4109076-4109086(+) TTACAATAGTT >hg38_chr11:4133700-4133710(-) CCACAATGTCC >hg38_chr11:4133729-4133739(+) AGACAATGGAG >hg38_chr11:4133747-4133757(-) ACACAATGAAG >hg38_chr11:4176802-4176812(-) gaacaataaaa >hg38_chr11:4203822-4203832(-) CAACAATGACA >hg38_chr11:4392147-4392157(-) atacaatgtgt >hg38_chr11:4444190-4444200(+) GGATAATGGCA >hg38_chr11:4461729-4461739(+) ggataatggga >hg38_chr11:4466031-4466041(-) ccacaatggct >hg38_chr11:4471987-4471997(-) atacaatgaac >hg38_chr11:4511653-4511663(-) TCACAATGGAA >hg38_chr11:4524933-4524943(+) atacaatggag >hg38_chr11:4528577-4528587(-) acacaatggag >hg38_chr11:4529125-4529135(+) ccacaatagat >hg38_chr11:4543390-4543400(+) acacaaagggt >hg38_chr11:4572025-4572035(-) TAACAATGCCA >hg38_chr11:4580131-4580141(-) GAATAATGATA >hg38_chr11:4607219-4607229(-) agacaatagac >hg38_chr11:4626351-4626361(+) tcacaatgtgc >hg38_chr11:4628068-4628078(-) atacaatggta >hg38_chr11:4662838-4662848(-) CAACAATGTGA >hg38_chr11:4697888-4697898(-) GCATAATGGAA >hg38_chr11:4768084-4768094(+) aaactatggaa >hg38_chr11:4824488-4824498(-) taacaatgaaa >hg38_chr11:4864333-4864343(-) ACACAAAGGCA >hg38_chr11:4879857-4879867(+) GAACAATGGGA >hg38_chr11:4882534-4882544(-) AAACAATACGT >hg38_chr11:4891687-4891697(+) TTACAATGTCC >hg38_chr11:4950818-4950828(+) tcacaatgagg >hg38_chr11:4981368-4981378(-) gaacaataggc >hg38_chr11:4981402-4981412(-) gtacaatagca >hg38_chr11:4981434-4981444(+) acacaatagta >hg38_chr11:5004691-5004701(-) caacaatggaa >hg38_chr11:5004735-5004745(+) ggacaataaat >hg38_chr11:5005627-5005637(-) tgacaatggaa >hg38_chr11:5046969-5046979(-) TTACAATGCAC >hg38_chr11:5053155-5053165(-) atacaatataa >hg38_chr11:5063893-5063903(-) taataatggag >hg38_chr11:5081132-5081142(+) ccacaatgatg >hg38_chr11:5089014-5089024(-) ACACAATGTCT >hg38_chr11:5119413-5119423(-) CCACAATAGTG >hg38_chr11:5143674-5143684(-) aaacaatggca >hg38_chr11:5204460-5204470(+) GAACAATGCCT >hg38_chr11:5204749-5204759(-) GAACAATGACT >hg38_chr11:5204776-5204786(-) ACACAATATAC >hg38_chr11:5257607-5257617(-) CTATAATGGCT >hg38_chr11:5285919-5285929(-) AAACTATGGGG >hg38_chr11:5316898-5316908(+) ATACAATGCAA >hg38_chr11:5316976-5316986(-) CAACAATGCAA >hg38_chr11:5328094-5328104(+) gaacaaaggag >hg38_chr11:5368794-5368804(+) TTACGATGGCT >hg38_chr11:5405892-5405902(+) GGACAATGCCT >hg38_chr11:5448390-5448400(-) CAACAATAGTC >hg38_chr11:5574151-5574161(+) atacaaaggaa >hg38_chr11:5587013-5587023(+) gaacaatagat >hg38_chr11:5638659-5638669(+) TGACAATACGG >hg38_chr11:5685035-5685045(+) GCACAAAGGAA >hg38_chr11:5737299-5737309(-) CAACAATAGTG >hg38_chr11:5737866-5737876(-) TAACAATGCGC >hg38_chr11:5743066-5743076(+) aaacaatagca >hg38_chr11:5743146-5743156(-) gcacaatgtct >hg38_chr11:5758137-5758147(+) acacaatgggg >hg38_chr11:5817392-5817402(+) AGACAATGGGT >hg38_chr11:5878392-5878402(+) ttacaatagag >hg38_chr11:5891216-5891226(+) GCACAATAGCT >hg38_chr11:5990043-5990053(-) TTACAATAGGA >hg38_chr11:6009575-6009585(-) ATATAATGTAT >hg38_chr11:6009623-6009633(-) agacaatgcaa >hg38_chr11:6018303-6018313(-) ggacaatgttc >hg38_chr11:6021618-6021628(-) GAACAATGTGA >hg38_chr11:6021646-6021656(+) GGATAATGGGA >hg38_chr11:6033171-6033181(-) TAACACTGGAT >hg38_chr11:6108627-6108637(+) GCACAATGTCA >hg38_chr11:6113573-6113583(+) gaacaatgtcc >hg38_chr11:6183662-6183672(-) tgacaatgggc >hg38_chr11:6303813-6303823(+) TAACAATGATG >hg38_chr11:6321031-6321041(-) CCACAATGAGC >hg38_chr11:6398274-6398284(-) agacaataaaa >hg38_chr11:6415457-6415467(+) ttacaatgcag >hg38_chr11:6427772-6427782(-) TTACTATGGCC >hg38_chr11:6503871-6503881(-) gcacaatgcct >hg38_chr11:6517438-6517448(+) tcacaatagcc >hg38_chr11:6526410-6526420(-) agacaatgaaa >hg38_chr11:6537252-6537262(+) GGATAATGGCC >hg38_chr11:6550353-6550363(+) AGACAATAGAA >hg38_chr11:6550423-6550433(-) CTACAATGTTG >hg38_chr11:6551493-6551503(+) aaacaatggag >hg38_chr11:6554540-6554550(+) tgacaaaggac >hg38_chr11:6554962-6554972(-) gaacaatgctg >hg38_chr11:6554974-6554984(+) GTATAATGGTA >hg38_chr11:6576752-6576762(+) AAACAATGAAA >hg38_chr11:6586844-6586854(-) taacaatgcct >hg38_chr11:6675271-6675281(+) gaacaatataa >hg38_chr11:6675285-6675295(-) caacaacggta >hg38_chr11:6675307-6675317(+) gtacaatgtaa >hg38_chr11:6703535-6703545(-) GGACAATGTTC >hg38_chr11:6712686-6712696(+) GGACAAAGGAG >hg38_chr11:6801310-6801320(-) agacaatgaga >hg38_chr11:6848332-6848342(+) agacaatgggg >hg38_chr11:6864486-6864496(+) ATACTATGGAG >hg38_chr11:6885592-6885602(+) aaacaatgatc >hg38_chr11:6915917-6915927(+) tcacaatgttt >hg38_chr11:6915930-6915940(+) tTACAATGGAA >hg38_chr11:6921458-6921468(+) ACACAACGGGT >hg38_chr11:6926202-6926212(+) AGACAATGTCT >hg38_chr11:6926222-6926232(-) AAACAATGACA >hg38_chr11:6935352-6935362(+) acacaatgcat >hg38_chr11:6992686-6992696(+) CTACAATGAAC >hg38_chr11:7016127-7016137(+) taacaatgcaa >hg38_chr11:7016589-7016599(-) TTACACTGGTA >hg38_chr11:7020308-7020318(+) AAACAATGGCC >hg38_chr11:7073911-7073921(-) tgacaatggcc >hg38_chr11:7078028-7078038(+) caacaatgtga >hg38_chr11:7100101-7100111(+) TCACAATGGCT >hg38_chr11:7100480-7100490(-) ATACAATGGAA >hg38_chr11:7122218-7122228(+) gtacaatagga >hg38_chr11:7185175-7185185(+) ATACAATGCTG >hg38_chr11:7219011-7219021(+) AAACAATGGGC >hg38_chr11:7231196-7231206(-) aaacaaaggcc >hg38_chr11:7284997-7285007(+) TAACTATGGAG >hg38_chr11:7319551-7319561(+) TAACAATGCGA >hg38_chr11:7353167-7353177(+) gaacaatgtta >hg38_chr11:7397143-7397153(-) ggacaatagat >hg38_chr11:7402353-7402363(-) gaacaatgtta >hg38_chr11:7427947-7427957(-) ACACAATGCCC >hg38_chr11:7430436-7430446(+) AAACAATAGGG >hg38_chr11:7450992-7451002(+) GAACAATGCCT >hg38_chr11:7494278-7494288(-) ACACAAAGGAG >hg38_chr11:7494333-7494343(+) GAACaatggca >hg38_chr11:7508817-7508827(-) TTACAATGTTT >hg38_chr11:7508840-7508850(+) GTACAATAAAA >hg38_chr11:7521204-7521214(-) GCACAATGAAT >hg38_chr11:7539297-7539307(+) GCACAATGGCC >hg38_chr11:7595261-7595271(-) CTACAATGTGC >hg38_chr11:7605670-7605680(+) GAACAATGTTG >hg38_chr11:7621580-7621590(-) AAACAAAGGGG >hg38_chr11:7669071-7669081(-) ACACAATGCAT >hg38_chr11:7694889-7694899(-) gaataatggat >hg38_chr11:7705629-7705639(+) ggataatggca >hg38_chr11:7716653-7716663(+) tgacaatgaca >hg38_chr11:7722850-7722860(+) caacaatagga >hg38_chr11:7722867-7722877(-) aaacaatggca >hg38_chr11:7724670-7724680(+) ACACAATGTTA >hg38_chr11:7727157-7727167(+) TAACAATACAA >hg38_chr11:7755102-7755112(+) ctacaatagac >hg38_chr11:7755118-7755128(+) atataatggtt >hg38_chr11:7755137-7755147(+) ttataatggga >hg38_chr11:7773703-7773713(+) TGACAATGTAG >hg38_chr11:7774598-7774608(+) ATACAATATCA >hg38_chr11:7774954-7774964(-) agacaatgtat >hg38_chr11:7869717-7869727(+) GGACAATAGCA >hg38_chr11:7973330-7973340(+) atacaataaaa >hg38_chr11:7973369-7973379(+) acacaatgcat >hg38_chr11:7973390-7973400(-) atacaattgta >hg38_chr11:7976367-7976377(+) tcacaatggaa >hg38_chr11:8025928-8025938(-) atacaatgctc >hg38_chr11:8026024-8026034(-) ccacaatggca >hg38_chr11:8026545-8026555(-) gtacaaaggca >hg38_chr11:8026574-8026584(-) aaacaataatc >hg38_chr11:8150776-8150786(+) ACACAATATAT >hg38_chr11:8153352-8153362(+) TTACAATGACA >hg38_chr11:8169134-8169144(-) CGACAGTGGAG >hg38_chr11:8206526-8206536(-) GAACAATGCGG >hg38_chr11:8207030-8207040(-) AGACAAAGGGC >hg38_chr11:8235656-8235666(+) agacaatggca >hg38_chr11:8241884-8241894(-) acacaatagtg >hg38_chr11:8241917-8241927(-) AAACAATGACT >hg38_chr11:8269864-8269874(-) GGACAATGCCG >hg38_chr11:8271664-8271674(+) GAACAATAATA >hg38_chr11:8273120-8273130(+) taacaatggta >hg38_chr11:8313341-8313351(+) AGACAAAGGGA >hg38_chr11:8437793-8437803(+) AGACAATAGAG >hg38_chr11:8437847-8437857(-) GAACAATATGA >hg38_chr11:8439135-8439145(+) GAACAATGGTC >hg38_chr11:8455945-8455955(-) aaacaataaaa >hg38_chr11:8541382-8541392(-) GGACAATAGCT >hg38_chr11:8545835-8545845(+) taacaatggga >hg38_chr11:8594286-8594296(+) GCACAACGGCG >hg38_chr11:8676655-8676665(+) ACACAATGAGT >hg38_chr11:8677727-8677737(-) ATACAATAGCA >hg38_chr11:8686060-8686070(-) GTACAATACAT >hg38_chr11:8688197-8688207(+) GCATAATGGAC >hg38_chr11:8698496-8698506(+) TAACAAAGGCT >hg38_chr11:8698519-8698529(+) CAACAATGATT >hg38_chr11:8700026-8700036(+) AAACAATAGCT >hg38_chr11:8723926-8723936(+) AAACAATGGCC >hg38_chr11:8754059-8754069(+) acacaaaggac >hg38_chr11:8766732-8766742(+) atacaatagtc >hg38_chr11:8786960-8786970(-) gaacaatgctt >hg38_chr11:8789348-8789358(+) taacaataaAA >hg38_chr11:8789383-8789393(+) GAACAATGCCA >hg38_chr11:8809308-8809318(-) AAACAATGAGG >hg38_chr11:8813818-8813828(+) GGACAAAGGAA >hg38_chr11:8822752-8822762(-) GAACAATAGCT >hg38_chr11:8826195-8826205(-) GGACAAAGGGC >hg38_chr11:8840529-8840539(+) AAACAATGGGG >hg38_chr11:8904876-8904886(+) acacaatatta >hg38_chr11:8911796-8911806(+) GAACAATGAAT >hg38_chr11:8949168-8949178(-) TAACAATGGAA >hg38_chr11:9008861-9008871(+) GGACAATGCCT >hg38_chr11:9175133-9175143(+) acacaatataa >hg38_chr11:9176536-9176546(+) GTACAAtgcca >hg38_chr11:9207692-9207702(-) gaataatggtc >hg38_chr11:9219284-9219294(+) ggacaatgaga >hg38_chr11:9264282-9264292(+) CTACAATGCTG >hg38_chr11:9315024-9315034(+) ATACAAAGGTT >hg38_chr11:9342088-9342098(-) AGACAAAGGAC >hg38_chr11:9367698-9367708(-) GAACAAAGGCC >hg38_chr11:9384388-9384398(-) CCACAATGTTC >hg38_chr11:9396727-9396737(-) caacaatgtat >hg38_chr11:9409988-9409998(-) CCACAATGGCA >hg38_chr11:9448590-9448600(+) AAACAATGGGG >hg38_chr11:9448644-9448654(-) CAACAATGAGT >hg38_chr11:9474534-9474544(-) GAACAATGCTT >hg38_chr11:9518200-9518210(+) tcacaatgaga >hg38_chr11:9519027-9519037(+) taacaatgaaa >hg38_chr11:9524166-9524176(-) agataatggag >hg38_chr11:9528000-9528010(+) ACACAATCGTA >hg38_chr11:9571770-9571780(+) TAACAATAAGA >hg38_chr11:9577035-9577045(+) TAACAATAGAT >hg38_chr11:9577053-9577063(-) ATACAATAAAT >hg38_chr11:9625136-9625146(+) gaactatggca >hg38_chr11:9625155-9625165(-) aaacaatagcc >hg38_chr11:9678081-9678091(+) ACACAATATAC >hg38_chr11:9688875-9688885(+) AGACAATGCTT >hg38_chr11:9688927-9688937(-) TAACAATGGAC >hg38_chr11:9694231-9694241(-) GGACACTGGAC >hg38_chr11:9702902-9702912(-) aaACAATATAT >hg38_chr11:9802367-9802377(+) AAACAATGGCT >hg38_chr11:9821270-9821280(-) TCACAATGTAT >hg38_chr11:9821293-9821303(-) ATACAATAATG >hg38_chr11:9841438-9841448(+) ATATAATGGTG >hg38_chr11:9874947-9874957(-) TTACAATGCTG >hg38_chr11:9885989-9885999(-) GAACAATGCCC >hg38_chr11:9902732-9902742(+) aaacaatgaca >hg38_chr11:9905622-9905632(+) ggacaatgatg >hg38_chr11:9906885-9906895(-) GGATAATGGGA >hg38_chr11:9907615-9907625(+) GCACAATGACT >hg38_chr11:9923358-9923368(+) CTACAATGGAG >hg38_chr11:9928745-9928755(-) caacaatgcaa >hg38_chr11:9951822-9951832(+) GAACAATGGTG >hg38_chr11:9954995-9955005(+) TAATAATGGTA >hg38_chr11:9969912-9969922(+) ACACAATGCTG >hg38_chr11:9990381-9990391(+) GCACAATGCAA >hg38_chr11:9990417-9990427(-) CCACAATGGGG >hg38_chr11:9998818-9998828(+) gcataatggaa >hg38_chr11:10002988-10002998(-) GAACAATGCTA >hg38_chr11:10004652-10004662(+) ggacaaaggac >hg38_chr11:10008742-10008752(-) agacaaaggat >hg38_chr11:10021634-10021644(+) GAACAAAGGAA >hg38_chr11:10028992-10029002(+) AGACAATGAGA >hg38_chr11:10038065-10038075(+) ACACAATAGGG >hg38_chr11:10064371-10064381(+) caacaaaggac >hg38_chr11:10077005-10077015(+) taacaaaggtc >hg38_chr11:10091473-10091483(-) TAATAATGTAC >hg38_chr11:10114712-10114722(-) tcataatggaa >hg38_chr11:10114723-10114733(-) ggacaatggga >hg38_chr11:10166038-10166048(+) ATACAATACCg >hg38_chr11:10249521-10249531(-) caacaatgttt >hg38_chr11:10268471-10268481(+) CTACAATGGAT >hg38_chr11:10292990-10293000(+) GAACAATGGTA >hg38_chr11:10293263-10293273(+) ATACAATGCAT >hg38_chr11:10296172-10296182(-) gaacaatatac >hg38_chr11:10303105-10303115(+) GAACAATAGTT >hg38_chr11:10308259-10308269(-) GAACAATGAAG >hg38_chr11:10316860-10316870(-) tgacaatagca >hg38_chr11:10317070-10317080(+) aaACAATGATT >hg38_chr11:10317446-10317456(+) aaacaatagtt >hg38_chr11:10324082-10324092(-) CAACAATGACT >hg38_chr11:10352359-10352369(+) gcacaaaggac >hg38_chr11:10352555-10352565(-) GAACAATAGAG >hg38_chr11:10374560-10374570(-) CTACAATGCCA >hg38_chr11:10406369-10406379(-) ACACTATGGGA >hg38_chr11:10464849-10464859(-) aaacaatatag >hg38_chr11:10478173-10478183(-) GGACAATAGCA >hg38_chr11:10507430-10507440(-) TAACAATGGAT >hg38_chr11:10511542-10511552(-) ATACAATACAA >hg38_chr11:10511553-10511563(-) ACACAATGACG >hg38_chr11:10519687-10519697(+) acacaatggta >hg38_chr11:10527141-10527151(-) acataatggta >hg38_chr11:10569285-10569295(+) TTACAATAGTA >hg38_chr11:10575081-10575091(+) aaacaatgaca >hg38_chr11:10579184-10579194(+) GAACAATGGGG >hg38_chr11:10588114-10588124(-) TGACAATGGTA >hg38_chr11:10588152-10588162(-) TGACAATGGTA >hg38_chr11:10588190-10588200(-) TGACAATGGTA >hg38_chr11:10593054-10593064(+) gaacaatgcta >hg38_chr11:10593062-10593072(+) ctacaatgaac >hg38_chr11:10593083-10593093(+) GAACAATGGGT >hg38_chr11:10598566-10598576(+) aaacaataaga >hg38_chr11:10606159-10606169(-) AGACAATGAGA >hg38_chr11:10620736-10620746(-) TTACAATGAAT >hg38_chr11:10639953-10639963(-) ccacaataggc >hg38_chr11:10639989-10639999(+) agacaaaggtg >hg38_chr11:10658010-10658020(-) ACACAATGGCT >hg38_chr11:10658057-10658067(-) GAACAATGACG >hg38_chr11:10693905-10693915(+) GAACAAAGGGA >hg38_chr11:10755122-10755132(+) GGACAATAAAA >hg38_chr11:10766696-10766706(-) AGACAATAGGA >hg38_chr11:10775439-10775449(-) TTACAATGATA >hg38_chr11:10789173-10789183(+) taacaatgtct >hg38_chr11:10809249-10809259(-) GAACAAAGGGA >hg38_chr11:10814609-10814619(-) GAACACTGGTA >hg38_chr11:10855597-10855607(-) ATATAATAGTA >hg38_chr11:10868268-10868278(+) caacaatgatc >hg38_chr11:10887084-10887094(-) AAACTATGGAT >hg38_chr11:10888609-10888619(-) CCACAATGTAC >hg38_chr11:10896659-10896669(-) tcacaatagtg >hg38_chr11:10896738-10896748(+) AGACAATAACA >hg38_chr11:10900010-10900020(+) CCACAATACGT >hg38_chr11:10906715-10906725(+) AAACAATGGTC >hg38_chr11:10912126-10912136(-) tgacaatagag >hg38_chr11:10933744-10933754(+) TAACAATAATC >hg38_chr11:10945261-10945271(-) GCACAAAGGAA >hg38_chr11:10959039-10959049(+) caacaatgcaa >hg38_chr11:10969581-10969591(+) ctacaatgtaa >hg38_chr11:10969594-10969604(+) agacaataggg >hg38_chr11:10982866-10982876(+) AGACAATGGCC >hg38_chr11:11028279-11028289(+) GCACAATAGGG >hg38_chr11:11065541-11065551(-) gtacaaagggg >hg38_chr11:11081382-11081392(-) GGACAATAGAA >hg38_chr11:11127310-11127320(-) aaacaatggac >hg38_chr11:11127837-11127847(-) acacaatgaaa >hg38_chr11:11127845-11127855(-) atacaataaca >hg38_chr11:11128161-11128171(+) gtacaataaaa >hg38_chr11:11130783-11130793(+) agacaaaggaa >hg38_chr11:11135261-11135271(+) CAACAATGAAG >hg38_chr11:11159821-11159831(+) TAACAATGAAC >hg38_chr11:11165142-11165152(-) AAACAATAATC >hg38_chr11:11178878-11178888(-) TGACAATAGGG >hg38_chr11:11216174-11216184(+) GAACAATGGGG >hg38_chr11:11242042-11242052(-) aaacaatggaa >hg38_chr11:11244829-11244839(-) AAACAATGaag >hg38_chr11:11363938-11363948(+) ggacaaaggtg >hg38_chr11:11370278-11370288(+) ACACAATCGTA >hg38_chr11:11422964-11422974(-) TAACAATGGGT >hg38_chr11:11451110-11451120(-) TGACAATGCTG >hg38_chr11:11509571-11509581(+) gaacaatggaG >hg38_chr11:11511178-11511188(+) GAATAATGGCA >hg38_chr11:11514422-11514432(+) TGACAATGGAT >hg38_chr11:11533859-11533869(+) AAACAAAGGTG >hg38_chr11:11545800-11545810(-) GGACAATGCAG >hg38_chr11:11582541-11582551(-) gaacaatagct >hg38_chr11:11582575-11582585(+) aaacaatagct >hg38_chr11:11628947-11628957(-) GGACAATAGCT >hg38_chr11:11669349-11669359(+) GAATAATGGGC >hg38_chr11:11683563-11683573(-) TTACAATACGT >hg38_chr11:11782700-11782710(-) AGACAAAGGGA >hg38_chr11:11806876-11806886(+) CTACAATGATT >hg38_chr11:11810372-11810382(-) GGACAATGGGC >hg38_chr11:11841928-11841938(-) GAAAAATGGCG >hg38_chr11:11865330-11865340(-) aaacaatgatc >hg38_chr11:11865356-11865366(-) gaacaataaag >hg38_chr11:11880419-11880429(-) CAACAATGGCT >hg38_chr11:11882879-11882889(+) CCACAATAGCT >hg38_chr11:11903011-11903021(+) CTACAATGAAA >hg38_chr11:11923893-11923903(+) aaactatggct >hg38_chr11:11950973-11950983(+) GAACAATGACT >hg38_chr11:11959127-11959137(+) ATACAATAAAG >hg38_chr11:11973871-11973881(+) CAACAATGAGT >hg38_chr11:11979163-11979173(-) AAACAAAGGCC >hg38_chr11:12008056-12008066(+) TGACAATAGGG >hg38_chr11:12068126-12068136(-) tgacaatgaca >hg38_chr11:12076271-12076281(-) GGATAatgggt >hg38_chr11:12138172-12138182(+) CAACAATAGAA >hg38_chr11:12159682-12159692(-) GAACAAAGGCT >hg38_chr11:12167187-12167197(-) CAACAATGTAC >hg38_chr11:12183232-12183242(-) CAACAATGTAT >hg38_chr11:12236572-12236582(-) TAACAGTGGTT >hg38_chr11:12242118-12242128(-) AGACAAAGGGT >hg38_chr11:12249825-12249835(-) GAACAATGGAA >hg38_chr11:12251744-12251754(+) GGACAATGGAG >hg38_chr11:12252122-12252132(-) ATACAAAGGAC >hg38_chr11:12288729-12288739(+) GTACAAAGGGA >hg38_chr11:12306819-12306829(-) atacaatgaaa >hg38_chr11:12308793-12308803(-) agacaatggtg >hg38_chr11:12315165-12315175(-) gaacaatggca >hg38_chr11:12315181-12315191(-) aaacaatggaa >hg38_chr11:12417015-12417025(+) TTATAATGGAG >hg38_chr11:12418830-12418840(+) TAACAATAATA >hg38_chr11:12495855-12495865(+) agacaatgata >hg38_chr11:12495892-12495902(-) ctacaatggct >hg38_chr11:12496332-12496342(-) caACAATAGAT >hg38_chr11:12496606-12496616(+) GAACAAAGGAA >hg38_chr11:12496629-12496639(+) AAACAATGCCT >hg38_chr11:12680083-12680093(-) TAACAATGATT >hg38_chr11:12680513-12680523(-) AGACAATGCGC >hg38_chr11:12680833-12680843(-) TAACAATAGGC >hg38_chr11:12681087-12681097(+) AGACAATGCTG >hg38_chr11:12684450-12684460(+) aaacaatgctT >hg38_chr11:12693944-12693954(-) TTACAAAGGCA >hg38_chr11:12693979-12693989(+) GAACAAAGGCA >hg38_chr11:12707742-12707752(-) AGACAATAGTA >hg38_chr11:12708345-12708355(+) TAACAATGCCT >hg38_chr11:12723592-12723602(-) GAACAAAGGAG >hg38_chr11:12724810-12724820(-) GTACAAAGGAG >hg38_chr11:12746038-12746048(-) ACACAATGCCT >hg38_chr11:12746070-12746080(+) GAACAATGGAT >hg38_chr11:12773438-12773448(-) aaacaatggtg >hg38_chr11:12773451-12773461(-) tcacaatgaaa >hg38_chr11:12790835-12790845(+) tgactatggtc >hg38_chr11:12790850-12790860(+) agacaataaca >hg38_chr11:12793690-12793700(-) TAACAATGTCC >hg38_chr11:12802803-12802813(-) GAACAAAGGGC >hg38_chr11:12803415-12803425(-) AAACAATGAAC >hg38_chr11:12817930-12817940(-) CCACAATGTGT >hg38_chr11:12822524-12822534(+) GTACAATGGAA >hg38_chr11:12824509-12824519(+) AAACAATGTTG >hg38_chr11:12824527-12824537(-) AAACAATCGGG >hg38_chr11:12843128-12843138(-) AGACAATAGAG >hg38_chr11:12843136-12843146(-) CAACAATGAGA >hg38_chr11:12861445-12861455(-) GGACAATGACA >hg38_chr11:12863336-12863346(-) AGACAATGCGC >hg38_chr11:12886978-12886988(-) CAACAATAGTG >hg38_chr11:12911427-12911437(+) TAACAATACGA >hg38_chr11:12914763-12914773(-) GGACAATCGCT >hg38_chr11:12933134-12933144(-) taacaatgagg >hg38_chr11:12949677-12949687(+) aaacaataaca >hg38_chr11:13003882-13003892(-) GAACAAAGGAT >hg38_chr11:13012560-13012570(+) TAACAATGGGG >hg38_chr11:13043178-13043188(-) GAACAATAACA >hg38_chr11:13077110-13077120(+) AGACAATGGCA >hg38_chr11:13098167-13098177(-) AGACAATGACT >hg38_chr11:13098198-13098208(-) TCACAATGGAG >hg38_chr11:13102218-13102228(-) AGACAAAGGTA >hg38_chr11:13111071-13111081(-) AGACAATGGGG >hg38_chr11:13114946-13114956(-) TGACAATAGAC >hg38_chr11:13115035-13115045(+) GCACAAAGGAA >hg38_chr11:13120855-13120865(-) taataatggtg >hg38_chr11:13120900-13120910(-) taacaatggaa >hg38_chr11:13126715-13126725(+) TTACAAAGGGC >hg38_chr11:13127449-13127459(+) cgacagtggtc >hg38_chr11:13127468-13127478(+) tcataatggag >hg38_chr11:13127501-13127511(-) ctacaatgtca >hg38_chr11:13127510-13127520(-) ttacaatggct >hg38_chr11:13135403-13135413(-) TTACAAAGGGC >hg38_chr11:13161084-13161094(+) gaataatggtc >hg38_chr11:13205974-13205984(+) ggacaatggat >hg38_chr11:13208286-13208296(-) ACACAATGGAA >hg38_chr11:13216239-13216249(+) GGACAAAGGAG >hg38_chr11:13254533-13254543(+) tgacaatgcct >hg38_chr11:13254552-13254562(-) atataatggtg >hg38_chr11:13258678-13258688(+) ATACAATGGTC >hg38_chr11:13262191-13262201(-) GAACAATGTAG >hg38_chr11:13262205-13262215(-) GAACAATAAAA >hg38_chr11:13262232-13262242(-) GGACAATGAGA >hg38_chr11:13317487-13317497(-) AAATAATGGCT >hg38_chr11:13317505-13317515(-) AAACAATAGTG >hg38_chr11:13405167-13405177(-) ATACAATGACC >hg38_chr11:13417647-13417657(-) GTACAATGAAA >hg38_chr11:13418636-13418646(+) TCACAATGGCA >hg38_chr11:13418664-13418674(+) AGACAATGATA >hg38_chr11:13419068-13419078(+) aaacaatgctg >hg38_chr11:13429582-13429592(+) atacaataggt >hg38_chr11:13430893-13430903(+) ttacaaagggc >hg38_chr11:13434687-13434697(+) aaacaatggga >hg38_chr11:13439688-13439698(+) AAACAATAAAA >hg38_chr11:13445258-13445268(-) TCACAATAATA >hg38_chr11:13460781-13460791(+) AGACAATGTGG >hg38_chr11:13462714-13462724(-) TCACAATAGGG >hg38_chr11:13463283-13463293(+) TCACAATGCGA >hg38_chr11:13504142-13504152(+) CAACAATGGAA >hg38_chr11:13604183-13604193(-) GTACAATGATG >hg38_chr11:13604213-13604223(-) TAACAATGCTG >hg38_chr11:13618438-13618448(-) acacaatgaca >hg38_chr11:13641161-13641171(-) ctacaatggtg >hg38_chr11:13664154-13664164(+) GAACAATGACC >hg38_chr11:13664211-13664221(+) TCACAATGATG >hg38_chr11:13682185-13682195(-) ATACAATAATA >hg38_chr11:13682233-13682243(+) TAACAATAGTA >hg38_chr11:13685854-13685864(-) ATACAATGGAC >hg38_chr11:13694025-13694035(-) aaacaatgctc >hg38_chr11:13701089-13701099(+) AAATAATGGTT >hg38_chr11:13701820-13701830(-) gtacaatggat >hg38_chr11:13701848-13701858(-) ttacaatgctg >hg38_chr11:13705548-13705558(-) AAACAATGGGG >hg38_chr11:13705916-13705926(-) AAACAATGGGG >hg38_chr11:13710063-13710073(-) GAACAATAAAA >hg38_chr11:13710834-13710844(-) CAACAATCGAT >hg38_chr11:13711947-13711957(+) GAACAATACGT >hg38_chr11:13711963-13711973(+) CAACAATGCCC >hg38_chr11:13721964-13721974(-) AAATAATGGCT >hg38_chr11:13731447-13731457(-) AAACAAAGGCA >hg38_chr11:13731491-13731501(-) CTATAATGGAA >hg38_chr11:13731503-13731513(-) ATACAATAAAT >hg38_chr11:13742295-13742305(+) GGACAATAGCT >hg38_chr11:13742870-13742880(+) tgacaatgtgt >hg38_chr11:13769380-13769390(-) GAACAATAGGG >hg38_chr11:13873520-13873530(-) GAACAATAGCA >hg38_chr11:13917536-13917546(-) aaacaatgaca >hg38_chr11:13917644-13917654(-) taacaatgcag >hg38_chr11:13957561-13957571(+) AGACAATAGGC >hg38_chr11:14015740-14015750(-) ATACAATGACT >hg38_chr11:14021946-14021956(-) GGACAATGGGA >hg38_chr11:14071480-14071490(+) TTACAATGCCC >hg38_chr11:14094641-14094651(-) agacaatgact >hg38_chr11:14100899-14100909(-) ggacaatggaa >hg38_chr11:14131846-14131856(-) TTACAAAGGGT >hg38_chr11:14136460-14136470(+) AAACAATGCAG >hg38_chr11:14189077-14189087(-) taacaatagat >hg38_chr11:14208712-14208722(-) taaTAATGATA >hg38_chr11:14208741-14208751(-) aaacaatgggc >hg38_chr11:14208748-14208758(-) gtacaataaac >hg38_chr11:14242414-14242424(+) ACACAATGGAC >hg38_chr11:14242422-14242432(-) ACACAATAGTC >hg38_chr11:14267012-14267022(-) AAACAATAGTT >hg38_chr11:14267084-14267094(+) ATACAATGCTC >hg38_chr11:14299156-14299166(-) AAACAATAGTT >hg38_chr11:14332201-14332211(+) aaacaatgact >hg38_chr11:14336782-14336792(+) tcacaatgaga >hg38_chr11:14337260-14337270(-) ggacaaaggga >hg38_chr11:14337444-14337454(+) taacaatgcaa >hg38_chr11:14337457-14337467(+) tcacaatgcct >hg38_chr11:14367492-14367502(-) atacaaaggaa >hg38_chr11:14387358-14387368(+) TAACAAAGGAA >hg38_chr11:14387386-14387396(+) GAACAATAGGA >hg38_chr11:14417777-14417787(+) ggacaatgagg >hg38_chr11:14455438-14455448(+) CAACAATGTGC >hg38_chr11:14488055-14488065(+) CTACAATGTCA >hg38_chr11:14489430-14489440(+) tcacaatagca >hg38_chr11:14502378-14502388(-) ATACAAAGATA >hg38_chr11:14508974-14508984(+) tcacaatgctt >hg38_chr11:14542492-14542502(-) ACACAATAGGG >hg38_chr11:14644333-14644343(-) GGACAAAGGCA >hg38_chr11:14657181-14657191(+) GTACACTGGGA >hg38_chr11:14744545-14744555(-) ttacaatggca >hg38_chr11:14753082-14753092(-) AAACAAAGGGG >hg38_chr11:14767334-14767344(-) atacaatagca >hg38_chr11:14767401-14767411(-) aaacaatataa >hg38_chr11:14804754-14804764(+) taacaatgatg >hg38_chr11:14804783-14804793(+) gaataatggcc >hg38_chr11:14873804-14873814(+) ggacaatgagc >hg38_chr11:14887097-14887107(+) GGACAATGCAG >hg38_chr11:14888843-14888853(-) GGACAATAAAA >hg38_chr11:14948894-14948904(+) tcacaatgtac >hg38_chr11:14990191-14990201(-) gaacaataact >hg38_chr11:14999164-14999174(-) atacaatgaac >hg38_chr11:14999182-14999192(-) acacaatgtgg >hg38_chr11:14999458-14999468(-) tgacaatgcta >hg38_chr11:15027913-15027923(+) AGATAATGGTA >hg38_chr11:15027968-15027978(+) AAACAATGAAC >hg38_chr11:15039198-15039208(+) GCACAATAGTT >hg38_chr11:15061887-15061897(+) gaacaatgcct >hg38_chr11:15132860-15132870(-) taacaatgatg >hg38_chr11:15133517-15133527(-) CAACAATGAGA >hg38_chr11:15133526-15133536(+) TGACAATGTTG >hg38_chr11:15143002-15143012(-) agacaatggta >hg38_chr11:15173075-15173085(-) aaacaatgcaa >hg38_chr11:15222607-15222617(-) TTACAATGTGA >hg38_chr11:15258953-15258963(+) TAACAATACAA >hg38_chr11:15259203-15259213(-) AAACAAAGGAA >hg38_chr11:15275248-15275258(+) AAACAAAGGCT >hg38_chr11:15412310-15412320(-) GCACAATAGAT >hg38_chr11:15501583-15501593(-) ACACAATGTCT >hg38_chr11:15501602-15501612(-) AAACAATGGGT >hg38_chr11:15550858-15550868(-) ctacaatcgta >hg38_chr11:15550874-15550884(-) caacaatgaga >hg38_chr11:15552650-15552660(-) taacaaaggcc >hg38_chr11:15566143-15566153(+) TAACAATGGTG >hg38_chr11:15615566-15615576(+) GAACAAAGGGA >hg38_chr11:15622595-15622605(-) AAACAATGTTG >hg38_chr11:15636924-15636934(+) tcacaatggcc >hg38_chr11:15636954-15636964(+) caacaatagta >hg38_chr11:15711547-15711557(-) AGACAAAGGAT >hg38_chr11:15719236-15719246(+) GAACAATGCTT >hg38_chr11:15719265-15719275(-) ACACAATAAAA >hg38_chr11:15730462-15730472(+) GAACAATAAAA >hg38_chr11:15754144-15754154(-) gaactatggga >hg38_chr11:15777801-15777811(+) GCACAACGGAT >hg38_chr11:15815254-15815264(-) ATACAATGGCT >hg38_chr11:15819915-15819925(+) gaacaatgttt >hg38_chr11:15836658-15836668(-) gaacaatgaca >hg38_chr11:15836738-15836748(-) aaacaatgtcc >hg38_chr11:15843498-15843508(+) TGACAATGGCA >hg38_chr11:15865372-15865382(+) gtacaatacat >hg38_chr11:15865428-15865438(+) gcacaatgcac >hg38_chr11:15872083-15872093(-) TTACAATGTCC >hg38_chr11:15923291-15923301(+) GGACAATGAAT >hg38_chr11:15932352-15932362(-) agacaatgtct >hg38_chr11:15932372-15932382(+) atataatagta >hg38_chr11:15932387-15932397(+) caacaatagca >hg38_chr11:15967603-15967613(+) GTACAATAAAG >hg38_chr11:15967644-15967654(+) TGACAAAGGAA >hg38_chr11:15967654-15967664(+) AGACAAAGGGA >hg38_chr11:15970394-15970404(+) ATACAATACAA >hg38_chr11:15970399-15970409(+) ATACAATGCTA >hg38_chr11:15995794-15995804(+) aaacaatgaag >hg38_chr11:16016042-16016052(-) GAACAATAGAC >hg38_chr11:16016752-16016762(-) AAACAAAGGTT >hg38_chr11:16016765-16016775(-) AAACAATGTGA >hg38_chr11:16028533-16028543(+) GAACAATGATG >hg38_chr11:16028545-16028555(-) TAATAATGTAC >hg38_chr11:16041315-16041325(-) ATACAATTGAT >hg38_chr11:16041349-16041359(+) TGACAATGCGA >hg38_chr11:16041492-16041502(+) GAACAATGGCT >hg38_chr11:16041525-16041535(+) TTACAATGTGC >hg38_chr11:16063335-16063345(+) ACATAATGGTC >hg38_chr11:16074562-16074572(+) caacaatgtcc >hg38_chr11:16074606-16074616(+) gcacaatagcc >hg38_chr11:16085840-16085850(-) GCACAATGGAT >hg38_chr11:16086311-16086321(-) GGACAATAGGA >hg38_chr11:16096634-16096644(+) GGACAATAGTT >hg38_chr11:16097699-16097709(+) GAACAATGCAT >hg38_chr11:16097718-16097728(+) AGACAATGCAC >hg38_chr11:16110858-16110868(-) GAACAAAGGCG >hg38_chr11:16111156-16111166(-) GCATAATGGTT >hg38_chr11:16125619-16125629(-) AAACAATGTTA >hg38_chr11:16126440-16126450(+) TAACAATAGGT >hg38_chr11:16126682-16126692(-) ATACAATAAAT >hg38_chr11:16161584-16161594(+) GGACAAAGGAA >hg38_chr11:16179992-16180002(-) TAACGATGGAA >hg38_chr11:16181056-16181066(-) AAACAAAGGGA >hg38_chr11:16242402-16242412(+) tgacaatgaaa >hg38_chr11:16244852-16244862(-) caacaatgaag >hg38_chr11:16244868-16244878(+) ttacaataagt >hg38_chr11:16245973-16245983(-) gaacaaaggac >hg38_chr11:16245981-16245991(-) gaacaaaggaa >hg38_chr11:16246158-16246168(+) atacaatgtaa >hg38_chr11:16274732-16274742(+) ATACAAAGGTC >hg38_chr11:16278872-16278882(+) AAACAATAACC >hg38_chr11:16279147-16279157(+) TAACAATGAAA >hg38_chr11:16280618-16280628(+) AAACAATGACT >hg38_chr11:16287384-16287394(+) TAACAATGGTC >hg38_chr11:16306104-16306114(+) gtacaataatg >hg38_chr11:16357089-16357099(-) CAACAATGATG >hg38_chr11:16366171-16366181(-) GAACAATAGCC >hg38_chr11:16381955-16381965(+) ATACAATAGAT >hg38_chr11:16382311-16382321(-) GGACAAAGGCA >hg38_chr11:16383024-16383034(+) ATACAATGGTG >hg38_chr11:16384758-16384768(+) GAACAACGGGA >hg38_chr11:16402969-16402979(-) GAACAATGGAC >hg38_chr11:16403325-16403335(-) CAACAATAGGT >hg38_chr11:16421558-16421568(-) AGATAATGGGC >hg38_chr11:16441697-16441707(+) AAACAATAAAA >hg38_chr11:16470191-16470201(-) TGACAACGGGA >hg38_chr11:16507921-16507931(+) aaacaatgaac >hg38_chr11:16507941-16507951(+) agacaatacgt >hg38_chr11:16512086-16512096(+) GGACAATAGAA >hg38_chr11:16558950-16558960(+) GAACAATGCAG >hg38_chr11:16559592-16559602(+) ATACAATGATT >hg38_chr11:16559602-16559612(-) GAATAATGGAA >hg38_chr11:16612250-16612260(-) GAACAAAGGGA >hg38_chr11:16618594-16618604(+) TAACAATGAAA >hg38_chr11:16618910-16618920(+) GTACAATATAC >hg38_chr11:16622117-16622127(+) tgacaatggac >hg38_chr11:16669960-16669970(+) gaacaaagggc >hg38_chr11:16700784-16700794(+) tgataatggct >hg38_chr11:16704342-16704352(+) TTACAATGCAA >hg38_chr11:16743595-16743605(-) tcataatggca >hg38_chr11:16784323-16784333(-) AGACAATGGTG >hg38_chr11:16812511-16812521(+) GAACAATAGGC >hg38_chr11:16820608-16820618(-) GAACAATGGTT >hg38_chr11:16897993-16898003(+) AGACAATAGAA >hg38_chr11:16902204-16902214(-) atataatggaa >hg38_chr11:16948510-16948520(-) TTACTATGGCC >hg38_chr11:16978478-16978488(+) GAATAATGGCA >hg38_chr11:16981804-16981814(-) AGACAATGGTG >hg38_chr11:17096736-17096746(+) AGACAATGTGT >hg38_chr11:17097394-17097404(-) GAACAAAGGGA >hg38_chr11:17103688-17103698(+) taacaattgta >hg38_chr11:17122959-17122969(-) GTACAATGTGG >hg38_chr11:17129007-17129017(+) CAACAATGTAG >hg38_chr11:17151142-17151152(+) AGACAATGGTT >hg38_chr11:17171378-17171388(+) ACACAATGCTT >hg38_chr11:17171638-17171648(+) GCACaatgtat >hg38_chr11:17196337-17196347(-) gaacaataggg >hg38_chr11:17208299-17208309(-) GAACAATAGGG >hg38_chr11:17209369-17209379(-) GAACAATGCTG >hg38_chr11:17235493-17235503(-) CCACAATGTGC >hg38_chr11:17242928-17242938(+) ACACAATGGCT >hg38_chr11:17293685-17293695(+) atacaatggac >hg38_chr11:17421413-17421423(+) TTATAATGGCA >hg38_chr11:17569479-17569489(+) GGACAGTGGAC >hg38_chr11:17580379-17580389(-) AAACAATGCTA >hg38_chr11:17680070-17680080(-) GTACAGTGGAC >hg38_chr11:17770257-17770267(+) GAACAAAGGTG >hg38_chr11:17790514-17790524(-) CAACAATGATA >hg38_chr11:17790538-17790548(+) TAACAATGGAA >hg38_chr11:17801359-17801369(+) ttacaaaggca >hg38_chr11:17865694-17865704(+) gtacaatgtgt >hg38_chr11:17897524-17897534(+) atataatggaa >hg38_chr11:17921377-17921387(-) tcacaatggcc >hg38_chr11:17929573-17929583(-) AAACAATGAAT >hg38_chr11:17929622-17929632(-) atataatggga >hg38_chr11:17935776-17935786(+) caacaatgaaa >hg38_chr11:17979934-17979944(+) AAACAATGCTT >hg38_chr11:17989491-17989501(+) tgacactggta >hg38_chr11:17993142-17993152(-) CTACAATGCCA >hg38_chr11:18009524-18009534(+) CAACAATGACT >hg38_chr11:18009580-18009590(-) aAATAATGACG >hg38_chr11:18020083-18020093(+) TAACAATGCTT >hg38_chr11:18020113-18020123(-) TTATAATGGGA >hg38_chr11:18068618-18068628(+) GCACAATCGTT >hg38_chr11:18087045-18087055(+) GGACAATGTAC >hg38_chr11:18094606-18094616(+) GAACAAAGGAT >hg38_chr11:18095784-18095794(-) GAACAATAGAT >hg38_chr11:18117925-18117935(-) GGACAATAGAC >hg38_chr11:18219067-18219077(+) taacaatgcat >hg38_chr11:18259014-18259024(-) CTACAATAGAT >hg38_chr11:18259097-18259107(-) TTACAATGACA >hg38_chr11:18308198-18308208(-) GTACAATGAAT >hg38_chr11:18320998-18321008(+) CAACAATGGAT >hg38_chr11:18321737-18321747(+) TCACAATGGGA >hg38_chr11:18348646-18348656(+) ATACAATAGAG >hg38_chr11:18458486-18458496(+) TAACTATGGCA >hg38_chr11:18464016-18464026(+) AGACAATGGAT >hg38_chr11:18464307-18464317(+) ctacaatgaac >hg38_chr11:18464323-18464333(-) acacaataggc >hg38_chr11:18494479-18494489(+) ACACAATAGCT >hg38_chr11:18517525-18517535(+) ctacaatggac >hg38_chr11:18517545-18517555(+) gaacactggtc >hg38_chr11:18526888-18526898(+) ACACAATCGCA >hg38_chr11:18564678-18564688(-) GAACAATGGGC >hg38_chr11:18568408-18568418(+) Taacaatggat >hg38_chr11:18588112-18588122(+) GCACAATGTCT >hg38_chr11:18608158-18608168(-) TTACAATGGTA >hg38_chr11:18624374-18624384(-) AAACAATGAAG >hg38_chr11:18721171-18721181(+) CCACAATGGTC >hg38_chr11:18722205-18722215(-) ACACAATGGCC >hg38_chr11:18752662-18752672(+) AAACAAAGGCT >hg38_chr11:18757193-18757203(-) agacaatggat >hg38_chr11:18764112-18764122(-) ccacaatggat >hg38_chr11:18764132-18764142(-) agacaatggag >hg38_chr11:18806381-18806391(-) tcacaatggct >hg38_chr11:18812815-18812825(-) ttaccatggta >hg38_chr11:18853477-18853487(-) caacaatgcta >hg38_chr11:18866126-18866136(-) GAACAAAGGCA >hg38_chr11:18866479-18866489(-) ttacaatagat >hg38_chr11:18912425-18912435(+) ATACAATGTAA >hg38_chr11:18958318-18958328(+) GGACAATAATA >hg38_chr11:18958326-18958336(+) ATACATTGGTA >hg38_chr11:19011475-19011485(-) caacaatgtgc >hg38_chr11:19036627-19036637(+) aaactatggaa >hg38_chr11:19036648-19036658(+) ggacaatgatt >hg38_chr11:19049561-19049571(+) taacaaaggaa >hg38_chr11:19069231-19069241(+) atacaatgttt >hg38_chr11:19119787-19119797(+) gaacaatgcct >hg38_chr11:19121440-19121450(+) ctacaatagtg >hg38_chr11:19121748-19121758(-) TTACAATAGTC >hg38_chr11:19124155-19124165(+) atacaatggaa >hg38_chr11:19124163-19124173(+) gaacaatagtg >hg38_chr11:19150623-19150633(+) AAATAATGGTA >hg38_chr11:19151926-19151936(-) TAACAATGCAG >hg38_chr11:19168343-19168353(-) AAACAAAGGTG >hg38_chr11:19187975-19187985(-) GAACAATGTGT >hg38_chr11:19188007-19188017(-) CAACAATAGGA >hg38_chr11:19190298-19190308(+) AGACAAAGGAG >hg38_chr11:19283514-19283524(-) ggacaaaggac >hg38_chr11:19296146-19296156(-) ggacaatggga >hg38_chr11:19296955-19296965(+) GCACAATAGAT >hg38_chr11:19355772-19355782(+) GAACAATGCCC >hg38_chr11:19359301-19359311(-) TCACAATAATA >hg38_chr11:19370425-19370435(-) GCACAATAGCT >hg38_chr11:19395238-19395248(-) TGACAATGAAC >hg38_chr11:19430991-19431001(+) acacaatagaa >hg38_chr11:19435433-19435443(-) acacaatggaa >hg38_chr11:19436881-19436891(-) taacaatgaac >hg38_chr11:19437546-19437556(+) GGACAATAGTC >hg38_chr11:19440945-19440955(-) AAACAATGACA >hg38_chr11:19446770-19446780(-) TTACAATGACC >hg38_chr11:19446782-19446792(-) GGACAATGGCC >hg38_chr11:19457454-19457464(+) gaacaatggtc >hg38_chr11:19501061-19501071(-) gaacaaaggaa >hg38_chr11:19508346-19508356(-) GGACAAAGGTC >hg38_chr11:19540013-19540023(+) AGACAATAGGC >hg38_chr11:19552012-19552022(-) AGACAAAGGGA >hg38_chr11:19632579-19632589(-) CCACAATGAAA >hg38_chr11:19644397-19644407(-) GAACAAAGGCT >hg38_chr11:19667134-19667144(-) tgacaatgggg >hg38_chr11:19676581-19676591(+) GTACAATAGTG >hg38_chr11:19676601-19676611(-) TAACAAAGGCT >hg38_chr11:19686438-19686448(-) ACACAATGGGC >hg38_chr11:19706205-19706215(-) ATATAATGTAA >hg38_chr11:19706218-19706228(-) AAACAATGGCT >hg38_chr11:19706465-19706475(-) atacaatgata >hg38_chr11:19706505-19706515(-) aaacaatataa >hg38_chr11:19707817-19707827(+) ctacaatgtta >hg38_chr11:19720310-19720320(+) AGACAATAGTG >hg38_chr11:19730457-19730467(+) TGACAATGGGC >hg38_chr11:19732982-19732992(-) AGACAATAAAA >hg38_chr11:19736037-19736047(-) GAACAAAGGCT >hg38_chr11:19740065-19740075(-) GTACAAAGGAT >hg38_chr11:19740649-19740659(+) GTACAATGGGG >hg38_chr11:19761584-19761594(+) caacaatggcc >hg38_chr11:19771841-19771851(-) ACACAATGTCA >hg38_chr11:19809043-19809053(-) AAACAATAAGT >hg38_chr11:19811007-19811017(-) ctacaatgcac >hg38_chr11:19817470-19817480(-) AGACACTGGAC >hg38_chr11:19832336-19832346(+) GCACAATGCAC >hg38_chr11:19845028-19845038(+) GGACAATAGGA >hg38_chr11:19845037-19845047(-) GAACAATGATC >hg38_chr11:19845064-19845074(+) AAACAATGACA >hg38_chr11:19845824-19845834(+) TCATAATGGGC >hg38_chr11:19845836-19845846(-) ACACAATGCCA >hg38_chr11:19866244-19866254(-) ctacaatgcac >hg38_chr11:19866307-19866317(-) tcacaatggga >hg38_chr11:19868403-19868413(+) GCACAAAGGAC >hg38_chr11:19872745-19872755(-) GAACAAAGGCT >hg38_chr11:19873920-19873930(+) GGACAATGCTG >hg38_chr11:19896308-19896318(+) aaacaataact >hg38_chr11:19905575-19905585(-) GAACAATAGGA >hg38_chr11:19952212-19952222(-) cAACAATGCCT >hg38_chr11:20011544-20011554(-) gtacaatgagt >hg38_chr11:20013490-20013500(-) CTACAATGAAA >hg38_chr11:20027333-20027343(+) GAACAATAGCC >hg38_chr11:20038090-20038100(-) GAACAAAGGCA >hg38_chr11:20048183-20048193(-) CCACAATAGAA >hg38_chr11:20050296-20050306(-) AGACAAAGGTG >hg38_chr11:20058888-20058898(-) taacaatagct >hg38_chr11:20129007-20129017(+) taacaatcgcg >hg38_chr11:20164448-20164458(-) AGACAATAGTC >hg38_chr11:20167824-20167834(+) gcataatggga >hg38_chr11:20167834-20167844(+) atataatggct >hg38_chr11:20167877-20167887(-) aaacaaaggat >hg38_chr11:20274222-20274232(+) GGACAATGGCC >hg38_chr11:20277002-20277012(-) ATACTATGGTG >hg38_chr11:20303604-20303614(+) TGACAAAGGAT >hg38_chr11:20310879-20310889(+) TCACAAAGGCG >hg38_chr11:20321600-20321610(+) TGacaatagcg >hg38_chr11:20384998-20385008(+) CCACAATGGGT >hg38_chr11:20400602-20400612(-) ATACAATAAAG >hg38_chr11:20403199-20403209(+) TTACAATGGCG >hg38_chr11:20411907-20411917(+) TGATAATGGAT >hg38_chr11:20443080-20443090(+) caacaatggaa >hg38_chr11:20445371-20445381(-) atataatagta >hg38_chr11:20466919-20466929(-) ATATAATGTAA >hg38_chr11:20474794-20474804(+) gaacaatggca >hg38_chr11:20502993-20503003(+) TAACACTGGAA >hg38_chr11:20560397-20560407(-) TAACATTGGTA >hg38_chr11:20560892-20560902(+) aaacaatggta >hg38_chr11:20598317-20598327(-) GGACAATGCCG >hg38_chr11:20610178-20610188(-) CGATAATGATC >hg38_chr11:20648193-20648203(-) AAACAAAGGCC >hg38_chr11:20658271-20658281(-) GGACAATGACC >hg38_chr11:20679305-20679315(-) TTACTATGGTA >hg38_chr11:20722567-20722577(-) CTACAATGAGa >hg38_chr11:20741437-20741447(-) TCACAATGGAA >hg38_chr11:20762316-20762326(+) AAACAAAGGCT >hg38_chr11:20770686-20770696(+) GGACAGTGGAA >hg38_chr11:20835708-20835718(+) gcacaatggga >hg38_chr11:20914524-20914534(-) ttacaatatat >hg38_chr11:21121227-21121237(-) ATACAGTGGGC >hg38_chr11:21160466-21160476(-) acacaatgaaa >hg38_chr11:21240667-21240677(+) ATACAATATAT >hg38_chr11:21367442-21367452(+) TTACAAAGGGC >hg38_chr11:21381235-21381245(-) AAACAATGCAA >hg38_chr11:21398337-21398347(-) GAACAATACGT >hg38_chr11:21465755-21465765(-) TAACAATGCTT >hg38_chr11:21465770-21465780(-) GAACAATAGCA >hg38_chr11:21511845-21511855(-) GAACAATATTA >hg38_chr11:21511877-21511887(+) ACACAATAGAA >hg38_chr11:21511897-21511907(+) GGACAATACGG >hg38_chr11:21531505-21531515(-) ATACTATGGAG >hg38_chr11:21548849-21548859(-) taacaaagggt >hg38_chr11:21566878-21566888(-) GAACAATGGCA >hg38_chr11:21583007-21583017(-) gaacaaaggaa >hg38_chr11:21613838-21613848(-) GCACTATGGAA >hg38_chr11:21654345-21654355(-) AAACAATAACT >hg38_chr11:21708625-21708635(+) taacaataaaa >hg38_chr11:21784150-21784160(-) GAACAATGAGG >hg38_chr11:21852591-21852601(+) agacaatgagt >hg38_chr11:21893369-21893379(-) GAACTATGGCT >hg38_chr11:21893410-21893420(+) ATACAATAAAT >hg38_chr11:21893448-21893458(+) ACACAATAGCA >hg38_chr11:21990635-21990645(-) ccacaatgact >hg38_chr11:22043655-22043665(+) gtacaattgac >hg38_chr11:22130858-22130868(-) gcataatggct >hg38_chr11:22153148-22153158(-) AAACAATAAGT >hg38_chr11:22170010-22170020(-) TAACAATACAT >hg38_chr11:22176091-22176101(-) GAACAATAGCA >hg38_chr11:22179777-22179787(+) gaacaatgcca >hg38_chr11:22183508-22183518(+) gaacaatggca >hg38_chr11:22184511-22184521(-) AAACAATGAAA >hg38_chr11:22198718-22198728(-) GAACAATGCAT >hg38_chr11:22224544-22224554(-) gaactatggat >hg38_chr11:22224759-22224769(-) caacaatgggc >hg38_chr11:22249118-22249128(+) TAACAATGCAA >hg38_chr11:22249126-22249136(+) CAACAATGTTC >hg38_chr11:22275093-22275103(-) tgacAATGTTG >hg38_chr11:22302801-22302811(-) atacaatagga >hg38_chr11:22303843-22303853(+) taataatggta >hg38_chr11:22308866-22308876(+) acacagtggaa >hg38_chr11:22331742-22331752(+) GTATAATGGTG >hg38_chr11:22331757-22331767(-) TAATAATGGTA >hg38_chr11:22332846-22332856(-) TTACAATGAGA >hg38_chr11:22346498-22346508(-) AGACAAAGGAA >hg38_chr11:22386218-22386228(+) GTACAATACTA >hg38_chr11:22408159-22408169(+) AGACAATGCTT >hg38_chr11:22431895-22431905(-) ATACAATGAGA >hg38_chr11:22432344-22432354(+) GAACAATAGTT >hg38_chr11:22457624-22457634(+) AGACAATGGAG >hg38_chr11:22505024-22505034(-) taacaatagca >hg38_chr11:22505076-22505086(-) ccacaatggca >hg38_chr11:22511966-22511976(+) AAACAATGGCC >hg38_chr11:22516240-22516250(+) CGACAATAGGA >hg38_chr11:22610979-22610989(-) acacaatggag >hg38_chr11:22625166-22625176(+) AGACAAAGGCG >hg38_chr11:22640893-22640903(-) TTACAATGCCC >hg38_chr11:22653724-22653734(+) AGACAATAGGt >hg38_chr11:22654199-22654209(+) caacaatagta >hg38_chr11:22667592-22667602(+) GAACAAAGGAG >hg38_chr11:22676992-22677002(-) TGACAATAGTT >hg38_chr11:22703781-22703791(-) AAACAATAGAA >hg38_chr11:22728828-22728838(+) GGACAATAAGA >hg38_chr11:22777988-22777998(+) gaacaatgtta >hg38_chr11:22787704-22787714(+) AAACAATGCAG >hg38_chr11:22796319-22796329(-) aaacaatgcct >hg38_chr11:22851204-22851214(+) AAACAATAACT >hg38_chr11:22858435-22858445(-) ACACAATGGTG >hg38_chr11:22876100-22876110(-) AAACAATAACT >hg38_chr11:22887176-22887186(+) tgacaatgtgt >hg38_chr11:22919114-22919124(+) TGACAATAGTG >hg38_chr11:22919293-22919303(-) AAACAATAATA >hg38_chr11:22921091-22921101(+) gtacaatgcag >hg38_chr11:22924890-22924900(+) aaacaatgctt >hg38_chr11:22928679-22928689(-) gaacaatagca >hg38_chr11:22949515-22949525(-) AAACAATGCAC >hg38_chr11:23079549-23079559(-) AGACAATGCAG >hg38_chr11:23106214-23106224(-) ATACAATAACA >hg38_chr11:23106240-23106250(+) GCACAATGATC >hg38_chr11:23125034-23125044(-) TAACAATGAAC >hg38_chr11:23127388-23127398(-) taacaatgtcc >hg38_chr11:23146114-23146124(-) TAACAATAATC >hg38_chr11:23146975-23146985(+) gtacaatagat >hg38_chr11:23226575-23226585(-) gaacaatagcc >hg38_chr11:23234716-23234726(+) ttacaatgggc >hg38_chr11:23237385-23237395(-) ATACAAAGGGC >hg38_chr11:23254573-23254583(+) ATACAATGTTC >hg38_chr11:23285360-23285370(-) GAACAATGAAT >hg38_chr11:23288033-23288043(-) ctacaatagtg >hg38_chr11:23294670-23294680(-) gaataatgtac >hg38_chr11:23307560-23307570(-) AAATAATGGCA >hg38_chr11:23308246-23308256(-) tgacaatgctg >hg38_chr11:23314818-23314828(+) TGACAATGGTT >hg38_chr11:23343873-23343883(-) gtacaataagt >hg38_chr11:23343906-23343916(+) aaacaataggc >hg38_chr11:23417879-23417889(+) ATACAATGTAA >hg38_chr11:23449228-23449238(-) gaacaatgcac >hg38_chr11:23449246-23449256(+) tcacgatggaa >hg38_chr11:23455375-23455385(-) AAACAATGCCC >hg38_chr11:23455643-23455653(+) ACACAAAGGTC >hg38_chr11:23460518-23460528(+) GTACAATAGCT >hg38_chr11:23501252-23501262(-) GTATAATGAAT >hg38_chr11:23501302-23501312(+) AAACAATAACT >hg38_chr11:23506079-23506089(+) ACACAATAGGA >hg38_chr11:23509677-23509687(-) GTACAATATTC >hg38_chr11:23517157-23517167(-) aaacaaaggag >hg38_chr11:23517586-23517596(-) agataatggat >hg38_chr11:23517595-23517605(-) acacaatagag >hg38_chr11:23517603-23517613(-) ttacaatgaca >hg38_chr11:23558719-23558729(-) GAACAATGGCA >hg38_chr11:23571998-23572008(+) ctacaatgaac >hg38_chr11:23620033-23620043(-) ACACAATAAAT >hg38_chr11:23620891-23620901(+) ACACAATAATA >hg38_chr11:23623226-23623236(-) aaacaaaggaa >hg38_chr11:23644665-23644675(-) ACACAATGCAG >hg38_chr11:23645110-23645120(-) GAACACTGGAA >hg38_chr11:23645160-23645170(+) TAACAATATCT >hg38_chr11:23668366-23668376(+) ACACAATGCTC >hg38_chr11:23684100-23684110(-) GAACAATGGGG >hg38_chr11:23684279-23684289(+) TGACAATAGTT >hg38_chr11:23689782-23689792(+) ACACAAAGGCA >hg38_chr11:23715116-23715126(+) TAATAATGTAA >hg38_chr11:23715130-23715140(-) ACACAATAGTC >hg38_chr11:23764496-23764506(+) agacaatgggt >hg38_chr11:23777567-23777577(-) AGACAATGCCT >hg38_chr11:23784584-23784594(-) GAACAATGACC >hg38_chr11:23798636-23798646(+) AAACAATGCCC >hg38_chr11:23807063-23807073(+) caacaatggtt >hg38_chr11:23899908-23899918(-) aaacaatgtgg >hg38_chr11:23900699-23900709(+) TTACAATGTCA >hg38_chr11:23900730-23900740(+) TAACAATGAAC >hg38_chr11:23913296-23913306(-) tcacaatgata >hg38_chr11:23914387-23914397(-) ttacaatagct >hg38_chr11:23914396-23914406(+) aaacaatgctg >hg38_chr11:23915867-23915877(+) gaacaaaggta >hg38_chr11:23932675-23932685(-) gaacaatatat >hg38_chr11:23932847-23932857(-) TTACAATGTCA >hg38_chr11:23973409-23973419(-) agacaaaggca >hg38_chr11:23991009-23991019(+) GTATAATGGTA >hg38_chr11:23991082-23991092(-) ttacaatgcaa >hg38_chr11:24030091-24030101(+) AGACAATGAAT >hg38_chr11:24030116-24030126(-) TTACAAAGGAT >hg38_chr11:24046863-24046873(-) ACACAATGTAC >hg38_chr11:24078131-24078141(+) ACACTATGGAA >hg38_chr11:24080275-24080285(-) GCACAATAATA >hg38_chr11:24144260-24144270(+) GTACAATAAAG >hg38_chr11:24236625-24236635(+) caacaatagaa >hg38_chr11:24239227-24239237(-) caacaatgagt >hg38_chr11:24243892-24243902(-) CAACAATAGCA >hg38_chr11:24297594-24297604(-) AAACAATATTA >hg38_chr11:24423214-24423224(-) acagaatggcg >hg38_chr11:24423532-24423542(+) caacaatgaaa >hg38_chr11:24447465-24447475(-) acacaaaggaa >hg38_chr11:24447874-24447884(-) AAACAATGAAC >hg38_chr11:24457851-24457861(-) gaacaatgggc >hg38_chr11:24481167-24481177(+) GTACAGTGGAT >hg38_chr11:24526807-24526817(+) ccacaatggag >hg38_chr11:24526843-24526853(-) gaacaatggga >hg38_chr11:24678226-24678236(-) ttactatgggc >hg38_chr11:25050058-25050068(+) AAACAATGAGA >hg38_chr11:25344859-25344869(-) tgacaatggag >hg38_chr11:25526474-25526484(+) CCACAATGACT >hg38_chr11:25597947-25597957(-) AGACAATGCCA >hg38_chr11:25597960-25597970(+) GGACAATGGGA >hg38_chr11:25889164-25889174(+) GTACAATGCAG >hg38_chr11:25937656-25937666(-) GGACAATAAGA >hg38_chr11:25937669-25937679(+) AGACAATGCCA >hg38_chr11:25939187-25939197(-) AAACAATGAGC >hg38_chr11:25949056-25949066(+) taacaatgagg >hg38_chr11:25949097-25949107(+) ttacaatagcc >hg38_chr11:25969868-25969878(+) tcacaatgtgt >hg38_chr11:25970799-25970809(+) TAACAATGAAC >hg38_chr11:25984202-25984212(-) GGACAATGTCC >hg38_chr11:26014942-26014952(+) TTACAATGAAT >hg38_chr11:26028851-26028861(-) ACACAATATAT >hg38_chr11:26068987-26068997(+) tgacaatagga >hg38_chr11:26074001-26074011(+) AAACAAAGGCA >hg38_chr11:26074243-26074253(+) acacaataggc >hg38_chr11:26108101-26108111(+) GGACAATAGAG >hg38_chr11:26126259-26126269(+) cgacaaaggca >hg38_chr11:26126544-26126554(-) atacaatagtg >hg38_chr11:26195535-26195545(+) TAACAATAACA >hg38_chr11:26227083-26227093(+) gcacaatgtct >hg38_chr11:26231496-26231506(+) GAACAATAGCA >hg38_chr11:26273481-26273491(-) caacaatgaca >hg38_chr11:26285776-26285786(-) TGACAAAGGGA >hg38_chr11:26297387-26297397(+) ATACAATGATC >hg38_chr11:26297789-26297799(+) caacaatgcaa >hg38_chr11:26301480-26301490(-) GTACAAAGGTG >hg38_chr11:26319592-26319602(-) AGACAATGTTA >hg38_chr11:26330418-26330428(-) AGACAATGAAC >hg38_chr11:26331147-26331157(+) acacaatgggg >hg38_chr11:26331204-26331214(+) gaacaatagct >hg38_chr11:26334133-26334143(-) AAACAATGTGA >hg38_chr11:26357424-26357434(-) ccacaatagga >hg38_chr11:26390034-26390044(+) AGACAATAAAT >hg38_chr11:26420581-26420591(-) aaacaatagac >hg38_chr11:26457728-26457738(-) gaacaaaggct >hg38_chr11:26467279-26467289(-) acacaaaggat >hg38_chr11:26467350-26467360(-) gcacaataggg >hg38_chr11:26467585-26467595(-) acactatggat >hg38_chr11:26470933-26470943(+) TGACAATAGCC >hg38_chr11:26470972-26470982(+) TAACCATGGTA >hg38_chr11:26545257-26545267(+) GGATAATGGAG >hg38_chr11:26545556-26545566(+) ACACAATAGGT >hg38_chr11:26545588-26545598(+) AAACAATGTCA >hg38_chr11:26545638-26545648(-) ATACAATGAAA >hg38_chr11:26558276-26558286(-) AAATAATGGCC >hg38_chr11:26558362-26558372(+) TTACAAAGGAA >hg38_chr11:26567118-26567128(+) tcacaatggct >hg38_chr11:26579476-26579486(+) TAACAATGACA >hg38_chr11:26580581-26580591(-) AAACAATAGTT >hg38_chr11:26627415-26627425(-) caacaatggtg >hg38_chr11:26627454-26627464(+) gTACAATAAAT >hg38_chr11:26627477-26627487(-) GAACAATGAAC >hg38_chr11:26639744-26639754(+) AAACAATAGCA >hg38_chr11:26657019-26657029(-) ATACAATAGCT >hg38_chr11:26663226-26663236(-) ATACAATAGAT >hg38_chr11:26667509-26667519(+) AAACAAAGGGA >hg38_chr11:26668621-26668631(+) ACACTATGGAA >hg38_chr11:26677732-26677742(+) gaacaataggg >hg38_chr11:26688814-26688824(+) tgacaatagtt >hg38_chr11:26696474-26696484(-) taacaatgcat >hg38_chr11:26696493-26696503(-) gcacaatgatg >hg38_chr11:26718205-26718215(+) CAACAATGATC >hg38_chr11:26741189-26741199(+) AGACAATGGTT >hg38_chr11:26754033-26754043(+) TGACAATGAAA >hg38_chr11:26787569-26787579(+) ttacaatgttt >hg38_chr11:26787611-26787621(-) gaacaaaggga >hg38_chr11:26828532-26828542(+) CAACAAAGGAC >hg38_chr11:26879368-26879378(-) agacaacgggg >hg38_chr11:26947633-26947643(+) ACACAAAGGCA >hg38_chr11:26985932-26985942(+) TAACAGTGGAA >hg38_chr11:27055241-27055251(+) GAACAAAGGTC >hg38_chr11:27076607-27076617(+) agacaatgagt >hg38_chr11:27076645-27076655(-) acacaatggac >hg38_chr11:27096172-27096182(+) TCACAATGATG >hg38_chr11:27100611-27100621(-) TCACAATGGCT >hg38_chr11:27101891-27101901(-) taataatgtat >hg38_chr11:27151587-27151597(+) atacaatggta >hg38_chr11:27180357-27180367(+) AGATAATGGTT >hg38_chr11:27240080-27240090(-) aaacaatgcag >hg38_chr11:27248650-27248660(+) AAACAAAGGCA >hg38_chr11:27248670-27248680(+) TGACAATGAGA >hg38_chr11:27254719-27254729(-) TGACAATAATA >hg38_chr11:27254815-27254825(+) AGACAATGCTT >hg38_chr11:27278017-27278027(+) atacaatgaca >hg38_chr11:27283420-27283430(+) TCACAATGAAG >hg38_chr11:27283453-27283463(-) GGACAATAATA >hg38_chr11:27340109-27340119(-) ACACAATAGAA >hg38_chr11:27348125-27348135(-) AAACAAAGGTT >hg38_chr11:27369950-27369960(+) GTACAATAAAA >hg38_chr11:27387838-27387848(+) CTACAATGCCT >hg38_chr11:27389086-27389096(+) CCACAATGAAA >hg38_chr11:27393031-27393041(+) AAACAATGGCC >hg38_chr11:27430238-27430248(+) GAACAATAGTA >hg38_chr11:27430238-27430248(+) GAACAATAGTA >hg38_chr11:27443962-27443972(+) TAACAATAACC >hg38_chr11:27451608-27451618(-) CAACAATAGTC >hg38_chr11:27465826-27465836(-) ACACAATGGCT >hg38_chr11:27497101-27497111(-) GAACACTGGAT >hg38_chr11:27497129-27497139(+) TAACAATGCTG >hg38_chr11:27501003-27501013(+) AAACAATGGCA >hg38_chr11:27520148-27520158(-) TAATAATGGAC >hg38_chr11:27524382-27524392(+) aaacaatgtgg >hg38_chr11:27570423-27570433(-) gtacaatacat >hg38_chr11:27570449-27570459(-) atacaataata >hg38_chr11:27570454-27570464(-) atacaatacaa >hg38_chr11:27570466-27570476(+) taacaatgtca >hg38_chr11:27596592-27596602(+) TGACAATAGCA >hg38_chr11:27639232-27639242(+) AAATAATGGAT >hg38_chr11:27718681-27718691(-) ACACAAAGGGA >hg38_chr11:27718823-27718833(+) AAACAGTGGTC >hg38_chr11:27740796-27740806(-) AAACAATGGAG >hg38_chr11:27796435-27796445(+) ATACAATAGGT >hg38_chr11:27796521-27796531(+) GAACAAAGGTC >hg38_chr11:27828116-27828126(-) gcacaatggct >hg38_chr11:27848394-27848404(+) ctacaaaggga >hg38_chr11:27913351-27913361(-) AAACAATAGGA >hg38_chr11:27934251-27934261(-) AAACAATGAGC >hg38_chr11:27964423-27964433(+) AGACAATGTTA >hg38_chr11:27964448-27964458(-) TTACAAAGGCC >hg38_chr11:27965746-27965756(-) atacaatgaga >hg38_chr11:28009442-28009452(-) TTACAATGCTG >hg38_chr11:28042891-28042901(-) ATACAATACTC >hg38_chr11:28048155-28048165(+) TTACAATGGTA >hg38_chr11:28049486-28049496(-) TTACAATAACA >hg38_chr11:28050033-28050043(-) GGACAATAGTT >hg38_chr11:28105818-28105828(+) caacaatgctt >hg38_chr11:28108968-28108978(-) GAACAATGAAA >hg38_chr11:28108989-28108999(-) ATACAATAACA >hg38_chr11:28109026-28109036(+) acacaatagta >hg38_chr11:28156850-28156860(+) AGATAATGGCC >hg38_chr11:28227674-28227684(+) gaacaaaggga >hg38_chr11:28318958-28318968(+) TAACAATAAGT >hg38_chr11:28336597-28336607(+) caacaatggac >hg38_chr11:28336613-28336623(+) atacaatggtg >hg38_chr11:28342864-28342874(-) CGACAAAGATA >hg38_chr11:28346685-28346695(+) TAACAATGTTT >hg38_chr11:28354623-28354633(-) atacaataaag >hg38_chr11:28383176-28383186(-) aaacaatgaga >hg38_chr11:28476205-28476215(-) GGACAATGGGC >hg38_chr11:28487806-28487816(+) CTACAATGTTG >hg38_chr11:28518369-28518379(-) AAACAATAAGA >hg38_chr11:28530285-28530295(+) AAACAATGCAG >hg38_chr11:28581700-28581710(+) atacaatgcat >hg38_chr11:28622483-28622493(+) gtacaatatga >hg38_chr11:28628603-28628613(+) TAACAATGGCC >hg38_chr11:28699641-28699651(-) TAACAATGCCC >hg38_chr11:28701089-28701099(-) ggacaataaaa >hg38_chr11:28714232-28714242(-) AAACAATGAAA >hg38_chr11:28715768-28715778(-) taacaatacta >hg38_chr11:28727166-28727176(-) GCACAATGACT >hg38_chr11:28731857-28731867(+) AAACAATAAAA >hg38_chr11:28735338-28735348(-) TAACAATGGCC >hg38_chr11:28743151-28743161(-) GAACAATGGAT >hg38_chr11:28743167-28743177(+) AAACAATAGCA >hg38_chr11:28808758-28808768(+) GCACAATGAAC >hg38_chr11:28823753-28823763(-) AAACAATGATG >hg38_chr11:28823766-28823776(-) GAATAATGGAC >hg38_chr11:28823791-28823801(+) ACACAATGGAC >hg38_chr11:28823809-28823819(-) ACACAATAGTA >hg38_chr11:28832053-28832063(-) gcacaatgcct >hg38_chr11:28842058-28842068(+) taacaatacac >hg38_chr11:28859414-28859424(-) atacaatgtta >hg38_chr11:28937200-28937210(+) aaacaATAAAA >hg38_chr11:28937245-28937255(-) TAATAATGGCT >hg38_chr11:28956909-28956919(-) AGACAATAGCG >hg38_chr11:28961045-28961055(-) GGACAATGTTT >hg38_chr11:28961314-28961324(+) AAACAATAACC >hg38_chr11:28961321-28961331(-) TGACAATGGTT >hg38_chr11:28970044-28970054(-) atacaaaggag >hg38_chr11:29008178-29008188(+) AAACAATAACG >hg38_chr11:29014903-29014913(-) AAACAATAAAA >hg38_chr11:29019329-29019339(+) ACACAATGGAG >hg38_chr11:29041753-29041763(+) ACACAATGGTC >hg38_chr11:29042644-29042654(+) gtacaatatta >hg38_chr11:29042894-29042904(+) ctacaatgaga >hg38_chr11:29130581-29130591(-) tcacaatggta >hg38_chr11:29131290-29131300(-) ACACAATGAAA >hg38_chr11:29164341-29164351(+) gtacaatggca >hg38_chr11:29174440-29174450(-) ACATAATGGAG >hg38_chr11:29175137-29175147(+) CTACAATACGC >hg38_chr11:29188126-29188136(-) AAACAAAGGGA >hg38_chr11:29262134-29262144(+) TCACAATGCCT >hg38_chr11:29318560-29318570(+) CAACAATGGAT >hg38_chr11:29326812-29326822(+) GTACAATGCAA >hg38_chr11:29326828-29326838(-) GTACAATGTGT >hg38_chr11:29336828-29336838(+) GAACAATGCCA >hg38_chr11:29364642-29364652(-) GCACAATAGGG >hg38_chr11:29372766-29372776(-) aaacaatgtta >hg38_chr11:29422801-29422811(+) CGACAATAGGG >hg38_chr11:29422843-29422853(+) ACACAAAGGAC >hg38_chr11:29423287-29423297(-) TTACAAAGGAG >hg38_chr11:29425967-29425977(+) gaacaatgcag >hg38_chr11:29486097-29486107(+) GGACAATGAGG >hg38_chr11:29491868-29491878(+) AGACAATGTCC >hg38_chr11:29503565-29503575(-) AAACAAAGGCT >hg38_chr11:29523392-29523402(-) caacaatgaag >hg38_chr11:29543183-29543193(+) agacaatgaat >hg38_chr11:29552485-29552495(-) TAACAATGACA >hg38_chr11:29561900-29561910(+) TGACAATggtt >hg38_chr11:29564616-29564626(+) ATACAATACTC >hg38_chr11:29578024-29578034(+) TTACAATATAG >hg38_chr11:29630523-29630533(+) GAACAATGTGC >hg38_chr11:29876355-29876365(-) GAACAATGAGT >hg38_chr11:29880331-29880341(-) ttacaataggc >hg38_chr11:30007511-30007521(+) GAACAATGCAT >hg38_chr11:30021021-30021031(-) TTATAATGGGC >hg38_chr11:30050104-30050114(+) GAACAATGACT >hg38_chr11:30106447-30106457(-) CCACAATGGAT >hg38_chr11:30108347-30108357(-) gcacaatggtt >hg38_chr11:30130747-30130757(-) ACACAGTGGTA >hg38_chr11:30130761-30130771(-) GAACTATGGAC >hg38_chr11:30130805-30130815(+) ATACAATGTGA >hg38_chr11:30195505-30195515(+) atataatggtt >hg38_chr11:30206489-30206499(+) ACACAATGAAA >hg38_chr11:30274611-30274621(-) ACACAATAGAG >hg38_chr11:30285798-30285808(+) TAACAATAGAt >hg38_chr11:30311386-30311396(-) atacaatggaa >hg38_chr11:30311402-30311412(-) aaataatggta >hg38_chr11:30313684-30313694(-) CCACAATGTGA >hg38_chr11:30345582-30345592(-) ACACAATAAAT >hg38_chr11:30345638-30345648(-) ATACAAAGGCT >hg38_chr11:30345646-30345656(-) gaacaatGATA >hg38_chr11:30405482-30405492(-) GGATAATGGTG >hg38_chr11:30407532-30407542(+) AAACAATACCG >hg38_chr11:30417900-30417910(+) TGACAATGCTT >hg38_chr11:30422611-30422621(+) CCACAATGGGT >hg38_chr11:30423021-30423031(+) AAACAATGTCA >hg38_chr11:30445321-30445331(+) atacaaaggaa >hg38_chr11:30454122-30454132(-) CAACAATAGTC >hg38_chr11:30516998-30517008(+) AAATAATGGAA >hg38_chr11:30546541-30546551(-) AAACAATGAAG >hg38_chr11:30553680-30553690(+) aaacaatgggc >hg38_chr11:30559252-30559262(-) GAACACTGGGA >hg38_chr11:30559297-30559307(+) TAACAAAGGTC >hg38_chr11:30579674-30579684(-) GAACAAAGGAA >hg38_chr11:30579739-30579749(+) AGACAATGGAT >hg38_chr11:30631184-30631194(-) CAACAATGACA >hg38_chr11:30719531-30719541(-) TGATAATGGTG >hg38_chr11:30729239-30729249(-) TCACAATGTTC >hg38_chr11:30730265-30730275(-) GAACAATAACT >hg38_chr11:30848189-30848199(+) CCACAATAGCT >hg38_chr11:30848204-30848214(+) AGACAATGCAT >hg38_chr11:30853673-30853683(+) aaacaaaggtt >hg38_chr11:30870922-30870932(+) AAACAATGGCA >hg38_chr11:30909092-30909102(-) CAACAATGGAG >hg38_chr11:30980221-30980231(+) GAACAATACTA >hg38_chr11:30983952-30983962(+) AAACAATGCTC >hg38_chr11:30996560-30996570(+) tgacaaaggga >hg38_chr11:31060376-31060386(-) TAACAAAGGCA >hg38_chr11:31106454-31106464(+) CGACAATGACA >hg38_chr11:31121273-31121283(+) TGACAATGATC >hg38_chr11:31121289-31121299(+) GAACAATGCAA >hg38_chr11:31121627-31121637(+) ATACAATATGA >hg38_chr11:31141956-31141966(-) ACACAATGAGT >hg38_chr11:31187763-31187773(-) AAACAATGAAA >hg38_chr11:31203495-31203505(-) TGACAATGGAT >hg38_chr11:31219677-31219687(+) CGACAAAGGTG >hg38_chr11:31219748-31219758(-) aaacaataaat >hg38_chr11:31229771-31229781(+) acacaatgatg >hg38_chr11:31238579-31238589(+) ACACAATGGCA >hg38_chr11:31241272-31241282(-) CAATAATGGAG >hg38_chr11:31248909-31248919(-) AAACAATGTCT >hg38_chr11:31248966-31248976(+) TTACAATATAT >hg38_chr11:31287568-31287578(-) acacaaaggat >hg38_chr11:31287582-31287592(+) ctacaaaggac >hg38_chr11:31325348-31325358(-) GCACAATAGTA >hg38_chr11:31394903-31394913(-) TAACAATACAA >hg38_chr11:31415111-31415121(-) ACACAATAGTC >hg38_chr11:31422026-31422036(+) AGACAATGGAG >hg38_chr11:31422387-31422397(+) AGACAATGCTT >hg38_chr11:31422438-31422448(-) ATAGAATGGTA >hg38_chr11:31422780-31422790(-) AAACAATGATC >hg38_chr11:31471986-31471996(-) gaacaaagggg >hg38_chr11:31472021-31472031(-) gaataatggcc >hg38_chr11:31508469-31508479(-) TCACAATATAT >hg38_chr11:31556156-31556166(-) ctacaataggc >hg38_chr11:31620374-31620384(+) agacaatgata >hg38_chr11:31626923-31626933(-) TTACAATGCCT >hg38_chr11:31637535-31637545(-) GCACAATGCTG >hg38_chr11:31645909-31645919(+) GCACAATGAAT >hg38_chr11:31645933-31645943(-) TCACAATGAGT >hg38_chr11:31650575-31650585(-) AGACAATAGTG >hg38_chr11:31662889-31662899(-) acacaatgaga >hg38_chr11:31664258-31664268(-) TTACAATGGGA >hg38_chr11:31664307-31664317(-) TGACAATGGGA >hg38_chr11:31670619-31670629(-) TCACAATGTGA >hg38_chr11:31711856-31711866(+) CTACAATGCCA >hg38_chr11:31711925-31711935(+) AGACAAAGGTG >hg38_chr11:31720375-31720385(-) AGACAATAGTG >hg38_chr11:31772490-31772500(-) ggacaatgcac >hg38_chr11:31785315-31785325(+) ACACAATGGAA >hg38_chr11:31796295-31796305(+) CTACAATGAGC >hg38_chr11:31799777-31799787(-) GCACAACGGAG >hg38_chr11:31799809-31799819(-) GGATAATGGGA >hg38_chr11:31807680-31807690(+) CGACAAAGCCG >hg38_chr11:31807700-31807710(+) AAACAATGGCT >hg38_chr11:31808022-31808032(+) ATACAACGGCA >hg38_chr11:31821896-31821906(-) ATACAATTGTA >hg38_chr11:31824140-31824150(-) TAACAATAGTG >hg38_chr11:31826758-31826768(+) TCACAATATAT >hg38_chr11:31871237-31871247(+) CCACAATGCAA >hg38_chr11:31871296-31871306(+) AAACAATGAGG >hg38_chr11:31876321-31876331(-) ACACAAAGGAC >hg38_chr11:31876340-31876350(+) ACACAATGCCC >hg38_chr11:32008250-32008260(+) taacagtggaa >hg38_chr11:32043912-32043922(-) ggacaatgggt >hg38_chr11:32044309-32044319(-) GGACAATGGGA >hg38_chr11:32071954-32071964(-) CAACAATAGGT >hg38_chr11:32173032-32173042(+) ACACAAAGGGA >hg38_chr11:32279415-32279425(+) AAACAAAGGGA >hg38_chr11:32315147-32315157(+) ACACAATGATG >hg38_chr11:32317100-32317110(-) TAACAATAAAC >hg38_chr11:32365387-32365397(-) tgacaatgtgg >hg38_chr11:32527379-32527389(-) AAACAATGCGC >hg38_chr11:32567362-32567372(-) agacaataaaa >hg38_chr11:32567371-32567381(-) aaacaatagag >hg38_chr11:32581818-32581828(-) TAACAATGTGG >hg38_chr11:32587466-32587476(+) atacaatacat >hg38_chr11:32587471-32587481(-) taacaatgtat >hg38_chr11:32599929-32599939(-) CAACAATGtgt >hg38_chr11:32606505-32606515(+) GGACAATGAAg >hg38_chr11:32606518-32606528(-) gtactatggta >hg38_chr11:32613758-32613768(+) AAACAATGTCA >hg38_chr11:32658488-32658498(+) GTAGAATGGAC >hg38_chr11:32681341-32681351(+) TCACAATGATG >hg38_chr11:32774325-32774335(+) TCACAATGCTC >hg38_chr11:32774806-32774816(+) GCACAATGCAA >hg38_chr11:32809377-32809387(+) AGACAATAATA >hg38_chr11:32887249-32887259(+) CAACAATGAGC >hg38_chr11:32893712-32893722(-) ATACAATGGAT >hg38_chr11:32893738-32893748(+) CGACAATGCGG >hg38_chr11:32902663-32902673(+) AGACAATAGTG >hg38_chr11:32907658-32907668(-) CTACAAAGGAA >hg38_chr11:32930168-32930178(+) GAACAATAGGA >hg38_chr11:33116694-33116704(+) AAACAATGAGG >hg38_chr11:33117776-33117786(+) AAACAATGGGA >hg38_chr11:33133870-33133880(+) atataatggcc >hg38_chr11:33138289-33138299(+) TAACAATAAGA >hg38_chr11:33140458-33140468(+) acacaATGTTC >hg38_chr11:33156555-33156565(-) TAACAAAGGAG >hg38_chr11:33168781-33168791(+) ATACAATACTA >hg38_chr11:33188285-33188295(-) TGATAATGGCA >hg38_chr11:33224680-33224690(-) acacaatgaga >hg38_chr11:33256414-33256424(+) GAACAAAGGGA >hg38_chr11:33262452-33262462(+) AAACAAAGGCA >hg38_chr11:33357756-33357766(-) acacaaaggat >hg38_chr11:33410373-33410383(+) agataatggat >hg38_chr11:33445712-33445722(-) tgacaatgagg >hg38_chr11:33484330-33484340(-) AAACAAAGGCG >hg38_chr11:33520600-33520610(+) aaacaaaggaa >hg38_chr11:33557554-33557564(-) TAACAATAAAC >hg38_chr11:33597492-33597502(-) TGACAATGGAG >hg38_chr11:33597538-33597548(+) TGACAATAGAA >hg38_chr11:33611554-33611564(+) TCACAATGCAT >hg38_chr11:33662491-33662501(-) tgacaaaggac >hg38_chr11:33670051-33670061(+) ACACAATGCCT >hg38_chr11:33671351-33671361(-) ACACAATGGAC >hg38_chr11:33684193-33684203(-) ACACAATGCCA >hg38_chr11:33684239-33684249(-) AGACAATGTGC >hg38_chr11:33695143-33695153(+) GAACAAAGGAG >hg38_chr11:33701049-33701059(-) TGACAACGGAA >hg38_chr11:33723477-33723487(-) GGACAATGTCA >hg38_chr11:33724358-33724368(+) ACACAATGAAA >hg38_chr11:33725487-33725497(-) GAACAATAGCA >hg38_chr11:33725757-33725767(+) ATACTATGGTA >hg38_chr11:33826367-33826377(+) GAACAATGAGT >hg38_chr11:33845636-33845646(+) GAACAAAGGGA >hg38_chr11:33891478-33891488(-) GTACACTGGCC >hg38_chr11:33997188-33997198(+) AAACAATGCAG >hg38_chr11:33999660-33999670(+) TGACAATGTGA >hg38_chr11:33999711-33999721(+) TAACAATAGGT >hg38_chr11:34028098-34028108(+) ttacaaaggtc >hg38_chr11:34051428-34051438(-) GGACAATAGGT >hg38_chr11:34064395-34064405(+) TGACAATAGGA >hg38_chr11:34068667-34068677(-) AAACAATAAGA >hg38_chr11:34070127-34070137(+) TTACAATAATA >hg38_chr11:34075855-34075865(+) atacaatgaaa >hg38_chr11:34124751-34124761(+) GAACAATAACC >hg38_chr11:34186635-34186645(+) CAACAATGGGA >hg38_chr11:34214035-34214045(-) TGACAAAGGAC >hg38_chr11:34259080-34259090(-) TAACAATAGCA >hg38_chr11:34262683-34262693(-) GGACAATGCTC >hg38_chr11:34263317-34263327(+) TAACAAAGGCT >hg38_chr11:34359253-34359263(-) ACACAAAGGAG >hg38_chr11:34396318-34396328(-) CAACAATGGCG >hg38_chr11:34404143-34404153(-) GAACAATGGAA >hg38_chr11:34404168-34404178(-) ACACAATGAGT >hg38_chr11:34427248-34427258(+) tcacaatgtat >hg38_chr11:34530534-34530544(+) acacaATGGGA >hg38_chr11:34546734-34546744(+) GCACAATGGGC >hg38_chr11:34564687-34564697(-) agacaataggt >hg38_chr11:34622002-34622012(-) AAACAAAGGGT >hg38_chr11:34632426-34632436(-) AGACAATGGTG >hg38_chr11:34637579-34637589(-) CTACAATGTGC >hg38_chr11:34651676-34651686(-) TCACAATAGAA >hg38_chr11:34656276-34656286(+) ACTCAATGGCG >hg38_chr11:34656285-34656295(-) TGACAAAGGCG >hg38_chr11:34672217-34672227(-) tcacaatgtcc >hg38_chr11:34681114-34681124(-) TTACAAAGGGA >hg38_chr11:34682950-34682960(-) TGACAATAGCA >hg38_chr11:34683573-34683583(-) ACACAATGGAA >hg38_chr11:34708820-34708830(+) gaacaatggaa >hg38_chr11:34715411-34715421(+) TTACAATAGCA >hg38_chr11:34723252-34723262(+) agacaatggac >hg38_chr11:34756863-34756873(+) taacaatgttt >hg38_chr11:34756897-34756907(+) caacaatgttc >hg38_chr11:34795704-34795714(+) TAACAATGACA >hg38_chr11:34855964-34855974(+) TTATAATGGGG >hg38_chr11:34879072-34879082(+) TGACAATGAAC >hg38_chr11:34879122-34879132(-) GAACAATGTGT >hg38_chr11:34894169-34894179(+) ATACAATGAGC >hg38_chr11:34897346-34897356(+) ACACAATGAAA >hg38_chr11:34897379-34897389(+) atacaatggac >hg38_chr11:34897414-34897424(+) acacaATGTCA >hg38_chr11:34940156-34940166(+) GCACTATGGAA >hg38_chr11:34956431-34956441(-) GAACAATAGAA >hg38_chr11:34961037-34961047(-) atacaataaaa >hg38_chr11:34974089-34974099(-) aaacaatgtaa >hg38_chr11:34977459-34977469(+) AAACTATGGAT >hg38_chr11:35029265-35029275(+) gaacaatgtgg >hg38_chr11:35056434-35056444(+) caacaatggtt >hg38_chr11:35056604-35056614(+) atactatggaa >hg38_chr11:35060463-35060473(+) gaacaatgcaa >hg38_chr11:35060471-35060481(+) caacaatggaa >hg38_chr11:35061603-35061613(+) acacaatgaaa >hg38_chr11:35062268-35062278(-) atacaatagtt >hg38_chr11:35065991-35066001(-) GTACAATGTCA >hg38_chr11:35073238-35073248(+) atacaatggag >hg38_chr11:35091194-35091204(-) gaacaaaggtg >hg38_chr11:35091209-35091219(+) gaacaaaggca >hg38_chr11:35116426-35116436(-) aaacaatgagc >hg38_chr11:35144430-35144440(-) ACACAAAGGAA >hg38_chr11:35179617-35179627(-) TTACAATGGGA >hg38_chr11:35195122-35195132(-) TAACAATACAC >hg38_chr11:35223317-35223327(-) ACACAATAGGA >hg38_chr11:35226683-35226693(+) TAACAATAGAC >hg38_chr11:35232204-35232214(-) GAACAATGGGC >hg38_chr11:35232226-35232236(+) GTACAATGACC >hg38_chr11:35232233-35232243(-) TAACAGTGGTC >hg38_chr11:35232239-35232249(-) TAACAATAACA >hg38_chr11:35238335-35238345(-) AGACAAAGGGC >hg38_chr11:35252548-35252558(+) TTACAAAGGTG >hg38_chr11:35252591-35252601(+) GTACAAAGGAT >hg38_chr11:35290333-35290343(-) ctacaatgcaa >hg38_chr11:35320436-35320446(+) gaacaatgcct >hg38_chr11:35336520-35336530(-) aaaCAAAGGAA >hg38_chr11:35337356-35337366(-) AAACAATGAAT >hg38_chr11:35338164-35338174(-) aaacaataggc >hg38_chr11:35338175-35338185(-) agacaataggt >hg38_chr11:35419742-35419752(-) AAACAATAGCT >hg38_chr11:35426466-35426476(-) GAACAAAGGGA >hg38_chr11:35453275-35453285(-) CAACAATGGGC >hg38_chr11:35463444-35463454(+) GAACAAAGGCA >hg38_chr11:35493810-35493820(-) atacaatgata >hg38_chr11:35505923-35505933(-) gaacaaaggaa >hg38_chr11:35530232-35530242(+) AGACAAAGGGC >hg38_chr11:35543758-35543768(-) atacaaaggct >hg38_chr11:35549116-35549126(-) ggacaatagga >hg38_chr11:35604966-35604976(-) AGACAATAGCA >hg38_chr11:35604978-35604988(+) ACACAATGTCA >hg38_chr11:35608762-35608772(-) TAACAATGGTT >hg38_chr11:35616103-35616113(-) gcacaatgcct >hg38_chr11:35616127-35616137(+) aaacaatggac >hg38_chr11:35616159-35616169(+) ttacaATGGTA >hg38_chr11:35620437-35620447(-) GAACAAAGGGA >hg38_chr11:35639334-35639344(-) GTACAATGACA >hg38_chr11:35639352-35639362(+) AGACAGTGGAA >hg38_chr11:35639971-35639981(-) AAACAATGGGA >hg38_chr11:35641210-35641220(-) atacaaaggcc >hg38_chr11:35650001-35650011(+) tcacaatgagc >hg38_chr11:35655021-35655031(+) AGACTATGGAA >hg38_chr11:35666156-35666166(-) gcacaaaggaa >hg38_chr11:35673814-35673824(-) AGACAATGGTG >hg38_chr11:35674999-35675009(-) ttataatggga >hg38_chr11:35681128-35681138(-) tcacaatggac >hg38_chr11:35681159-35681169(-) atacaataact >hg38_chr11:35690443-35690453(-) ACACAATAATA >hg38_chr11:35690453-35690463(-) ACACAATAGGA >hg38_chr11:35690463-35690473(-) GAATAATGGGA >hg38_chr11:35710371-35710381(+) Gaacaataatt >hg38_chr11:35721990-35722000(+) GTACAATGTAG >hg38_chr11:35762162-35762172(-) GGACAATAAAT >hg38_chr11:35765552-35765562(+) GAACTATGGTT >hg38_chr11:35765595-35765605(-) TGACAAAGGAC >hg38_chr11:35765604-35765614(-) GCACAATGCTG >hg38_chr11:35821985-35821995(+) CAACAATGAGT >hg38_chr11:35836470-35836480(+) GAACAATGATA >hg38_chr11:35841114-35841124(-) taacaatagta >hg38_chr11:35855224-35855234(+) TGACAATGGGA >hg38_chr11:35870703-35870713(-) GAACAAAGGAT >hg38_chr11:35886444-35886454(-) aaacaaaggac >hg38_chr11:35905584-35905594(-) GAACAATAGTT >hg38_chr11:35908280-35908290(-) GCACAAAGGAA >hg38_chr11:35908303-35908313(+) AGACAATGAGT >hg38_chr11:35908303-35908313(+) AGACAATGAGT >hg38_chr11:35908355-35908365(-) AAACAAAGGCA >hg38_chr11:35919448-35919458(-) GAACAATGGTT >hg38_chr11:35924261-35924271(-) ACACAATAGAA >hg38_chr11:35926183-35926193(+) AGACAATGCCA >hg38_chr11:35954411-35954421(-) ACACAATAGAC >hg38_chr11:35981448-35981458(+) GAACAATGGGG >hg38_chr11:35991273-35991283(+) TAACAATGCAA >hg38_chr11:36002291-36002301(-) GAACAAAGGGA >hg38_chr11:36033280-36033290(-) GAACAATAACA >hg38_chr11:36092845-36092855(-) tcacaatgttc >hg38_chr11:36094370-36094380(+) acacaatggca >hg38_chr11:36110080-36110090(-) ACACAAAGGCA >hg38_chr11:36116549-36116559(-) TAACAAAGGCT >hg38_chr11:36119416-36119426(-) aaacaatgtag >hg38_chr11:36126660-36126670(+) CAATAATGGGA >hg38_chr11:36132271-36132281(-) AGACAGTGGAC >hg38_chr11:36156315-36156325(-) TGACAATGTGT >hg38_chr11:36175847-36175857(-) taacaatggac >hg38_chr11:36175919-36175929(-) acacaataata >hg38_chr11:36176077-36176087(-) caacaatagtt >hg38_chr11:36277363-36277373(+) ATACAATGTAT >hg38_chr11:36277387-36277397(-) GAACAATAGCA >hg38_chr11:36288162-36288172(-) gaacaataata >hg38_chr11:36296155-36296165(+) TAACAATGGCC >hg38_chr11:36307029-36307039(-) TCACAATGGGA >hg38_chr11:36348300-36348310(-) GAACAATAGAT >hg38_chr11:36349525-36349535(-) GAACAATCGAC >hg38_chr11:36385657-36385667(-) GAACTATGGAA >hg38_chr11:36388536-36388546(-) taacactggtt >hg38_chr11:36398507-36398517(+) GAACAGTGGAG >hg38_chr11:36455102-36455112(-) ACACAATGGAG >hg38_chr11:36455236-36455246(+) TCACAATGGCC >hg38_chr11:36473929-36473939(+) aaacaaaggca >hg38_chr11:36475170-36475180(+) agacaataaca >hg38_chr11:36475473-36475483(+) atacagtggaa >hg38_chr11:36492639-36492649(+) AAACAAAGGCT >hg38_chr11:36500537-36500547(+) ctacaatggtc >hg38_chr11:36501089-36501099(-) gaacaatggac >hg38_chr11:36509028-36509038(-) GAACAATGTGG >hg38_chr11:36535901-36535911(-) TAACAATAATT >hg38_chr11:36535915-36535925(-) CCACAATGATG >hg38_chr11:36541244-36541254(-) TGACAATGGAA >hg38_chr11:36559167-36559177(-) aaacaatgtga >hg38_chr11:36584809-36584819(-) GGACAATAGAA >hg38_chr11:36598378-36598388(+) CAACAATGGCA >hg38_chr11:36602426-36602436(-) ACACAAAGGCA >hg38_chr11:36622880-36622890(-) atacaatatgt >hg38_chr11:36622907-36622917(-) atacaatatac >hg38_chr11:36631899-36631909(-) TTACAATAGTC >hg38_chr11:36713726-36713736(+) tgacaatggca >hg38_chr11:36718649-36718659(+) AGACAAAGGAA >hg38_chr11:36763447-36763457(-) ACACAATGGCA >hg38_chr11:36763492-36763502(+) GGACAATGCAA >hg38_chr11:36766089-36766099(+) TGACAAAGGGA >hg38_chr11:36784843-36784853(-) aaataatggag >hg38_chr11:36785755-36785765(-) AAACAATGGAA >hg38_chr11:36799760-36799770(-) CCACAATGGCC >hg38_chr11:36899487-36899497(+) tgacaatagat >hg38_chr11:36899970-36899980(+) GAACAATAGAG >hg38_chr11:36910349-36910359(-) TAACAATGAAA >hg38_chr11:36932939-36932949(-) CTACAATATAC >hg38_chr11:36972920-36972930(-) gcacaataata >hg38_chr11:37036702-37036712(-) CCACAATGGCC >hg38_chr11:37063453-37063463(+) agacaatgaca >hg38_chr11:37108759-37108769(-) TAACAATAACA >hg38_chr11:37117938-37117948(-) AGACAAAGGAG >hg38_chr11:37192878-37192888(-) CAACAATAGTA >hg38_chr11:37286394-37286404(-) TGACAATAGCA >hg38_chr11:37287257-37287267(+) ccacaatgacc >hg38_chr11:37298197-37298207(+) gaacaataggc >hg38_chr11:37410751-37410761(-) ATACAATGGCT >hg38_chr11:37451552-37451562(-) CGACAATGTGT >hg38_chr11:37453635-37453645(+) CTATAATGGAA >hg38_chr11:37504469-37504479(+) ACACAATGAGG >hg38_chr11:37542517-37542527(-) agacaatatat >hg38_chr11:37542543-37542553(+) gtacaatggca >hg38_chr11:37611877-37611887(-) TCACAATGACT >hg38_chr11:37611893-37611903(+) AAACAATATAA >hg38_chr11:37638133-37638143(-) agacaatgtgg >hg38_chr11:37712298-37712308(+) TCACAATGCAT >hg38_chr11:37723054-37723064(-) GAATAATGGAA >hg38_chr11:37754028-37754038(-) gaacaaaggct >hg38_chr11:37770077-37770087(+) AAATAATGGGC >hg38_chr11:37795940-37795950(-) GTACAATAGAA >hg38_chr11:37815453-37815463(+) GAACAAAGGAT >hg38_chr11:37816073-37816083(-) TCATAATGGAG >hg38_chr11:37816093-37816103(-) GGACAATGGAA >hg38_chr11:37818154-37818164(-) ATATAATGGAC >hg38_chr11:37824855-37824865(+) acacaaaggta >hg38_chr11:37824897-37824907(-) gtacaatgctt >hg38_chr11:37835532-37835542(-) TAACAATAGTC >hg38_chr11:37835565-37835575(+) GCACAAAGGAA >hg38_chr11:37879390-37879400(-) ATACAAAGCGT >hg38_chr11:37879992-37880002(+) caacaatagat >hg38_chr11:37880035-37880045(-) aaacaataatc >hg38_chr11:37881994-37882004(+) gaacaatgctg >hg38_chr11:37882023-37882033(-) gaacaatggaa >hg38_chr11:37886845-37886855(+) TTACAATGAAT >hg38_chr11:37913781-37913791(+) GAACAATAATA >hg38_chr11:37988336-37988346(+) gtacaatgaaa >hg38_chr11:37988344-37988354(+) aaacaaaggtt >hg38_chr11:37989937-37989947(+) AAACAATGATT >hg38_chr11:38039672-38039682(-) GAACAATAGGG >hg38_chr11:38046547-38046557(-) GTATAATGGGA >hg38_chr11:38072623-38072633(-) gaacaataaag >hg38_chr11:38072678-38072688(+) caacaatgaaa >hg38_chr11:38074269-38074279(+) CCACAATGGAA >hg38_chr11:38123883-38123893(+) ctacaatgctc >hg38_chr11:38130613-38130623(-) TGACAATAGTA >hg38_chr11:38137089-38137099(+) taacaataaac >hg38_chr11:38152497-38152507(+) taacaaaggaa >hg38_chr11:38152898-38152908(+) acactatggaa >hg38_chr11:38152908-38152918(+) aaacaatagca >hg38_chr11:38264192-38264202(-) ccacaatgatc >hg38_chr11:38272558-38272568(-) TCACTATGGAA >hg38_chr11:38272608-38272618(-) CAACAATAGTA >hg38_chr11:38510306-38510316(-) GCACAATGGCT >hg38_chr11:38515457-38515467(-) acacaataaac >hg38_chr11:38562378-38562388(+) ggacaatgccc >hg38_chr11:38564908-38564918(+) ACACAATGTGG >hg38_chr11:38569708-38569718(+) AAACAAAGGCA >hg38_chr11:38583069-38583079(-) TAACAATGAAT >hg38_chr11:38600364-38600374(-) TCACAATGGGC >hg38_chr11:38619645-38619655(-) ctacaatgtca >hg38_chr11:38619686-38619696(+) GCACAATGAGT >hg38_chr11:38626640-38626650(-) gaacaATAGAT >hg38_chr11:38640088-38640098(+) ttacaatatta >hg38_chr11:38718364-38718374(-) aaacaatgggg >hg38_chr11:38796564-38796574(+) TAACAATGTGG >hg38_chr11:38798529-38798539(-) GAACAATGGTG >hg38_chr11:38836202-38836212(+) ACACAATGCCA >hg38_chr11:38855195-38855205(-) ATACAAAGGTA >hg38_chr11:38855275-38855285(-) CAACAATGGTA >hg38_chr11:38897660-38897670(+) GCACAATAGTG >hg38_chr11:38900344-38900354(-) aaacaaaggca >hg38_chr11:39074635-39074645(-) tgacaataata >hg38_chr11:39182130-39182140(+) TGACAATATAA >hg38_chr11:39215526-39215536(-) CCACAATAGTT >hg38_chr11:39366693-39366703(+) TAATAATGGCA >hg38_chr11:39366714-39366724(-) TAACAATAGCA >hg38_chr11:39367181-39367191(+) gcacaatgcag >hg38_chr11:39569992-39570002(+) aaacaatgaga >hg38_chr11:39619060-39619070(+) agacaatgtaa >hg38_chr11:39901371-39901381(-) GCACAATGAAA >hg38_chr11:39955792-39955802(-) ctacaatgcac >hg38_chr11:39972664-39972674(+) tgacaatggaa >hg38_chr11:40031030-40031040(+) ctacaatagga >hg38_chr11:40031085-40031095(+) tgacaatggga >hg38_chr11:40037221-40037231(-) taacaatagaa >hg38_chr11:40037243-40037253(-) taacaatagaa >hg38_chr11:40129458-40129468(+) AAACAATGCCC >hg38_chr11:40130695-40130705(-) ACACAAAGGca >hg38_chr11:40162829-40162839(+) CAACAATGAGG >hg38_chr11:40169676-40169686(-) TTACAATGAAA >hg38_chr11:40185900-40185910(-) agacaatgagc >hg38_chr11:40215762-40215772(+) ACACAAAGGCA >hg38_chr11:40255679-40255689(+) acacaatggga >hg38_chr11:40274862-40274872(+) TTACAAAGGTG >hg38_chr11:40280691-40280701(-) GAACAATGACT >hg38_chr11:40312488-40312498(-) AAATAATGGCA >hg38_chr11:40337445-40337455(+) acacaatggca >hg38_chr11:40337493-40337503(-) GGACAAAGGTT >hg38_chr11:40356912-40356922(-) gaacaataaca >hg38_chr11:40357404-40357414(-) ATACAATGAAG >hg38_chr11:40389306-40389316(-) TAACAATGCCC >hg38_chr11:40393738-40393748(-) ATACAATGTAG >hg38_chr11:40402192-40402202(-) ACACAATGAAA >hg38_chr11:40406461-40406471(-) atataatggtg >hg38_chr11:40406960-40406970(-) CCACAATGTAT >hg38_chr11:40459998-40460008(+) CAACAATGCAA >hg38_chr11:40480800-40480810(+) atacaatatgc >hg38_chr11:40531723-40531733(+) AAACAATGAGA >hg38_chr11:40541528-40541538(+) GGACAATGACC >hg38_chr11:40543375-40543385(-) TAACAAAGGCA >hg38_chr11:40543428-40543438(-) ATACAGTGGCT >hg38_chr11:40692177-40692187(+) ATACAATGTGT >hg38_chr11:40692253-40692263(+) TGACAATGTCA >hg38_chr11:40885026-40885036(+) gaacaatgtac >hg38_chr11:40885393-40885403(-) ATACAGTGGGT >hg38_chr11:40885420-40885430(-) GGATAATGGGA >hg38_chr11:40926436-40926446(-) tgacaatgctc >hg38_chr11:40926492-40926502(+) TTACAATGCTT >hg38_chr11:41004085-41004095(-) GAACAATGGGG >hg38_chr11:41113438-41113448(+) GGATAATGGCT >hg38_chr11:41216269-41216279(+) ACACAATAGAG >hg38_chr11:41235924-41235934(-) GCACAAAGGGA >hg38_chr11:41282076-41282086(-) ACACAATATAT >hg38_chr11:41283433-41283443(-) agacAATAATA >hg38_chr11:41382985-41382995(+) atacaatgcaa >hg38_chr11:41386451-41386461(+) taacaatggta >hg38_chr11:41399397-41399407(-) ATACAATATGT >hg38_chr11:41399445-41399455(+) GTACAAAGGAT >hg38_chr11:41402238-41402248(+) AAACAATGATG >hg38_chr11:41413442-41413452(-) Caacaatagct >hg38_chr11:41413819-41413829(+) aaacaatgttt >hg38_chr11:41413885-41413895(+) tcacaacggag >hg38_chr11:41471251-41471261(-) AAACAAAGGCA >hg38_chr11:41498239-41498249(-) atacaaaggag >hg38_chr11:41506532-41506542(-) AAATAATGGAC >hg38_chr11:41513459-41513469(-) acacaatggaa >hg38_chr11:41519838-41519848(+) ACACAATGTAC >hg38_chr11:41535355-41535365(+) taataatggct >hg38_chr11:41640413-41640423(-) acacaatgaca >hg38_chr11:41717455-41717465(-) taacaataggg >hg38_chr11:41837381-41837391(-) ctacaatagca >hg38_chr11:41837418-41837428(+) atacaattgat >hg38_chr11:41920700-41920710(+) caacaatgggc >hg38_chr11:41935426-41935436(-) tgacaatgacc >hg38_chr11:41948598-41948608(-) CTACAAAGGAA >hg38_chr11:41948598-41948608(-) CTACAAAGGAA >hg38_chr11:42098287-42098297(-) gcacaaaggac >hg38_chr11:42125422-42125432(+) taacaatggac >hg38_chr11:42152054-42152064(-) atacaatatgt >hg38_chr11:42152086-42152096(-) aaacaaaggtt >hg38_chr11:42152099-42152109(+) aaacaataaaa >hg38_chr11:42193329-42193339(+) taacaatggag >hg38_chr11:42235295-42235305(-) CAATAATGGAA >hg38_chr11:42258415-42258425(+) AGACAAAGGAA >hg38_chr11:42259183-42259193(+) CAACAATGTGC >hg38_chr11:42268521-42268531(-) gaacaataact >hg38_chr11:42288554-42288564(-) gtacaatagaa >hg38_chr11:42288569-42288579(+) ggacaatgatg >hg38_chr11:42338299-42338309(+) GCACAAAGGAA >hg38_chr11:42352141-42352151(-) aaataatggtg >hg38_chr11:42391087-42391097(-) gcactatggaa >hg38_chr11:42401575-42401585(+) ctacaatgttg >hg38_chr11:42411872-42411882(+) gcacaaaggat >hg38_chr11:42532267-42532277(+) aaacaatagat >hg38_chr11:42536893-42536903(+) TCACAATGCTG >hg38_chr11:42546446-42546456(+) gtacaaaggaa >hg38_chr11:42596108-42596118(+) TGATAATGGAG >hg38_chr11:42632171-42632181(-) GGACAAAGGCA >hg38_chr11:42632307-42632317(-) GGACAAAGGCG >hg38_chr11:42841726-42841736(+) GGACAATGATG >hg38_chr11:42955142-42955152(+) TTACAATATAA >hg38_chr11:42975319-42975329(-) GGACAATGAGA >hg38_chr11:43010129-43010139(-) gaataatggac >hg38_chr11:43022315-43022325(+) AAACAATGGTA >hg38_chr11:43022325-43022335(-) TGACAATAGCT >hg38_chr11:43182542-43182552(-) TAACAATGGTG >hg38_chr11:43222443-43222453(-) TGATAATGGCC >hg38_chr11:43222463-43222473(-) ctacaATAGAA >hg38_chr11:43245799-43245809(+) taaccatggat >hg38_chr11:43290960-43290970(+) gaacaaaggtt >hg38_chr11:43361640-43361650(-) ttacaatgctg >hg38_chr11:43403441-43403451(-) GAACAATGTTG >hg38_chr11:43423545-43423555(+) GGACAATAGTA >hg38_chr11:43449300-43449310(-) agacaaaggag >hg38_chr11:43449312-43449322(-) gaacaatgcca >hg38_chr11:43465170-43465180(+) ACACAATGTCA >hg38_chr11:43465214-43465224(-) agacaataaac >hg38_chr11:43480138-43480148(+) agacaatagct >hg38_chr11:43482707-43482717(-) aaacaatacta >hg38_chr11:43516635-43516645(-) GAACAATGGAG >hg38_chr11:43517105-43517115(-) AGACAATGGCC >hg38_chr11:43538431-43538441(+) GGACAAAGGAC >hg38_chr11:43600304-43600314(-) ttacaatgaaa >hg38_chr11:43614500-43614510(+) ctacaatgtgc >hg38_chr11:43618545-43618555(-) AAACAATAGCT >hg38_chr11:43618572-43618582(+) TAATAATGGCC >hg38_chr11:43618957-43618967(+) AGACAAAGGGT >hg38_chr11:43631703-43631713(-) TTACAATGAAA >hg38_chr11:43645267-43645277(-) AAACAATGAGG >hg38_chr11:43645281-43645291(+) CCACAATGTAC >hg38_chr11:43645314-43645324(-) ATACCATGGAA >hg38_chr11:43684229-43684239(-) TAACAATGACA >hg38_chr11:43688519-43688529(+) AAACAATGCGT >hg38_chr11:43708295-43708305(+) CAACAATAGGC >hg38_chr11:43708303-43708313(-) AAACAATAGCC >hg38_chr11:43729247-43729257(-) GTACAATAGTC >hg38_chr11:43746858-43746868(-) ttacaatggag >hg38_chr11:43746896-43746906(-) caacaaaggac >hg38_chr11:43746911-43746921(-) taacaatgttt >hg38_chr11:43786227-43786237(-) atacaatggct >hg38_chr11:43812764-43812774(-) ACACAATGAAA >hg38_chr11:43812833-43812843(-) aaaCAATAGAG >hg38_chr11:43818229-43818239(-) GTACAATGCTT >hg38_chr11:43825414-43825424(+) ACACAATGCCA >hg38_chr11:43864107-43864117(-) GGATAATGGCA >hg38_chr11:43891865-43891875(-) GTAGAATGGAC >hg38_chr11:43895770-43895780(-) tgacaatggct >hg38_chr11:43895796-43895806(-) acacaatgact >hg38_chr11:43920506-43920516(-) TTACAATAGTA >hg38_chr11:43921000-43921010(-) CAACAATGGGC >hg38_chr11:43951378-43951388(-) aaacaatagat >hg38_chr11:44025479-44025489(-) caacaatagta >hg38_chr11:44029083-44029093(-) gcacaatggcg >hg38_chr11:44041798-44041808(-) aaacaatggag >hg38_chr11:44075691-44075701(+) GCACAATAGAA >hg38_chr11:44094074-44094084(-) CAACAATAGCT >hg38_chr11:44127870-44127880(-) GTACACTGGGA >hg38_chr11:44129423-44129433(+) ccacaatagcc >hg38_chr11:44145467-44145477(-) AGACAATGGTA >hg38_chr11:44148254-44148264(-) TAACAATAACC >hg38_chr11:44150881-44150891(-) ACACAATGTTA >hg38_chr11:44315873-44315883(+) taacaatagcc >hg38_chr11:44387988-44387998(-) caacaatggca >hg38_chr11:44421061-44421071(+) ACACAATGTGT >hg38_chr11:44445979-44445989(-) GCACAAAGGGA >hg38_chr11:44446518-44446528(-) CAACAATAGCA >hg38_chr11:44540053-44540063(+) TTACAATGCAG >hg38_chr11:44559438-44559448(+) CTATAATGGGC >hg38_chr11:44564433-44564443(-) tcacaatgtcc >hg38_chr11:44564441-44564451(+) tgataatggaa >hg38_chr11:44637846-44637856(+) acacaatgttt >hg38_chr11:44637872-44637882(-) gaacaatgtga >hg38_chr11:44646772-44646782(+) agacaatggca >hg38_chr11:44671341-44671351(+) agacaatgggg >hg38_chr11:44712435-44712445(-) CAACAATAGGC >hg38_chr11:44713340-44713350(-) ggacaataaca >hg38_chr11:44760268-44760278(+) GAACAAAGGCT >hg38_chr11:44761461-44761471(+) ACACAATGCAG >hg38_chr11:44798989-44798999(-) TCACAAAGGCG >hg38_chr11:44800886-44800896(+) ACACAATGAGT >hg38_chr11:44814078-44814088(-) AAACAATGAAG >hg38_chr11:44814100-44814110(-) AAACAATGAGG >hg38_chr11:44824595-44824605(+) GGACAATGGGA >hg38_chr11:44850087-44850097(-) GAACAATGGCC >hg38_chr11:44865978-44865988(+) AAACAATGTGG >hg38_chr11:44882400-44882410(+) GTACAGTGGTT >hg38_chr11:44885525-44885535(-) AAACAAAGGGA >hg38_chr11:44927691-44927701(+) caacaatgaac >hg38_chr11:44950202-44950212(+) GAACAATGGCG >hg38_chr11:44961691-44961701(-) agacaatggtg >hg38_chr11:44980071-44980081(-) aaacaatggct >hg38_chr11:44980131-44980141(+) CAACAATGgca >hg38_chr11:45007365-45007375(-) GGACAAAGGCA >hg38_chr11:45037558-45037568(+) TGACTATGGGA >hg38_chr11:45056786-45056796(-) AGACAAAGGCA >hg38_chr11:45076544-45076554(-) atacaatacgg >hg38_chr11:45076567-45076577(-) aaacaatgcat >hg38_chr11:45076612-45076622(-) gcataatgggt >hg38_chr11:45091440-45091450(+) TCACaatagct >hg38_chr11:45091687-45091697(+) TAACAATCGGG >hg38_chr11:45114770-45114780(+) agacaatagaa >hg38_chr11:45120818-45120828(+) tgacaatgtat >hg38_chr11:45120864-45120874(+) tgacaataata >hg38_chr11:45147176-45147186(+) TTACAATGTAG >hg38_chr11:45216646-45216656(-) ATACAATGTAT >hg38_chr11:45217999-45218009(-) agactatggtt >hg38_chr11:45218018-45218028(-) gaacaatagga >hg38_chr11:45265105-45265115(+) ggacaatggag >hg38_chr11:45265136-45265146(+) ttacaatggaa >hg38_chr11:45265187-45265197(+) caacaatagag >hg38_chr11:45277316-45277326(+) caacaatgtga >hg38_chr11:45299003-45299013(-) Caacaataacg >hg38_chr11:45311821-45311831(+) aaataatggca >hg38_chr11:45313308-45313318(+) ttacaataaga >hg38_chr11:45343579-45343589(-) GGACAATAGCC >hg38_chr11:45343594-45343604(+) GGACAATGCTG >hg38_chr11:45345205-45345215(+) ATACAATAGAC >hg38_chr11:45367090-45367100(+) GAACAATGGTC >hg38_chr11:45398542-45398552(-) GTACAATGAGA >hg38_chr11:45398563-45398573(-) GGACAATGAGA >hg38_chr11:45440327-45440337(+) ACACAAAGGAA >hg38_chr11:45501427-45501437(-) CCACAATGGGA >hg38_chr11:45577828-45577838(-) GAACAATGACA >hg38_chr11:45647960-45647970(-) GGACAAAGGAG >hg38_chr11:45688769-45688779(+) AGACAATGGCT >hg38_chr11:45705969-45705979(+) AAACAATAGGC >hg38_chr11:45805659-45805669(+) GGACAATGGGG >hg38_chr11:45805693-45805703(+) TGACAATGGAG >hg38_chr11:45842819-45842829(+) ttacaatggaa >hg38_chr11:45846154-45846164(+) gaacaatgata >hg38_chr11:45847950-45847960(-) gtacaacgggg >hg38_chr11:45901078-45901088(-) AGACAAAGGGC >hg38_chr11:45947985-45947995(+) AGACAAAGGGT >hg38_chr11:45960050-45960060(+) agacaatggaa >hg38_chr11:45990858-45990868(+) ttacaatcgaa >hg38_chr11:45993755-45993765(+) ACACAATAAAT >hg38_chr11:46031248-46031258(-) TGACACTGGTA >hg38_chr11:46037035-46037045(-) gtacaataaca >hg38_chr11:46047295-46047305(-) GTataatagta >hg38_chr11:46047830-46047840(+) aaacaatggca >hg38_chr11:46047860-46047870(-) Taataatggta >hg38_chr11:46050790-46050800(-) GAACAATGCCA >hg38_chr11:46050802-46050812(+) AGACAAAGGAA >hg38_chr11:46061597-46061607(+) atacaatgtct >hg38_chr11:46061625-46061635(-) atacaatgtgt >hg38_chr11:46090528-46090538(+) tcacaatgcac >hg38_chr11:46099581-46099591(+) ACACAAAGGGA >hg38_chr11:46100050-46100060(+) ATACACTGGGA >hg38_chr11:46106687-46106697(+) CCACAATGTTA >hg38_chr11:46159676-46159686(-) acacaataaaa >hg38_chr11:46247889-46247899(-) caacaatgaag >hg38_chr11:46247898-46247908(+) tgacaatgaag >hg38_chr11:46252432-46252442(+) gcacaatgcct >hg38_chr11:46252477-46252487(-) TAACAATGACT >hg38_chr11:46252483-46252493(-) TAACAATAACA >hg38_chr11:46252492-46252502(+) TAACAATAGTA >hg38_chr11:46338047-46338057(-) ccacaatggca >hg38_chr11:46339332-46339342(+) TAACAATGCAA >hg38_chr11:46380780-46380790(+) CAACAATGAAA >hg38_chr11:46415029-46415039(+) GGACAAAGGCA >hg38_chr11:46436389-46436399(-) GCACAATAGCC >hg38_chr11:46451244-46451254(+) CAACAATGGCA >hg38_chr11:46554681-46554691(+) GAACAAAGGGA >hg38_chr11:46554823-46554833(+) AGACAAAGGTT >hg38_chr11:46554836-46554846(+) CAACAATAGTC >hg38_chr11:46571107-46571117(+) TTACAATGGTT >hg38_chr11:46764414-46764424(+) atacaatgcac >hg38_chr11:46804294-46804304(-) AAACAATGAGT >hg38_chr11:46804498-46804508(+) AAACAATAGGA >hg38_chr11:46828643-46828653(+) GTACAATGAGT >hg38_chr11:46837172-46837182(+) atataatggaa >hg38_chr11:46904043-46904053(+) GAACAAAGGGT >hg38_chr11:46946856-46946866(+) gcacaatgctt >hg38_chr11:46969663-46969673(+) caacaatggac >hg38_chr11:46969694-46969704(+) gaacaatggga >hg38_chr11:46977528-46977538(-) aaacaaaggtt >hg38_chr11:46977597-46977607(-) TAACAAAGGTT >hg38_chr11:46987392-46987402(+) GGACAATGCTG >hg38_chr11:46988736-46988746(+) acacaaaggaa >hg38_chr11:47021489-47021499(-) AGACAATAGCT >hg38_chr11:47032783-47032793(+) ACAcaatgggc >hg38_chr11:47033670-47033680(-) aaacaatagat >hg38_chr11:47057494-47057504(+) taacaatgaga >hg38_chr11:47060927-47060937(+) ctacaataTAA >hg38_chr11:47060996-47061006(-) acacaatgaat >hg38_chr11:47071501-47071511(-) TCACAATGATA >hg38_chr11:47071516-47071526(-) taacaatGATC >hg38_chr11:47083810-47083820(-) atacaacggaa >hg38_chr11:47084204-47084214(-) tcacaaaggaa >hg38_chr11:47101684-47101694(+) GAACAATAGCC >hg38_chr11:47139102-47139112(-) GAACAATGAAG >hg38_chr11:47177516-47177526(+) CGACAgtggtg >hg38_chr11:47185613-47185623(+) TAACAAAGGGG >hg38_chr11:47194369-47194379(-) ACACAATGGCA >hg38_chr11:47400927-47400937(+) ccacaatgaga >hg38_chr11:47412172-47412182(-) GGACAATGACC >hg38_chr11:47489456-47489466(+) ATACAATGACA >hg38_chr11:47495221-47495231(+) AGACAATGGGT >hg38_chr11:47507656-47507666(+) AGACAATAAAC >hg38_chr11:47507683-47507693(+) GGACAATGTCT >hg38_chr11:47517378-47517388(-) aaacaatgttc >hg38_chr11:47586166-47586176(+) GGACAATACGC >hg38_chr11:47618089-47618099(-) GTATAATGGCA >hg38_chr11:47621121-47621131(+) GCACAATGTTC >hg38_chr11:47699419-47699429(-) GAACAATGAAT >hg38_chr11:47731194-47731204(+) TAACAATAGTA >hg38_chr11:47768442-47768452(-) AAACAATAAAA >hg38_chr11:47784490-47784500(-) GAACAATGAGC >hg38_chr11:47784510-47784520(-) GAACAATGAGT >hg38_chr11:47806655-47806665(+) GAATAATGGTT >hg38_chr11:47812255-47812265(-) AAACAATGATG >hg38_chr11:47843611-47843621(-) ttacaataacc >hg38_chr11:47848347-47848357(+) GAACAAAGGCA >hg38_chr11:47865282-47865292(-) GCACAAAGGGA >hg38_chr11:47870235-47870245(+) AGACAATGTCA >hg38_chr11:47905514-47905524(+) GGACAGTGGAT >hg38_chr11:47944158-47944168(-) agacaatgggc >hg38_chr11:48005911-48005921(+) agacaatggca >hg38_chr11:48009004-48009014(-) AGACAATGACT >hg38_chr11:48009040-48009050(+) ACACAATGAGT >hg38_chr11:48009084-48009094(+) ACACAATGGGA >hg38_chr11:48011139-48011149(-) TGACAATGAAA >hg38_chr11:48019501-48019511(+) GTACAATATAA >hg38_chr11:48035683-48035693(-) ACACAATGGTG >hg38_chr11:48104532-48104542(-) TAACAATAGAC >hg38_chr11:48104542-48104552(-) GCACAATGGGT >hg38_chr11:48110659-48110669(-) AAACAATATAC >hg38_chr11:48117064-48117074(-) TAACAATAGTT >hg38_chr11:48134041-48134051(-) tcacaatgttg >hg38_chr11:48141897-48141907(-) ttacaatggtt >hg38_chr11:48205962-48205972(+) ggacaatgtgc >hg38_chr11:48272964-48272974(+) aaacaatgtat >hg38_chr11:55389067-55389077(-) CAATAATGGAT >hg38_chr11:55664238-55664248(-) taacaatgctg >hg38_chr11:55664291-55664301(-) GAACAAAGGAT >hg38_chr11:55945422-55945432(+) ACACAATGCAA >hg38_chr11:55945450-55945460(-) GAACAATTGTA >hg38_chr11:55978944-55978954(+) AAACAAAGGAT >hg38_chr11:56071457-56071467(+) CCACAATGGCT >hg38_chr11:56071482-56071492(-) TCACAATGGCC >hg38_chr11:56082757-56082767(-) GAACAATAGGA >hg38_chr11:56132989-56132999(+) ATACAAAGGAG >hg38_chr11:56404503-56404513(+) CCACAATGAAC >hg38_chr11:56404512-56404522(+) ACACAATGAAT >hg38_chr11:56404522-56404532(-) GGACAAAGGGA >hg38_chr11:56439134-56439144(+) tgacaatcgtt >hg38_chr11:56525271-56525281(-) CGACAATGGCA >hg38_chr11:56608680-56608690(+) TAACAATAAGC >hg38_chr11:56608726-56608736(-) AAACAATGAAA >hg38_chr11:56877966-56877976(-) atacaatgggc >hg38_chr11:56885757-56885767(+) ACACAATGGAT >hg38_chr11:56906694-56906704(-) TCACAATAGCA >hg38_chr11:56932976-56932986(+) TAACAATGACA >hg38_chr11:56955934-56955944(-) agacaatgtat >hg38_chr11:57081616-57081626(-) TGACAATAGca >hg38_chr11:57085761-57085771(+) caacaatagaa >hg38_chr11:57088139-57088149(-) gcacaaaggtc >hg38_chr11:57088153-57088163(+) acacaatggca >hg38_chr11:57101083-57101093(+) caacaataggg >hg38_chr11:57101106-57101116(+) aaacagtggtc >hg38_chr11:57101148-57101158(+) agacaatggtg >hg38_chr11:57101963-57101973(+) AAATAATGGTG >hg38_chr11:57122551-57122561(+) AAACAATGTGC >hg38_chr11:57122595-57122605(-) GAACAATGTGA >hg38_chr11:57168373-57168383(-) atacaatgttt >hg38_chr11:57168434-57168444(-) gaataatggtt >hg38_chr11:57199127-57199137(+) CCACAATGGTG >hg38_chr11:57199185-57199195(+) AGACAAAGACG >hg38_chr11:57205552-57205562(-) atacaatgcta >hg38_chr11:57210834-57210844(-) ACACAATGTCA >hg38_chr11:57213514-57213524(-) GAACAAAGGCT >hg38_chr11:57213526-57213536(-) AGACAAAGGGA >hg38_chr11:57224782-57224792(-) ATACAAAGGAA >hg38_chr11:57231080-57231090(-) agacaatggaa >hg38_chr11:57283715-57283725(+) agacaatggga >hg38_chr11:57289381-57289391(+) AAACAAAGGGC >hg38_chr11:57422269-57422279(+) atacaatgaaa >hg38_chr11:57422283-57422293(+) aaacaatgtta >hg38_chr11:57457390-57457400(+) AGACAATGGGG >hg38_chr11:57468851-57468861(-) taacaatgaat >hg38_chr11:57479250-57479260(-) TCACAATGATA >hg38_chr11:57516205-57516215(-) tcacaatcgcg >hg38_chr11:57527220-57527230(+) GCACAATATCG >hg38_chr11:57591438-57591448(+) atacaatggaa >hg38_chr11:57597946-57597956(-) AAACAATGAGG >hg38_chr11:57607166-57607176(+) AGACAATGTCT >hg38_chr11:57607192-57607202(+) AAACAAAGGCT >hg38_chr11:57653301-57653311(+) AGACAATGTGG >hg38_chr11:57712174-57712184(-) GTACGATGGAG >hg38_chr11:57712232-57712242(+) GGACAATGCTT >hg38_chr11:57763816-57763826(+) GTACAAAGGCA >hg38_chr11:57778209-57778219(-) AAACAAAGGCA >hg38_chr11:57800727-57800737(+) AAACAATGGCT >hg38_chr11:57800753-57800763(+) ACACAATAGAT >hg38_chr11:57812158-57812168(-) ctacaatgact >hg38_chr11:57842790-57842800(-) tgacaatgtcc >hg38_chr11:57842802-57842812(+) aaacaatagag >hg38_chr11:57861273-57861283(-) GAACAATGTGT >hg38_chr11:57868735-57868745(-) agacaatggga >hg38_chr11:57901784-57901794(+) ACACAATAGGG >hg38_chr11:57916972-57916982(-) GAACAATGGCA >hg38_chr11:58110633-58110643(-) acacaatggtt >hg38_chr11:58264614-58264624(+) agacaatgcag >hg38_chr11:58384681-58384691(-) GGATAATGGAA >hg38_chr11:58389136-58389146(+) ATACAATATTA >hg38_chr11:58429346-58429356(+) atacaataagc >hg38_chr11:58429375-58429385(-) ttacaatgtat >hg38_chr11:58429928-58429938(+) taacaataaat >hg38_chr11:58466412-58466422(-) acacaatgaaa >hg38_chr11:58486482-58486492(+) caacaatgaac >hg38_chr11:58581928-58581938(+) TGACAATGGCA >hg38_chr11:58591321-58591331(-) ccacaatggga >hg38_chr11:58599048-58599058(+) atacaatatgt >hg38_chr11:58613914-58613924(+) gtacaatacat >hg38_chr11:58613957-58613967(-) taacaataacc >hg38_chr11:58616082-58616092(+) TGACAAAGGGA >hg38_chr11:58627107-58627117(-) TGACAATGCAC >hg38_chr11:58628502-58628512(+) TAACAATAAAG >hg38_chr11:58723654-58723664(+) GAACAATTGTA >hg38_chr11:58762889-58762899(+) caacaatagca >hg38_chr11:58795351-58795361(-) GCACAATGCAG >hg38_chr11:58844530-58844540(+) ACACAATAAAA >hg38_chr11:58888730-58888740(-) GTACAATGGTG >hg38_chr11:58888781-58888791(-) GTACAATGTAT >hg38_chr11:58893996-58894006(-) TAACAATGATG >hg38_chr11:58937869-58937879(-) TCACAATGTGT >hg38_chr11:58968351-58968361(-) AGACAATGCCA >hg38_chr11:59011814-59011824(-) caacaatgagt >hg38_chr11:59011834-59011844(+) acacaaaggaa >hg38_chr11:59025049-59025059(+) Taacaatgacc >hg38_chr11:59025077-59025087(+) taacaatacgc >hg38_chr11:59069457-59069467(-) tgacaaaggac >hg38_chr11:59141649-59141659(-) GGACAATAGGC >hg38_chr11:59148037-59148047(-) AAACAATGTCT >hg38_chr11:59150366-59150376(-) gcacaatgact >hg38_chr11:59150378-59150388(-) tgacaatgcct >hg38_chr11:59150388-59150398(+) atacaatgatg >hg38_chr11:59150424-59150434(-) TAACAATGCTa >hg38_chr11:59169797-59169807(-) aaacaatagcc >hg38_chr11:59169838-59169848(-) agacaAtgccc >hg38_chr11:59173553-59173563(-) AGACAAAGGGA >hg38_chr11:59180453-59180463(-) AAACAATGGCT >hg38_chr11:59203708-59203718(-) ttacaatagag >hg38_chr11:59206879-59206889(-) ATACAATGGCT >hg38_chr11:59213598-59213608(-) GGACAATGCAA >hg38_chr11:59253834-59253844(-) tcacaaaggac >hg38_chr11:59257633-59257643(+) GAACAATGGTG >hg38_chr11:59284742-59284752(+) GAACAATAAAT >hg38_chr11:59380677-59380687(-) acacaatgaca >hg38_chr11:59380719-59380729(+) taacaatgagt >hg38_chr11:59430054-59430064(-) AGACAATGGTT >hg38_chr11:59472524-59472534(+) AGACAAAGGTC >hg38_chr11:59502202-59502212(+) atacaatagaa >hg38_chr11:59511359-59511369(+) TAACAATATTC >hg38_chr11:59537910-59537920(+) tgacaatgcaa >hg38_chr11:59551183-59551193(-) TTACACTGGAA >hg38_chr11:59551216-59551226(+) AGACAAAGGTT >hg38_chr11:59551736-59551746(-) AAACAATGTTT >hg38_chr11:59565724-59565734(-) aaaCAATAACA >hg38_chr11:59630021-59630031(+) TAACTATGGAA >hg38_chr11:59630035-59630045(-) CCACAATGTCA >hg38_chr11:59630049-59630059(-) TAACAAAGGTA >hg38_chr11:59650361-59650371(-) AAATAATGGAT >hg38_chr11:59650431-59650441(+) ggacaatgtaa >hg38_chr11:59650610-59650620(+) ACACAATAGTC >hg38_chr11:59667672-59667682(-) TTACAATGTAA >hg38_chr11:59686773-59686783(+) aaacaatgtgg >hg38_chr11:59686792-59686802(+) gaacaattgta >hg38_chr11:59782421-59782431(-) TGACAATGATA >hg38_chr11:59785990-59786000(+) TGACAGTGGCG >hg38_chr11:59865224-59865234(+) ACACAATGGAA >hg38_chr11:59866692-59866702(-) AGACAAAGGAA >hg38_chr11:59883007-59883017(-) acacaaaggta >hg38_chr11:59883777-59883787(-) gtacaatgggg >hg38_chr11:60074525-60074535(-) acacaatggta >hg38_chr11:60080352-60080362(+) ggacaatgttg >hg38_chr11:60080371-60080381(-) gcacaatagaa >hg38_chr11:60080385-60080395(-) ttacaatgtgt >hg38_chr11:60085094-60085104(-) gaacaaaggct >hg38_chr11:60157220-60157230(-) gaacAATAAAA >hg38_chr11:60193827-60193837(-) GAACAAAGGCA >hg38_chr11:60208312-60208322(-) TGACAATGTAC >hg38_chr11:60209150-60209160(-) gaacaatggtc >hg38_chr11:60216137-60216147(+) GAATAATGGAA >hg38_chr11:60259979-60259989(-) CAACAATGATC >hg38_chr11:60273721-60273731(+) aaacaataaat >hg38_chr11:60316006-60316016(+) gtacaatgcag >hg38_chr11:60334166-60334176(+) GAACAAAGGCA >hg38_chr11:60348224-60348234(+) gcacaaaggga >hg38_chr11:60348271-60348281(+) tgacaatgtgc >hg38_chr11:60353880-60353890(+) GCACAATAGTA >hg38_chr11:60364022-60364032(-) agacaatgagg >hg38_chr11:60370910-60370920(+) AAACAATGACT >hg38_chr11:60468641-60468651(-) CTACAATGGCT >hg38_chr11:60468987-60468997(-) CCACAATGTCA >hg38_chr11:60469127-60469137(-) AAACAATAGCC >hg38_chr11:60469156-60469166(-) GCATAATGGCT >hg38_chr11:60497952-60497962(+) TAACAATGAAC >hg38_chr11:60497959-60497969(+) GAACAATGATG >hg38_chr11:60530185-60530195(-) tgacaatgcct >hg38_chr11:60530223-60530233(+) aaacaatggcc >hg38_chr11:60610603-60610613(-) AGACAATGCTA >hg38_chr11:60698031-60698041(-) caacaatgttt >hg38_chr11:60742614-60742624(+) taacaaagggc >hg38_chr11:60775682-60775692(+) TGACAATGTGG >hg38_chr11:60914122-60914132(-) TCACAATCGAA >hg38_chr11:60915482-60915492(+) aaacaaagggc >hg38_chr11:60924470-60924480(-) AGACAATGGAG >hg38_chr11:60925767-60925777(-) GCATAATGGAT >hg38_chr11:60943354-60943364(-) gtacaatgggt >hg38_chr11:60945029-60945039(-) aaacaatggca >hg38_chr11:60947080-60947090(-) CGACAATAAAA >hg38_chr11:60974903-60974913(+) acactatgaCG >hg38_chr11:60979123-60979133(-) ACACAATGGAA >hg38_chr11:61076058-61076068(+) ctacaaaggaa >hg38_chr11:61289833-61289843(+) ctacaatgaac >hg38_chr11:61307112-61307122(+) gaacaatggct >hg38_chr11:61361499-61361509(+) TAACAATGGGT >hg38_chr11:61378937-61378947(+) taacactggag >hg38_chr11:61385120-61385130(-) gtacaatgtta >hg38_chr11:61385129-61385139(-) ctacaatgagt >hg38_chr11:61430232-61430242(-) AGACAAAGGGT >hg38_chr11:61481113-61481123(-) AAACAATGTGT >hg38_chr11:61588323-61588333(-) ggataatgcgt >hg38_chr11:61588353-61588363(-) tcacaacggga >hg38_chr11:61617644-61617654(-) agacaataaca >hg38_chr11:61769789-61769799(+) GAACAAAGGGA >hg38_chr11:61793910-61793920(+) GAACAATGAAA >hg38_chr11:61797341-61797351(+) TAACAATGGGT >hg38_chr11:61814607-61814617(+) gaacaatgctt >hg38_chr11:61816306-61816316(-) AAACAAAGGGT >hg38_chr11:61871035-61871045(-) GAACAAAGGCT >hg38_chr11:61971644-61971654(+) GAACAATGGAA >hg38_chr11:61997169-61997179(-) GAACAAAGGGC >hg38_chr11:62039401-62039411(-) aaacaataaga >hg38_chr11:62045971-62045981(+) ATACAATAGAA >hg38_chr11:62106547-62106557(-) AGACAATGAAG >hg38_chr11:62109312-62109322(+) atacaatgtct >hg38_chr11:62181101-62181111(-) tcacaatagcc >hg38_chr11:62271140-62271150(+) TGACAATGCCA >hg38_chr11:62335040-62335050(+) TGACAATGGCA >hg38_chr11:62400884-62400894(+) GCACAATGAAA >hg38_chr11:62444390-62444400(-) GAACAATGCCC >hg38_chr11:62456873-62456883(-) tgacaaaggac >hg38_chr11:62497261-62497271(-) TGACAATGCCA >hg38_chr11:62551977-62551987(-) TTACTATGGAA >hg38_chr11:62579383-62579393(+) tgataatggta >hg38_chr11:62599277-62599287(-) GAACAATACAC >hg38_chr11:62604851-62604861(-) AAACAGTGGGA >hg38_chr11:62665164-62665174(-) CGACTATGGGA >hg38_chr11:62669767-62669777(+) CCACAATAGAC >hg38_chr11:62723608-62723618(-) TGACAAAGGGA >hg38_chr11:62724015-62724025(+) ACACAATGTAA >hg38_chr11:62725615-62725625(+) GTACAATGGGT >hg38_chr11:62741456-62741466(-) TAACAAAGGGG >hg38_chr11:62881595-62881605(-) GGACAATGTGC >hg38_chr11:62928780-62928790(-) GAACAAAGGGT >hg38_chr11:62948415-62948425(+) atacaatcgaa >hg38_chr11:63035822-63035832(-) ACACAATCGTT >hg38_chr11:63082856-63082866(-) ACACAATGCCC >hg38_chr11:63082903-63082913(+) CCACAATAGCT >hg38_chr11:63147311-63147321(-) GCACAATAGGA >hg38_chr11:63281052-63281062(-) aaacaataaga >hg38_chr11:63358493-63358503(-) ggacaaaggag >hg38_chr11:63416073-63416083(+) TCACAATGAGG >hg38_chr11:63448841-63448851(-) TCACAATAGAG >hg38_chr11:63448897-63448907(-) TCACAATGGAC >hg38_chr11:63471442-63471452(-) AAACAATAGGA >hg38_chr11:63471468-63471478(-) CAATAATGGAT >hg38_chr11:63476572-63476582(-) aaacaatagtc >hg38_chr11:63476602-63476612(+) atacaataagt >hg38_chr11:63490782-63490792(+) ACACAATCGCC >hg38_chr11:63490844-63490854(+) GGACAATTGCG >hg38_chr11:63524253-63524263(-) agacaatgaga >hg38_chr11:63524278-63524288(-) agacaatgaac >hg38_chr11:63564715-63564725(-) GGACAATGGGG >hg38_chr11:63567240-63567250(-) ttacaatgtga >hg38_chr11:63583484-63583494(-) AAACAATGAGG >hg38_chr11:63603343-63603353(+) CAACAATGGCA >hg38_chr11:63687879-63687889(-) TAACAATAGTC >hg38_chr11:63715977-63715987(+) GTATAATGGTA >hg38_chr11:63723024-63723034(-) TAACAAAGGAA >hg38_chr11:63766695-63766705(+) AAACAAAGGGG >hg38_chr11:63769836-63769846(+) CAACAAAGGCG >hg38_chr11:63784594-63784604(+) ggacaatagta >hg38_chr11:63813410-63813420(+) CGACAATGAGC >hg38_chr11:63841735-63841745(-) ACACAATGCCC >hg38_chr11:63847563-63847573(+) ATACAAAGGTC >hg38_chr11:63880539-63880549(-) TGACAATGAAT >hg38_chr11:63880557-63880567(+) ACACAATGGTT >hg38_chr11:63917158-63917168(+) ACACAATGAAG >hg38_chr11:63918557-63918567(+) GGACAAAGGAG >hg38_chr11:63919345-63919355(+) ACACAAAGGAC >hg38_chr11:63934704-63934714(+) ACACAATAGGG >hg38_chr11:63939160-63939170(-) GAACAAAGGGG >hg38_chr11:63965339-63965349(-) atacaatgaaa >hg38_chr11:63976727-63976737(-) GCACAATGACA >hg38_chr11:63985632-63985642(-) aaataatggtt >hg38_chr11:63986666-63986676(+) TCACAATGGAA >hg38_chr11:64048252-64048262(-) CCACAATGAGG >hg38_chr11:64127925-64127935(+) GAACAATAGCA >hg38_chr11:64294677-64294687(-) GAACAAAGGGT >hg38_chr11:64294938-64294948(+) TCACAATGGAA >hg38_chr11:64305280-64305290(-) GGACAATAGAG >hg38_chr11:64355712-64355722(+) cgacaatgatc >hg38_chr11:64403434-64403444(+) tgacaataggg >hg38_chr11:64473185-64473195(+) ctacaatgacc >hg38_chr11:64566542-64566552(-) taacaaaggtt >hg38_chr11:64703189-64703199(+) ggacaatgcct >hg38_chr11:64739205-64739215(-) gcacaatggca >hg38_chr11:64774286-64774296(+) GGACAATGTAC >hg38_chr11:64782815-64782825(+) taacaaaggct >hg38_chr11:64863512-64863522(+) GGACAAAGGCA >hg38_chr11:64875068-64875078(-) AGAGAATGGCG >hg38_chr11:64972168-64972178(-) CGACAATGAAA >hg38_chr11:65102446-65102456(+) CGACAATGACA >hg38_chr11:65112963-65112973(-) ACACAAAGGAG >hg38_chr11:65182455-65182465(+) TGACAATGGGA >hg38_chr11:65213420-65213430(-) GAATAATGGGA >hg38_chr11:65244049-65244059(-) acacaatggga >hg38_chr11:65315888-65315898(-) GAACAATAGCA >hg38_chr11:65325676-65325686(-) atacaatggaa >hg38_chr11:65335316-65335326(-) TTACAATGGGA >hg38_chr11:65433570-65433580(+) TTACAATGAAC >hg38_chr11:65438751-65438761(-) atacagtggaa >hg38_chr11:65453042-65453052(-) AGACAAAGGGC >hg38_chr11:65453309-65453319(-) tcacaatgttg >hg38_chr11:65453369-65453379(+) taacaatgagt >hg38_chr11:65481743-65481753(-) GAACAATGCCC >hg38_chr11:65491949-65491959(-) GAACAATGAAG >hg38_chr11:65498961-65498971(+) TCACAAAGGCG >hg38_chr11:65614241-65614251(-) GTACAAAGGGA >hg38_chr11:65647138-65647148(-) GGACAAAGGCG >hg38_chr11:65653087-65653097(-) AAACAAAGGCC >hg38_chr11:65690622-65690632(-) gaacaatggtg >hg38_chr11:65695997-65696007(+) Taacaatagcc >hg38_chr11:65769836-65769846(-) aaacaatagcc >hg38_chr11:65820796-65820806(+) caacaatgtaa >hg38_chr11:65827460-65827470(+) TAACAATGGGA >hg38_chr11:65833834-65833844(+) AGACAATGCAG >hg38_chr11:65858217-65858227(+) GCACAATGAGG >hg38_chr11:65868120-65868130(+) CTACAAAGGGA >hg38_chr11:65884780-65884790(-) AAACAATGGGG >hg38_chr11:65891875-65891885(+) AGACAATGGGG >hg38_chr11:65937475-65937485(+) ATACAAAGGCA >hg38_chr11:65946354-65946364(+) acacaataggt >hg38_chr11:65985211-65985221(+) gtacaatggga >hg38_chr11:65989318-65989328(+) TAACAAAGGAT >hg38_chr11:65989343-65989353(-) CCACAATGAGT >hg38_chr11:65991218-65991228(+) aaacaaaggga >hg38_chr11:66104510-66104520(+) ccacaatgggc >hg38_chr11:66145217-66145227(+) gaacaatggga >hg38_chr11:66163634-66163644(-) TAACAATACAC >hg38_chr11:66163859-66163869(+) ATACAATGCTT >hg38_chr11:66196034-66196044(-) acacaatgacc >hg38_chr11:66218229-66218239(-) AAACAATGAAC >hg38_chr11:66328614-66328624(-) gaacaatggcc >hg38_chr11:66348157-66348167(-) gcacaatggat >hg38_chr11:66408651-66408661(+) ACACAATGCTT >hg38_chr11:66439072-66439082(-) CCACAATGCTA >hg38_chr11:66570201-66570211(-) gaacaatggct >hg38_chr11:66570985-66570995(-) gtataatgaaa >hg38_chr11:66600729-66600739(+) atacaatagat >hg38_chr11:66650044-66650054(-) gcacaatgccc >hg38_chr11:66678126-66678136(+) CCACAATGGGT >hg38_chr11:66754135-66754145(-) tgacaatggag >hg38_chr11:66754143-66754153(+) tcacaatgatg >hg38_chr11:66754177-66754187(-) gcacaatgttt >hg38_chr11:66831058-66831068(+) ccacaatgaga >hg38_chr11:66876079-66876089(+) caacaatggtg >hg38_chr11:66883195-66883205(-) AGACCATGGCG >hg38_chr11:66906116-66906126(+) AGACAATGACC >hg38_chr11:66913181-66913191(+) ACACAATAGGC >hg38_chr11:66982257-66982267(+) AGACAatagga >hg38_chr11:67116921-67116931(+) TGACAATGGCA >hg38_chr11:67159530-67159540(+) acacaatgatg >hg38_chr11:67159785-67159795(+) AGACAATAGTG >hg38_chr11:67174876-67174886(-) GAACAATGACA >hg38_chr11:67175961-67175971(+) AAACAATGTTA >hg38_chr11:67178749-67178759(-) atacaatgaga >hg38_chr11:67197809-67197819(+) gaacaatgcaa >hg38_chr11:67203113-67203123(+) caacaatgagg >hg38_chr11:67207329-67207339(-) AGACAATGGAT >hg38_chr11:67220735-67220745(-) ttacaatagac >hg38_chr11:67240087-67240097(-) GAACAATGCTG >hg38_chr11:67247853-67247863(+) TGataatggct >hg38_chr11:67247865-67247875(+) atacaaagggt >hg38_chr11:67247889-67247899(-) taacaaaggta >hg38_chr11:67247908-67247918(+) acacaatgaat >hg38_chr11:67252976-67252986(-) CAACAATGGCA >hg38_chr11:67293309-67293319(-) gaataatgacg >hg38_chr11:67357338-67357348(+) GAACAAAGGCA >hg38_chr11:67374196-67374206(-) AGACAAAGGGC >hg38_chr11:67374225-67374235(-) GGACAATAGGC >hg38_chr11:67384857-67384867(+) AGACAATCGTG >hg38_chr11:67582797-67582807(+) gaacaatgaga >hg38_chr11:67617370-67617380(-) taacaatagcg >hg38_chr11:67644217-67644227(+) CAACAATGACC >hg38_chr11:67691426-67691436(-) agacaatagag >hg38_chr11:67692252-67692262(-) atacaatactc >hg38_chr11:68009976-68009986(+) atacaataATA >hg38_chr11:68013417-68013427(+) TTACAAAGGGT >hg38_chr11:68017627-68017637(+) GGACAATGTCC >hg38_chr11:68102439-68102449(+) acacaataggg >hg38_chr11:68106826-68106836(-) atacaaagcgt >hg38_chr11:68120541-68120551(-) GAACAATACCG >hg38_chr11:68140942-68140952(+) AGACaataaca >hg38_chr11:68213849-68213859(+) GGACAAAGGGC >hg38_chr11:68219945-68219955(+) caacaatgagg >hg38_chr11:68304161-68304171(-) ggacaatgaaa >hg38_chr11:68328473-68328483(+) GAACAATGAGA >hg38_chr11:68328482-68328492(-) TTACAAAGGTC >hg38_chr11:68441507-68441517(+) GGACAAAGGGA >hg38_chr11:68455029-68455039(-) GAACAATGCTT >hg38_chr11:68484352-68484362(-) caacaatgtgg >hg38_chr11:68550928-68550938(-) TAACAATGGCC >hg38_chr11:68550939-68550949(+) AAACAATATAT >hg38_chr11:68554640-68554650(-) ATACAATGAAT >hg38_chr11:68554871-68554881(-) TAACAATGCTA >hg38_chr11:68554912-68554922(-) CAACAATAGAA >hg38_chr11:68574477-68574487(-) TGACAATAGGT >hg38_chr11:68659299-68659309(+) ACACAATGCAA >hg38_chr11:68724581-68724591(+) tgacaatgccg >hg38_chr11:68854056-68854066(-) atacaaaggag >hg38_chr11:68950925-68950935(-) CTACAATGAGG >hg38_chr11:68982874-68982884(-) atactatggag >hg38_chr11:69044424-69044434(-) AAACAATGTGG >hg38_chr11:69044842-69044852(-) ctacaaaggga >hg38_chr11:69157504-69157514(+) GGACAATGCTG >hg38_chr11:69157513-69157523(-) TGACAATGACA >hg38_chr11:69298204-69298214(-) ttactatggag >hg38_chr11:69330349-69330359(+) acacaatggct >hg38_chr11:69332844-69332854(+) atataatagta >hg38_chr11:69365069-69365079(-) taacaatggac >hg38_chr11:69439162-69439172(-) GGACAAAGGTG >hg38_chr11:69449114-69449124(+) ATACAATGGAC >hg38_chr11:69461546-69461556(+) taacaaagggt >hg38_chr11:69461607-69461617(+) gaacaATGTAT >hg38_chr11:69471501-69471511(-) GGACAATGAGG >hg38_chr11:69476755-69476765(+) GGACAAAGGGT >hg38_chr11:69476794-69476804(-) AAACAATGGGC >hg38_chr11:69497411-69497421(-) GGACAATAGCT >hg38_chr11:69521662-69521672(-) agacaatggga >hg38_chr11:69646487-69646497(-) GCACAAAGGGA >hg38_chr11:69658314-69658324(+) AGACAATAGCA >hg38_chr11:69702968-69702978(+) GAATAATGGGT >hg38_chr11:69704733-69704743(+) AAACAATGGAA >hg38_chr11:69718422-69718432(+) cgacaatgatc >hg38_chr11:69744796-69744806(-) atacaatggga >hg38_chr11:69832526-69832536(-) AGACAATGGGG >hg38_chr11:69847207-69847217(-) AAATAATGGAC >hg38_chr11:69889757-69889767(-) TGACAATGCGA >hg38_chr11:69935333-69935343(+) ggacaaaggag >hg38_chr11:69938497-69938507(-) TGACAATAGTG >hg38_chr11:69943354-69943364(+) ACACAAAGGGA >hg38_chr11:69949602-69949612(+) GAACAATGAGA >hg38_chr11:69962407-69962417(-) GTACAAAGGGG >hg38_chr11:69992775-69992785(-) GAACAATGCCA >hg38_chr11:70005533-70005543(-) CAACAATGAGG >hg38_chr11:70055919-70055929(+) ggacaatgtga >hg38_chr11:70056336-70056346(-) agacaatagag >hg38_chr11:70089822-70089832(+) GAACAATGCGG >hg38_chr11:70181484-70181494(+) AGACAAAGGCG >hg38_chr11:70384155-70384165(+) AGACCATGGCG >hg38_chr11:70389345-70389355(-) tgacaatagac >hg38_chr11:70449222-70449232(-) GTACAATGAGA >hg38_chr11:70457298-70457308(+) GAACAATGATG >hg38_chr11:70470116-70470126(+) GAACAGTGGGT >hg38_chr11:70545259-70545269(-) ACACAAAGGAG >hg38_chr11:70555263-70555273(+) ctacaatggcc >hg38_chr11:70638345-70638355(+) GCACAATGCTT >hg38_chr11:70638354-70638364(-) TGACAATAGAA >hg38_chr11:70661704-70661714(-) CAATAATGGTC >hg38_chr11:70704017-70704027(+) GGACAATGCCG >hg38_chr11:70788472-70788482(-) ggacaaaggga >hg38_chr11:70861819-70861829(+) AGACAATAAAT >hg38_chr11:70873207-70873217(+) AAACAATGAGT >hg38_chr11:70887552-70887562(-) AGACAATGAAC >hg38_chr11:70913902-70913912(-) GAACAATGAGA >hg38_chr11:70935199-70935209(-) ggacaaaggga >hg38_chr11:71080445-71080455(+) aaactatggca >hg38_chr11:71175176-71175186(-) AGACGATGGGT >hg38_chr11:71178227-71178237(+) atacagtggaa >hg38_chr11:71327365-71327375(-) GTACATTGGTA >hg38_chr11:71339488-71339498(-) TAACTATGCGT >hg38_chr11:71339501-71339511(-) GCATAATGGAC >hg38_chr11:71410632-71410642(+) tgacaatggtg >hg38_chr11:71410644-71410654(+) taacaatgatg >hg38_chr11:71425595-71425605(-) gaacaaaggcg >hg38_chr11:71451811-71451821(-) acacaaaggca >hg38_chr11:71467772-71467782(+) agataatggag >hg38_chr11:71477785-71477795(-) ACACAAAGGAG >hg38_chr11:71787122-71787132(-) AAACAATGATT >hg38_chr11:71952062-71952072(+) aaacaatgcct >hg38_chr11:72041942-72041952(-) CGACAATAGCA >hg38_chr11:72048937-72048947(-) GGACAATGAGG >hg38_chr11:72048957-72048967(+) GGATAATGGCT >hg38_chr11:72053396-72053406(+) gcacaatggct >hg38_chr11:72053631-72053641(-) AGACAATGGTT >hg38_chr11:72053695-72053705(+) CAACAATAGCT >hg38_chr11:72060165-72060175(+) aaactatggcc >hg38_chr11:72071347-72071357(-) ATACAATAGTT >hg38_chr11:72080060-72080070(-) CCACAATGACC >hg38_chr11:72080116-72080126(-) TAACAATAGCA >hg38_chr11:72080548-72080558(+) AAACTATGGCC >hg38_chr11:72112813-72112823(-) TAACAATGTGA >hg38_chr11:72132312-72132322(+) AGACAatgtta >hg38_chr11:72278712-72278722(-) taacaatcggt >hg38_chr11:72285096-72285106(+) acacaatgcaa >hg38_chr11:72285105-72285115(+) aaacaaagggt >hg38_chr11:72352203-72352213(-) gtacaatgggc >hg38_chr11:72352210-72352220(-) gaataatgtac >hg38_chr11:72398134-72398144(-) GAACAATGGCC >hg38_chr11:72407384-72407394(-) aaacaataata >hg38_chr11:72424362-72424372(-) aaataatgggt >hg38_chr11:72424403-72424413(+) gaacaatgtat >hg38_chr11:72424418-72424428(+) aaacaatagct >hg38_chr11:72434674-72434684(+) TCACAATGACT >hg38_chr11:72445609-72445619(+) atacaatggag >hg38_chr11:72445653-72445663(+) gcacaatgaca >hg38_chr11:72472521-72472531(-) AAACAAAGGCT >hg38_chr11:72491890-72491900(+) gtacaatacaa >hg38_chr11:72507297-72507307(-) agacaatggga >hg38_chr11:72653121-72653131(-) AAACAATGGCC >hg38_chr11:72657525-72657535(+) GTACAAAGGCC >hg38_chr11:72863242-72863252(+) tgacaatgatg >hg38_chr11:72863771-72863781(+) tgacaatgatt >hg38_chr11:72885849-72885859(-) GTACAATGAAT >hg38_chr11:72904437-72904447(+) caacaatagaa >hg38_chr11:72920087-72920097(+) gaacaataaca >hg38_chr11:72927300-72927310(+) ctacaatggtg >hg38_chr11:72927317-72927327(-) gtataatgata >hg38_chr11:72928116-72928126(+) tgataatggtt >hg38_chr11:72989929-72989939(-) GCACAATAGTC >hg38_chr11:73001250-73001260(+) TTATAATGGCT >hg38_chr11:73002975-73002985(+) ttacaataata >hg38_chr11:73012628-73012638(-) agacaatagaa >hg38_chr11:73012642-73012652(+) tcacaatagca >hg38_chr11:73040199-73040209(+) CCACAATGGCC >hg38_chr11:73042403-73042413(-) gaacaatggga >hg38_chr11:73042661-73042671(+) taacaatgttc >hg38_chr11:73240201-73240211(+) GAACAATGGGC >hg38_chr11:73277610-73277620(+) ggacaatgcaa >hg38_chr11:73289738-73289748(+) gaacaatggag >hg38_chr11:73307110-73307120(+) GAACAATGTGA >hg38_chr11:73335445-73335455(-) GGATAATAGCG >hg38_chr11:73340235-73340245(+) AGACAATAGGC >hg38_chr11:73344496-73344506(-) CCACAATGGTC >hg38_chr11:73344525-73344535(-) ACACAACGGCC >hg38_chr11:73347272-73347282(-) ATACAATGGAG >hg38_chr11:73377778-73377788(-) AAACAATAGAG >hg38_chr11:73412483-73412493(-) GAACAAAGGAT >hg38_chr11:73414232-73414242(-) ttacaatataa >hg38_chr11:73414290-73414300(+) ctacaatggta >hg38_chr11:73486193-73486203(+) ttacaatatac >hg38_chr11:73486266-73486276(-) tgacaatgtga >hg38_chr11:73491836-73491846(-) GAACAAAGGAG >hg38_chr11:73494485-73494495(-) AGACAAAGGAC >hg38_chr11:73521696-73521706(+) TAACAACGGGG >hg38_chr11:73527522-73527532(-) caacaataggt >hg38_chr11:73570607-73570617(-) ggacaataggt >hg38_chr11:73576463-73576473(-) GGACAATAAAA >hg38_chr11:73576472-73576482(-) AAACAATGGGG >hg38_chr11:73578879-73578889(+) tgacaataGCA >hg38_chr11:73591213-73591223(+) gaacaatggct >hg38_chr11:73598343-73598353(+) GGACAGTGGAA >hg38_chr11:73652559-73652569(-) GGACAATGGGG >hg38_chr11:73735191-73735201(+) CAACAATGATC >hg38_chr11:73761526-73761536(+) ggaCAAAGGTG >hg38_chr11:73766473-73766483(+) TAATAATGGGT >hg38_chr11:73779151-73779161(+) gaacaataaat >hg38_chr11:73780078-73780088(+) GGACAATGCTG >hg38_chr11:73785292-73785302(-) atacaatgtat >hg38_chr11:73899906-73899916(+) TTACAAAGGAT >hg38_chr11:73996698-73996708(-) acacaaaggat >hg38_chr11:74024197-74024207(+) gaacaatgctt >hg38_chr11:74024216-74024226(+) aaacaatggag >hg38_chr11:74024233-74024243(+) agacagtggaa >hg38_chr11:74024990-74025000(+) AAACAATGGAA >hg38_chr11:74097511-74097521(+) taacaataacc >hg38_chr11:74098105-74098115(-) AAATAATGGCA >hg38_chr11:74141457-74141467(+) AAACAAAGGAG >hg38_chr11:74141499-74141509(+) TAACAATGAAC >hg38_chr11:74148737-74148747(+) CAACAATGTAA >hg38_chr11:74160814-74160824(+) acacaatgtat >hg38_chr11:74160840-74160850(-) gtacaatgtga >hg38_chr11:74162839-74162849(+) TGACAAAGGAT >hg38_chr11:74175905-74175915(-) gaacaatggac >hg38_chr11:74214276-74214286(+) acacaaaggag >hg38_chr11:74214307-74214317(+) aaacaatgcag >hg38_chr11:74214323-74214333(+) ctacaatagaa >hg38_chr11:74221361-74221371(-) CAACAATGGAA >hg38_chr11:74229155-74229165(-) TTATAATGGCA >hg38_chr11:74240242-74240252(+) ATACTATGGTC >hg38_chr11:74240915-74240925(-) ctacaatgcag >hg38_chr11:74249689-74249699(-) ggacaatggtg >hg38_chr11:74280819-74280829(-) GGACAATGACA >hg38_chr11:74326769-74326779(-) ggacaacgggc >hg38_chr11:74334603-74334613(+) TAACAATAGTG >hg38_chr11:74334617-74334627(+) ATACAATAGTT >hg38_chr11:74366928-74366938(+) gaacaatggaa >hg38_chr11:74370596-74370606(+) taacaatgtgt >hg38_chr11:74372662-74372672(+) AGACAATAAGA >hg38_chr11:74373589-74373599(+) TAACAATGGAG >hg38_chr11:74398833-74398843(-) AGACAAAGGAA >hg38_chr11:74417748-74417758(+) GAACAATGAGA >hg38_chr11:74437655-74437665(-) AAACAATGCAT >hg38_chr11:74437668-74437678(-) GTACAGTGGGA >hg38_chr11:74449429-74449439(+) TTACACTGGAT >hg38_chr11:74493271-74493281(-) CTACACTGGCG >hg38_chr11:74568829-74568839(+) gaacaatgctg >hg38_chr11:74687635-74687645(+) CAACAATGCTA >hg38_chr11:74696063-74696073(-) ctacaatgagg >hg38_chr11:74754994-74755004(+) AGACAATGTTT >hg38_chr11:74755582-74755592(+) taacaatacaa >hg38_chr11:74779958-74779968(-) gcacaatagca >hg38_chr11:74816106-74816116(-) ACACAATAGAC >hg38_chr11:74822349-74822359(-) AAACAAAGGAA >hg38_chr11:74822369-74822379(-) CCACAATGAGT >hg38_chr11:74849950-74849960(+) GCACAATGAGG >hg38_chr11:74917107-74917117(+) caacaatggtt >hg38_chr11:74931829-74931839(-) gaacaatgtgc >hg38_chr11:74932086-74932096(-) aaacaatagga >hg38_chr11:74956105-74956115(-) gaacaatgaag >hg38_chr11:74961060-74961070(-) acacaatatac >hg38_chr11:74977885-74977895(+) TAACaataata >hg38_chr11:75002904-75002914(-) taacaatgaaa >hg38_chr11:75072799-75072809(+) TGATAATGGCT >hg38_chr11:75134123-75134133(+) GAACAATGGCT >hg38_chr11:75203275-75203285(-) GGACAATGAAG >hg38_chr11:75233786-75233796(+) AAACAATGGAA >hg38_chr11:75330590-75330600(-) TCACAATGGAT >hg38_chr11:75340278-75340288(+) GGACAATGCTC >hg38_chr11:75349607-75349617(+) GGACAATGGAG >hg38_chr11:75462292-75462302(-) GAACAAAGGCT >hg38_chr11:75479443-75479453(-) gaacaatgcct >hg38_chr11:75526161-75526171(+) GGACAATGGAG >hg38_chr11:75532392-75532402(-) TGACAATGGTG >hg38_chr11:75563401-75563411(-) AGACAAAGGAG >hg38_chr11:75597849-75597859(-) ACACAATGGCC >hg38_chr11:75616554-75616564(-) TGACAATAGCT >hg38_chr11:75624967-75624977(+) TAACAATGTTC >hg38_chr11:75627200-75627210(+) CAACAATGGGA >hg38_chr11:75639473-75639483(+) GTACAATAGCA >hg38_chr11:75639497-75639507(-) CCACAATGGAT >hg38_chr11:75640351-75640361(+) agacaatgacc >hg38_chr11:75640374-75640384(+) atacaAAGGGA >hg38_chr11:75648676-75648686(+) aaacaaaggta >hg38_chr11:75648761-75648771(+) ggacaatggga >hg38_chr11:75664396-75664406(+) AAACAATGAGC >hg38_chr11:75716329-75716339(+) acacaatggaa >hg38_chr11:75716356-75716366(+) aaacaaaggca >hg38_chr11:75839602-75839612(-) AGATAATGGAT >hg38_chr11:75867945-75867955(+) atacaatgaca >hg38_chr11:75870188-75870198(-) AGACAATGAGC >hg38_chr11:75870248-75870258(+) TGACAATAGCT >hg38_chr11:75879559-75879569(-) AAACAAtgccc >hg38_chr11:75883608-75883618(-) gaacaatgcct >hg38_chr11:75895302-75895312(+) GCACTATGGAT >hg38_chr11:75899709-75899719(-) ccacaaTGCAC >hg38_chr11:75925579-75925589(+) AAACAAAGGGT >hg38_chr11:75925795-75925805(-) acacaataaaa >hg38_chr11:75925806-75925816(+) tcacaatagcc >hg38_chr11:75935145-75935155(-) gtacaatgaaa >hg38_chr11:75939593-75939603(-) TTACAAAGGgt >hg38_chr11:75942722-75942732(+) ATACAAAGGAA >hg38_chr11:75948374-75948384(+) TTACAGTGGGA >hg38_chr11:75962111-75962121(+) GCACAATAGTG >hg38_chr11:75962300-75962310(-) TGACAATAGGA >hg38_chr11:75990250-75990260(-) aaacaatgaag >hg38_chr11:75990300-75990310(+) ctacaatgggg >hg38_chr11:76025307-76025317(-) TAACAATGACT >hg38_chr11:76030664-76030674(-) ggacaatgagt >hg38_chr11:76039294-76039304(-) ttacaatgagt >hg38_chr11:76047033-76047043(+) TAACAATACAA >hg38_chr11:76047078-76047088(-) gtacAATGGTC >hg38_chr11:76057925-76057935(-) cgacaataaca >hg38_chr11:76073910-76073920(+) taacaatgagt >hg38_chr11:76135943-76135953(+) TCACAATATAA >hg38_chr11:76279819-76279829(-) TGACAATACGT >hg38_chr11:76284306-76284316(-) GAACAATGGGG >hg38_chr11:76325296-76325306(+) taacaaaggat >hg38_chr11:76325336-76325346(-) gcacaatagaa >hg38_chr11:76347569-76347579(+) AAACAAAGGTG >hg38_chr11:76381124-76381134(+) CCACAATGCAC >hg38_chr11:76444253-76444263(+) gaacaaaggct >hg38_chr11:76447661-76447671(-) atacaatggat >hg38_chr11:76448738-76448748(+) TAACAATGAAA >hg38_chr11:76470394-76470404(+) taataatagta >hg38_chr11:76512825-76512835(+) taataatgtat >hg38_chr11:76515126-76515136(-) GAACAATAAGT >hg38_chr11:76517856-76517866(-) ACACAATGAAT >hg38_chr11:76519845-76519855(-) ATATAATGGGT >hg38_chr11:76519856-76519866(-) AAACAATCGAG >hg38_chr11:76535056-76535066(+) TAACAAAGGGT >hg38_chr11:76535089-76535099(+) CTACAATAGCa >hg38_chr11:76550761-76550771(-) ATACAATAAAT >hg38_chr11:76550774-76550784(-) GCACAAAGGAA >hg38_chr11:76553330-76553340(-) ccacaatgggg >hg38_chr11:76579652-76579662(-) TCACAATGTCT >hg38_chr11:76616156-76616166(-) GAACAATAAGA >hg38_chr11:76624304-76624314(+) tgacaatgttg >hg38_chr11:76624317-76624327(+) agacaataggg >hg38_chr11:76624371-76624381(+) gaacaatggcg >hg38_chr11:76645486-76645496(+) agacaataaca >hg38_chr11:76676204-76676214(+) GGACAATGGAC >hg38_chr11:76702725-76702735(+) GGACAATGGAC >hg38_chr11:76704436-76704446(-) caacaatgcag >hg38_chr11:76759249-76759259(+) TAACAAAGGCC >hg38_chr11:76759271-76759281(-) CCACAATGCAC >hg38_chr11:76890073-76890083(-) AAACAATGAAT >hg38_chr11:76944189-76944199(-) taacaatggac >hg38_chr11:76944242-76944252(-) acacaatagaa >hg38_chr11:76955838-76955848(+) atataatggaa >hg38_chr11:76999913-76999923(+) gaacaattgta >hg38_chr11:77014442-77014452(+) caacaatgcct >hg38_chr11:77079477-77079487(-) aaacaataacg >hg38_chr11:77080121-77080131(-) ccacaatgcac >hg38_chr11:77135427-77135437(-) atacaataaaa >hg38_chr11:77262156-77262166(+) AGACAATGAGG >hg38_chr11:77287925-77287935(+) caacaatggct >hg38_chr11:77309596-77309606(-) gaacaatggtg >hg38_chr11:77371762-77371772(+) GAACAATGTCC >hg38_chr11:77415396-77415406(-) taacaatgaaa >hg38_chr11:77420338-77420348(+) acacaatgttc >hg38_chr11:77420350-77420360(+) tcactatggat >hg38_chr11:77420412-77420422(+) AGACAATAGGA >hg38_chr11:77447239-77447249(-) ACACAAAGGAC >hg38_chr11:77447296-77447306(-) GAATAATGGGA >hg38_chr11:77447305-77447315(-) AGACAATGGGA >hg38_chr11:77448539-77448549(+) GGACAATGTCA >hg38_chr11:77457572-77457582(+) GAACAAAGGGA >hg38_chr11:77458519-77458529(-) ggataatggcc >hg38_chr11:77474084-77474094(-) GTACAATAGCG >hg38_chr11:77497719-77497729(+) TCACTATGGAA >hg38_chr11:77511934-77511944(+) ACACAAAGGCA >hg38_chr11:77529779-77529789(+) atacaaaggca >hg38_chr11:77593769-77593779(+) gaactatggtt >hg38_chr11:77602629-77602639(-) gaacaatgaag >hg38_chr11:77622125-77622135(-) aaacaatagaa >hg38_chr11:77665565-77665575(+) AAACAAAGGGC >hg38_chr11:77666118-77666128(+) ACACAATATAT >hg38_chr11:77707546-77707556(+) caacaatgcag >hg38_chr11:77707945-77707955(+) acacaatgtta >hg38_chr11:77714250-77714260(-) gtactatgggc >hg38_chr11:77722798-77722808(-) AGATAATGGGG >hg38_chr11:77753399-77753409(+) tgacaatggcc >hg38_chr11:77760224-77760234(+) atataatggat >hg38_chr11:77767063-77767073(+) ggacaatggtt >hg38_chr11:77767089-77767099(+) aaacaatgaag >hg38_chr11:77821070-77821080(+) GAACAATGGCC >hg38_chr11:77826750-77826760(+) gaactatggaa >hg38_chr11:77873269-77873279(-) ctacaatgact >hg38_chr11:78030646-78030656(+) AGACAATGCGG >hg38_chr11:78034869-78034879(-) TTACAAAGGAG >hg38_chr11:78034881-78034891(+) GAACAACGGCT >hg38_chr11:78100962-78100972(-) AAACAATGAAT >hg38_chr11:78112674-78112684(-) GTACAATGCTT >hg38_chr11:78122081-78122091(+) ttacaatgggg >hg38_chr11:78180678-78180688(+) tgacaatgcca >hg38_chr11:78180707-78180717(+) gaacaatggaa >hg38_chr11:78293708-78293718(-) Aaacaaaggtc >hg38_chr11:78293746-78293756(+) GGACAAAGGGT >hg38_chr11:78318989-78318999(+) TAACAATGGTG >hg38_chr11:78319359-78319369(-) gcacaaaggga >hg38_chr11:78344607-78344617(-) aaacaatgaat >hg38_chr11:78344861-78344871(+) AGACAAAGGGT >hg38_chr11:78365729-78365739(-) CAACAATAGCA >hg38_chr11:78371565-78371575(-) aaacaatgttg >hg38_chr11:78460174-78460184(-) ctacaatgcct >hg38_chr11:78471038-78471048(+) GTACAATAAAG >hg38_chr11:78510376-78510386(+) aaacaatgaaa >hg38_chr11:78515266-78515276(+) GAACAAAGGAT >hg38_chr11:78525093-78525103(+) aaactatggag >hg38_chr11:78526937-78526947(+) acacaatgagg >hg38_chr11:78527666-78527676(+) AAACAATGGAA >hg38_chr11:78529152-78529162(+) ATACAATAACC >hg38_chr11:78529175-78529185(-) TGACAAAGGTA >hg38_chr11:78540674-78540684(+) TCATAATGGCA >hg38_chr11:78540685-78540695(-) GGACAATAGCA >hg38_chr11:78550045-78550055(-) aaacaatgcct >hg38_chr11:78550288-78550298(-) gaacaatagaa >hg38_chr11:78557376-78557386(+) ACACAATGAAT >hg38_chr11:78574772-78574782(+) CGACAATTGTA >hg38_chr11:78585125-78585135(-) atacaatatgt >hg38_chr11:78643519-78643529(-) aaacaatgaat >hg38_chr11:78676226-78676236(-) CGACAACGGCC >hg38_chr11:78707609-78707619(-) acacaaaggaa >hg38_chr11:78784519-78784529(+) ACACAATGAGA >hg38_chr11:78801155-78801165(+) GAACAAAGGGC >hg38_chr11:78810174-78810184(-) TTATAATGGGG >hg38_chr11:78810566-78810576(-) TAACAAAGGAA >hg38_chr11:78811112-78811122(+) tcacaatggcc >hg38_chr11:78929512-78929522(+) CTACAATGGGG >hg38_chr11:78940664-78940674(-) CAACAATGCCT >hg38_chr11:78950110-78950120(-) GGACAATGGGC >hg38_chr11:78962335-78962345(+) GAACAATGCAA >hg38_chr11:78973143-78973153(-) acacaaaggtt >hg38_chr11:78974029-78974039(+) taacaatgagg >hg38_chr11:78974063-78974073(-) tgacaatgtct >hg38_chr11:78990385-78990395(+) GAACAATAGGA >hg38_chr11:79046283-79046293(-) GAACAATGGAG >hg38_chr11:79060713-79060723(-) acacaatagcc >hg38_chr11:79120101-79120111(-) CAACAATGAGG >hg38_chr11:79151205-79151215(-) AAACAATAAAC >hg38_chr11:79151292-79151302(+) CTACAATGATA >hg38_chr11:79228014-79228024(+) ATACAATGAGA >hg38_chr11:79234238-79234248(+) GAACAATGGGG >hg38_chr11:79269767-79269777(+) AGACAATGGCC >hg38_chr11:79313662-79313672(-) GGACAATGGCC >hg38_chr11:79331411-79331421(+) aaacaaaggca >hg38_chr11:79366994-79367004(+) ATACAATAGGT >hg38_chr11:79413003-79413013(-) TCACAAAGGTA >hg38_chr11:79458926-79458936(-) AAACAATGGTT >hg38_chr11:79463354-79463364(+) taacaatgcct >hg38_chr11:79516550-79516560(+) ACACAATAAAA >hg38_chr11:79525026-79525036(+) aaacaatgtat >hg38_chr11:79525078-79525088(+) ttacaataaca >hg38_chr11:79610114-79610124(-) gtacaataaat >hg38_chr11:79632687-79632697(-) Aaacaataaca >hg38_chr11:79632703-79632713(-) GGACAATGAGA >hg38_chr11:79663316-79663326(-) GAACAATGATG >hg38_chr11:79677131-79677141(+) GAACAATGAAA >hg38_chr11:79795995-79796005(+) ATACACTGGTT >hg38_chr11:79796013-79796023(+) GAACAATGCCT >hg38_chr11:79836656-79836666(+) gaacaatagta >hg38_chr11:79836691-79836701(+) gcacaatgtgt >hg38_chr11:79923995-79924005(+) aaacaatgaaa >hg38_chr11:79932504-79932514(-) AAACAATGTCT >hg38_chr11:79939513-79939523(-) ctacaatgtga >hg38_chr11:79941127-79941137(-) AGACAATGGGA >hg38_chr11:79958894-79958904(-) gtataatggaa >hg38_chr11:79963935-79963945(-) GCACAATAGAA >hg38_chr11:79981108-79981118(+) taacaatgaag >hg38_chr11:80054926-80054936(+) GAacaaaggaa >hg38_chr11:80073428-80073438(-) TGACAAAGGGA >hg38_chr11:80122685-80122695(-) CTACAATGAAT >hg38_chr11:80203947-80203957(+) TCACAATAGGC >hg38_chr11:80240658-80240668(+) GAACAATGGAG >hg38_chr11:80264595-80264605(+) AAACAATATTA >hg38_chr11:80320487-80320497(+) TAACAATGAAA >hg38_chr11:80400200-80400210(-) AAACAATGTCT >hg38_chr11:80432223-80432233(-) aaacaatgaga >hg38_chr11:80433662-80433672(+) ctacaatagcc >hg38_chr11:80441134-80441144(-) AAATAATGGAG >hg38_chr11:80458057-80458067(+) GAACAATAGCT >hg38_chr11:80519888-80519898(+) CAACAATAGGC >hg38_chr11:80551558-80551568(+) ACACAATGCTG >hg38_chr11:80562860-80562870(-) TTACAATGAAA >hg38_chr11:80562907-80562917(+) ACACAATGACA >hg38_chr11:80577150-80577160(+) agacaatgtgt >hg38_chr11:80577176-80577186(+) gaacaatgcaa >hg38_chr11:80594176-80594186(+) GAACAATAACA >hg38_chr11:80594182-80594192(+) TAACAATGCCA >hg38_chr11:80608445-80608455(-) ttacaatgggt >hg38_chr11:80651108-80651118(-) TTATAATGGTA >hg38_chr11:80719469-80719479(+) AGACAATGGCA >hg38_chr11:80817303-80817313(-) GAACAATAGTT >hg38_chr11:80822443-80822453(-) AGACAATGCTC >hg38_chr11:80844233-80844243(-) gcacaatgaag >hg38_chr11:80884343-80884353(-) GAACAATGTTA >hg38_chr11:80911989-80911999(+) GAACAATGAAC >hg38_chr11:80916996-80917006(-) GAACAATGGCA >hg38_chr11:80934001-80934011(-) gcacaatggca >hg38_chr11:80981304-80981314(-) ttacaaaggct >hg38_chr11:80981327-80981337(-) gaataatggcc >hg38_chr11:80981342-80981352(-) ggataatggag >hg38_chr11:80993487-80993497(+) gtacaaaggca >hg38_chr11:80993604-80993614(+) ACACAATGAAA >hg38_chr11:81041021-81041031(-) AAACAATGACT >hg38_chr11:81041044-81041054(-) CTACAATGCTT >hg38_chr11:81190844-81190854(+) aaacaatgagc >hg38_chr11:81320818-81320828(-) GAACAAAGGAG >hg38_chr11:81343096-81343106(+) ACACAAAGGAG >hg38_chr11:81380755-81380765(-) taacaatagag >hg38_chr11:81420932-81420942(+) agacaaagggc >hg38_chr11:81421440-81421450(-) AAACAAAGGGC >hg38_chr11:81482260-81482270(+) GCACAATGGAA >hg38_chr11:81530825-81530835(+) GCACAATAGGA >hg38_chr11:81665516-81665526(-) TAACAATGTCT >hg38_chr11:81665555-81665565(-) GAACAATGGAA >hg38_chr11:81665564-81665574(+) TCATAATGGGA >hg38_chr11:81818115-81818125(-) ATACAATAGGA >hg38_chr11:81869618-81869628(+) AAACAATGGCT >hg38_chr11:81870094-81870104(+) caacaatagca >hg38_chr11:81870141-81870151(+) caacaatgcac >hg38_chr11:81870766-81870776(-) ACACAATAGTT >hg38_chr11:81907879-81907889(+) ccacaatgtga >hg38_chr11:81929245-81929255(+) GAACAAAGGCG >hg38_chr11:81988325-81988335(+) caacaATGGGA >hg38_chr11:81996200-81996210(+) taacaatgctc >hg38_chr11:82078059-82078069(-) TAACAATAAGA >hg38_chr11:82185120-82185130(+) GCACAATGAAC >hg38_chr11:82217316-82217326(+) AAACAATGCCA >hg38_chr11:82235151-82235161(+) CAACAATAGTA >hg38_chr11:82335109-82335119(+) acacaatgcaa >hg38_chr11:82358156-82358166(+) AAACAATAGAA >hg38_chr11:82363658-82363668(-) taacaatatta >hg38_chr11:82363700-82363710(+) agacaatgtaa >hg38_chr11:82371842-82371852(-) gcacaatagtc >hg38_chr11:82416316-82416326(-) ACACAATGTAC >hg38_chr11:82416351-82416361(-) acacaatatat >hg38_chr11:82474330-82474340(-) acacaatagaa >hg38_chr11:82510605-82510615(+) ACACAAAGGCA >hg38_chr11:82529458-82529468(-) AAACAGTGGAA >hg38_chr11:82596792-82596802(+) AAACAATGTTA >hg38_chr11:82644319-82644329(+) GGACAATGGAA >hg38_chr11:82648461-82648471(+) ACACAATAAAA >hg38_chr11:82648480-82648490(-) TAACAATACAA >hg38_chr11:82656223-82656233(-) tgacaatagat >hg38_chr11:82663752-82663762(-) TAACAATGAGA >hg38_chr11:82697893-82697903(+) acacaaaggac >hg38_chr11:82697932-82697942(+) agacaaagggc >hg38_chr11:82701771-82701781(+) AAACAATAAGT >hg38_chr11:82702051-82702061(-) GCATAATGGCC >hg38_chr11:82702100-82702110(-) GGACAAAGGAG >hg38_chr11:82704096-82704106(+) GAACAATAGTA >hg38_chr11:82704132-82704142(+) AGACAATGAAG >hg38_chr11:82735103-82735113(-) GAAcaatgtat >hg38_chr11:82774396-82774406(-) CAATAATGGAC >hg38_chr11:82783796-82783806(-) acataatggag >hg38_chr11:82801547-82801557(-) acacaatgaag >hg38_chr11:82814585-82814595(-) GAACAATGCTC >hg38_chr11:82823361-82823371(-) GAACAATCGAT >hg38_chr11:82823420-82823430(+) TTACAATGAGA >hg38_chr11:82830847-82830857(-) AAATAATGGAG >hg38_chr11:82859458-82859468(+) tgacaatataa >hg38_chr11:82859678-82859688(+) ATACAATAAAA >hg38_chr11:82859715-82859725(-) GAATAATGTAC >hg38_chr11:82868168-82868178(-) Gaacaatgcat >hg38_chr11:82874054-82874064(+) TGATAATGGCG >hg38_chr11:82874122-82874132(+) GAACAATAACA >hg38_chr11:82907333-82907343(+) CTACAATAGAC >hg38_chr11:82908505-82908515(+) GGACAATGCCT >hg38_chr11:82908561-82908571(-) aaacaaaggcc >hg38_chr11:82916744-82916754(+) atacaatagcc >hg38_chr11:82916767-82916777(-) taacaatagca >hg38_chr11:82975324-82975334(-) TAACAATAGTA >hg38_chr11:82989468-82989478(-) GTACAGTGGCC >hg38_chr11:83006357-83006367(+) caacaatggac >hg38_chr11:83010949-83010959(+) tgacaataggc >hg38_chr11:83043548-83043558(+) tgataatggta >hg38_chr11:83047790-83047800(+) TAACAATGAGT >hg38_chr11:83070300-83070310(+) ATACAATGGAC >hg38_chr11:83070921-83070931(-) TGACAATGGTA >hg38_chr11:83071891-83071901(+) GCACAATGAAT >hg38_chr11:83073697-83073707(+) CAACAATGAAA >hg38_chr11:83090572-83090582(-) TCATAATGGAG >hg38_chr11:83143154-83143164(+) GTACTATGGGA >hg38_chr11:83143177-83143187(-) GAACAATGACA >hg38_chr11:83143193-83143203(+) CTACaataata >hg38_chr11:83161931-83161941(-) GAACAATGTAA >hg38_chr11:83181035-83181045(+) GTACACTGGTA >hg38_chr11:83205201-83205211(+) CGACAGTGgct >hg38_chr11:83205228-83205238(+) gaacaatgcac >hg38_chr11:83207616-83207626(-) acacaataaat >hg38_chr11:83241276-83241286(-) agacaataaat >hg38_chr11:83241555-83241565(-) acataatggcc >hg38_chr11:83262994-83263004(-) gtacaatgggg >hg38_chr11:83275186-83275196(-) GGACAAAGGGC >hg38_chr11:83278474-83278484(+) ACACAATATAA >hg38_chr11:83283222-83283232(+) acacaatgatg >hg38_chr11:83283237-83283247(+) atacaaaGGAG >hg38_chr11:83293216-83293226(-) TGACAATGGGC >hg38_chr11:83307703-83307713(+) ATACTATGGTA >hg38_chr11:83321570-83321580(-) acacaatggaa >hg38_chr11:83330237-83330247(+) GGACAATGTCA >hg38_chr11:83340614-83340624(-) GAACAATGGCA >hg38_chr11:83367930-83367940(-) gaacaaaggag >hg38_chr11:83374510-83374520(-) acacaatgcag >hg38_chr11:83374528-83374538(-) agacaatgttg >hg38_chr11:83394542-83394552(-) ctacaatggca >hg38_chr11:83459916-83459926(+) GGACAATAGCT >hg38_chr11:83486387-83486397(+) AAACAATGCCA >hg38_chr11:83486436-83486446(+) GGACAATGCAG >hg38_chr11:83511240-83511250(-) AAACAATAGCA >hg38_chr11:83572683-83572693(+) gcataatggcc >hg38_chr11:83574564-83574574(-) TGACAATGTAT >hg38_chr11:83613162-83613172(+) AAACTATGGAG >hg38_chr11:83613201-83613211(+) GTACTATGGAG >hg38_chr11:83617068-83617078(+) atataatgtaa >hg38_chr11:83629531-83629541(+) AAACAAAGGAT >hg38_chr11:83648444-83648454(+) GGACAAAGGGT >hg38_chr11:83648654-83648664(-) ACACAATGCTT >hg38_chr11:83649407-83649417(+) ACACAATGAAT >hg38_chr11:83663518-83663528(-) atacaATGTAT >hg38_chr11:83663546-83663556(+) atacaatgtgt >hg38_chr11:83693712-83693722(-) AGACAATAGCA >hg38_chr11:83694371-83694381(+) GAACAAAGGCC >hg38_chr11:83694378-83694388(-) GGACAATGGCC >hg38_chr11:83700838-83700848(+) ATACAAAGGTA >hg38_chr11:83778877-83778887(+) AAACAAAGGCA >hg38_chr11:83816946-83816956(-) ACACAATGTTA >hg38_chr11:83820187-83820197(-) AGACAATAAAT >hg38_chr11:83820203-83820213(+) GAACAATAGGT >hg38_chr11:83828077-83828087(+) CTATAATGGAG >hg38_chr11:83828129-83828139(+) TGACAATGCAT >hg38_chr11:83878304-83878314(+) atACCATGGAT >hg38_chr11:83895657-83895667(-) GAACAATAGCA >hg38_chr11:83950695-83950705(-) GTATAATGGGA >hg38_chr11:83950758-83950768(-) gaacaatagga >hg38_chr11:83971095-83971105(-) aaacaatgaca >hg38_chr11:83971105-83971115(-) agacaatgaca >hg38_chr11:83971380-83971390(+) AGACAAAGGAA >hg38_chr11:83979813-83979823(-) TAACAATAGAA >hg38_chr11:83983034-83983044(+) taacaatgatg >hg38_chr11:83983043-83983053(-) agacaatgtca >hg38_chr11:83983078-83983088(-) taacaatgggc >hg38_chr11:84099596-84099606(+) GAACAATAAAC >hg38_chr11:84102421-84102431(+) ccacaatagaa >hg38_chr11:84102434-84102444(+) ccacaatgtgt >hg38_chr11:84162768-84162778(-) agacaatgaga >hg38_chr11:84162778-84162788(-) gaacaaaggaa >hg38_chr11:84165090-84165100(+) GGACAATAGGG >hg38_chr11:84197472-84197482(+) GGACAATGGAA >hg38_chr11:84234718-84234728(+) ttacaatagaa >hg38_chr11:84248145-84248155(+) GAACAAAGGGG >hg38_chr11:84288767-84288777(+) AGACAATGGAT >hg38_chr11:84314085-84314095(+) TTACTATGGCC >hg38_chr11:84356318-84356328(-) gaacaatgcct >hg38_chr11:84361364-84361374(+) gaacaaaggat >hg38_chr11:84428901-84428911(-) CAACAATGACA >hg38_chr11:84465118-84465128(-) TGATAATGGAA >hg38_chr11:84594390-84594400(+) agacaatagag >hg38_chr11:84594535-84594545(+) caacaatgaaa >hg38_chr11:84638862-84638872(-) TTACACTGGAA >hg38_chr11:84649374-84649384(+) ATATAATGGGA >hg38_chr11:84656061-84656071(+) AGACAAAGGAA >hg38_chr11:84697552-84697562(+) ATACAATacat >hg38_chr11:84780868-84780878(-) CTATAATGGCA >hg38_chr11:84818183-84818193(+) GGACAATGACT >hg38_chr11:84828812-84828822(-) GTACAATAAAT >hg38_chr11:84859984-84859994(-) taacaataatg >hg38_chr11:84860003-84860013(-) ttacaatgcat >hg38_chr11:84960867-84960877(-) GAACAATGAAA >hg38_chr11:84960907-84960917(+) ATACACTGGAG >hg38_chr11:84961482-84961492(-) GGACAATAGCT >hg38_chr11:84972167-84972177(+) ATACAATGAAC >hg38_chr11:85052864-85052874(-) ATACAATGAGG >hg38_chr11:85059545-85059555(+) gtacaatggat >hg38_chr11:85072103-85072113(-) GGACAATGAAC >hg38_chr11:85072112-85072122(-) TAACAATGAGG >hg38_chr11:85072121-85072131(-) GTACAATTGTA >hg38_chr11:85083871-85083881(+) gtataatgggg >hg38_chr11:85087051-85087061(-) TCACAATGAGC >hg38_chr11:85182885-85182895(-) GAACAATGGAG >hg38_chr11:85279805-85279815(+) CTACAATAGCA >hg38_chr11:85279844-85279854(-) AGACAAAGGGA >hg38_chr11:85291428-85291438(+) GAACAATAGCA >hg38_chr11:85322194-85322204(-) gtacactggag >hg38_chr11:85335160-85335170(-) gcacaatgata >hg38_chr11:85335169-85335179(-) ggacaaagggc >hg38_chr11:85345587-85345597(-) ggataatggac >hg38_chr11:85347423-85347433(+) agacaatgcct >hg38_chr11:85470920-85470930(-) ttacaatgcct >hg38_chr11:85526800-85526810(-) GTACAAAGGTC >hg38_chr11:85531690-85531700(+) ATACAATAAAG >hg38_chr11:85566280-85566290(-) acacaatggga >hg38_chr11:85566952-85566962(-) caacaatgcca >hg38_chr11:85593966-85593976(+) gcacaatgtcc >hg38_chr11:85596703-85596713(+) TAACAATGCGC >hg38_chr11:85630030-85630040(+) GTATAATGAAC >hg38_chr11:85653739-85653749(-) agacaatggat >hg38_chr11:85656330-85656340(+) ACACAAAGGGA >hg38_chr11:85658342-85658352(+) TTACAATGGTT >hg38_chr11:85658884-85658894(-) TCACAATGAAC >hg38_chr11:85661696-85661706(-) AAATAATGGAT >hg38_chr11:85672357-85672367(-) agacaatggag >hg38_chr11:85681927-85681937(+) AAACAATGCCT >hg38_chr11:85777121-85777131(-) agacaATGCTC >hg38_chr11:85780107-85780117(+) CTACAATGAAC >hg38_chr11:85780114-85780124(+) GAACTATGGCC >hg38_chr11:85815107-85815117(+) ACACAATAGGC >hg38_chr11:85816530-85816540(+) ggacaatgaga >hg38_chr11:85816572-85816582(+) aaacaatgtga >hg38_chr11:85854647-85854657(-) CCACAATGGCG >hg38_chr11:85864143-85864153(-) acacaataaat >hg38_chr11:85864154-85864164(-) gcacaatagac >hg38_chr11:85864176-85864186(+) atacagtggtg >hg38_chr11:85864946-85864956(-) CCACAATGGTG >hg38_chr11:85865264-85865274(-) TAACAATGACT >hg38_chr11:85892401-85892411(+) TAACAATGAGA >hg38_chr11:85898688-85898698(+) TCACAATGGAG >hg38_chr11:85898718-85898728(-) GGACAATGTGT >hg38_chr11:85923625-85923635(-) taacaaaggca >hg38_chr11:85923665-85923675(-) aaacaaagggc >hg38_chr11:85955520-85955530(+) ACACAATGAGT >hg38_chr11:85965095-85965105(-) ttacaatggca >hg38_chr11:85968858-85968868(+) CTACAATGTTA >hg38_chr11:85968883-85968893(+) AAACAATAGTA >hg38_chr11:85978068-85978078(-) CCACAATACGT >hg38_chr11:85978129-85978139(+) TAACACTGGAT >hg38_chr11:85980192-85980202(+) gaacaaaggac >hg38_chr11:85989074-85989084(-) ATACAATGATC >hg38_chr11:85999234-85999244(+) GTACAATAGTT >hg38_chr11:85999296-85999306(+) ATACAATAATA >hg38_chr11:85999425-85999435(+) GAACAATGAAC >hg38_chr11:86007452-86007462(+) TGACAATGGGG >hg38_chr11:86014423-86014433(+) ACACAATGCCC >hg38_chr11:86024840-86024850(-) GTACAATACAT >hg38_chr11:86043316-86043326(+) TAACAATAATC >hg38_chr11:86059294-86059304(+) gaacaataccg >hg38_chr11:86063435-86063445(+) GAACAATAATT >hg38_chr11:86087365-86087375(-) taacaaaggca >hg38_chr11:86087570-86087580(+) gaacaatgaaa >hg38_chr11:86087595-86087605(+) gaacaatgaaa >hg38_chr11:86152362-86152372(-) AGACAATAGGT >hg38_chr11:86255456-86255466(-) CCACAATGGAT >hg38_chr11:86255506-86255516(-) CCACAATGGAT >hg38_chr11:86266303-86266313(+) CAACAATGAGT >hg38_chr11:86270783-86270793(-) attcaatggcg >hg38_chr11:86277666-86277676(+) TAACAATGTAA >hg38_chr11:86277701-86277711(+) GCACAATGCCT >hg38_chr11:86282351-86282361(-) AAACAATATAT >hg38_chr11:86329675-86329685(+) TAACAATGGTT >hg38_chr11:86341736-86341746(-) atacaataggt >hg38_chr11:86341974-86341984(-) atacaatggca >hg38_chr11:86342277-86342287(-) ttacaaaggat >hg38_chr11:86343040-86343050(-) agacaatgtgg >hg38_chr11:86368099-86368109(-) ctacaatgaga >hg38_chr11:86377516-86377526(-) aaacaatgaga >hg38_chr11:86460889-86460899(-) AGACAATGAGA >hg38_chr11:86460903-86460913(+) TAACAATGAGA >hg38_chr11:86461191-86461201(+) acataatgggg >hg38_chr11:86467498-86467508(+) ACACAATGTCT >hg38_chr11:86478515-86478525(-) TAACAATGCCC >hg38_chr11:86483936-86483946(+) GTACAATGCAC >hg38_chr11:86492197-86492207(+) acacaATGCAA >hg38_chr11:86519611-86519621(+) TAACAAAGGCT >hg38_chr11:86565887-86565897(+) agacaatgttt >hg38_chr11:86588835-86588845(+) GCACAATGCCT >hg38_chr11:86592182-86592192(+) TGACAATGATG >hg38_chr11:86595054-86595064(+) TCACAATAGAA >hg38_chr11:86610750-86610760(+) GAACAATAACT >hg38_chr11:86610791-86610801(+) AAACAATGAGG >hg38_chr11:86621297-86621307(-) AAACAATGTTT >hg38_chr11:86642404-86642414(+) CAACAATGTAC >hg38_chr11:86679036-86679046(-) TTACAATAACA >hg38_chr11:86708978-86708988(-) gtacaatgatt >hg38_chr11:86709987-86709997(+) caacaatagta >hg38_chr11:86713113-86713123(+) ATACAATGGCT >hg38_chr11:86713139-86713149(-) TCACAATAGAA >hg38_chr11:86730906-86730916(-) ttacaaagggg >hg38_chr11:86737975-86737985(-) TAACAATAAAG >hg38_chr11:86755103-86755113(-) ACACAATGCTG >hg38_chr11:86796187-86796197(+) acacaatggaa >hg38_chr11:86802663-86802673(+) GTACAATAGAG >hg38_chr11:86807538-86807548(+) AAACAATGACA >hg38_chr11:86818391-86818401(-) AAACAATAGTG >hg38_chr11:86818403-86818413(-) AAACAATGGTT >hg38_chr11:86918371-86918381(-) aaacaatatat >hg38_chr11:86931410-86931420(+) atacaatggaa >hg38_chr11:86931628-86931638(-) atacaatattt >hg38_chr11:86931660-86931670(-) atacactgggt >hg38_chr11:86932093-86932103(-) AAACAATGGGA >hg38_chr11:86953095-86953105(+) GCACAATAGGA >hg38_chr11:86969446-86969456(-) TGACAATGTGA >hg38_chr11:87007434-87007444(+) GAACAATAGAC >hg38_chr11:87029590-87029600(-) gaacaatgtct >hg38_chr11:87061276-87061286(+) taacaatgtag >hg38_chr11:87065400-87065410(-) ccacaatgagg >hg38_chr11:87065992-87066002(-) AAacaatgatg >hg38_chr11:87084859-87084869(-) GTACAATAAGT >hg38_chr11:87108891-87108901(+) aaacagtggta >hg38_chr11:87113353-87113363(+) TGACAATGTAG >hg38_chr11:87113957-87113967(-) TGACAATAGCA >hg38_chr11:87113963-87113973(-) CAACAATGACA >hg38_chr11:87114740-87114750(-) ttacaatggtt >hg38_chr11:87119948-87119958(-) ATACAATGACT >hg38_chr11:87119976-87119986(+) TGACAAAGGAC >hg38_chr11:87133993-87134003(-) atacaatgact >hg38_chr11:87144387-87144397(-) ctacaatagca >hg38_chr11:87148339-87148349(+) AAACAAAGGAA >hg38_chr11:87152162-87152172(-) gtacaaaggta >hg38_chr11:87155734-87155744(+) agacaaaggaa >hg38_chr11:87174815-87174825(-) aaacaatgtat >hg38_chr11:87181297-87181307(+) agacaataaaa >hg38_chr11:87181890-87181900(-) CTACAATGATT >hg38_chr11:87227728-87227738(-) TTACAATGTGT >hg38_chr11:87236532-87236542(-) AAACAATAAAA >hg38_chr11:87249534-87249544(-) taacaatgcat >hg38_chr11:87249577-87249587(-) taacaataagc >hg38_chr11:87264660-87264670(+) CTACAATGTAG >hg38_chr11:87265823-87265833(-) ATACAATGATC >hg38_chr11:87265872-87265882(-) GAACAATGAGA >hg38_chr11:87273486-87273496(+) AGACTATGGTT >hg38_chr11:87312216-87312226(+) ttactatggct >hg38_chr11:87318135-87318145(+) AGACAATGTAT >hg38_chr11:87426205-87426215(-) TAACAATGACC >hg38_chr11:87546114-87546124(+) CAACAATGTTA >hg38_chr11:87546123-87546133(-) TGACAATGTTA >hg38_chr11:87555314-87555324(-) AGACAATAAGA >hg38_chr11:87555329-87555339(-) GTACAATAGAA >hg38_chr11:87634436-87634446(+) TAACAATGCCT >hg38_chr11:87719435-87719445(-) ATACAAAGGAT >hg38_chr11:87719458-87719468(+) AAACAATGTCA >hg38_chr11:87719679-87719689(+) CCACAATGCAA >hg38_chr11:87719703-87719713(+) TAACAATGAGA >hg38_chr11:87739097-87739107(+) TTATAATGGAC >hg38_chr11:87758044-87758054(-) AAACAATGAGC >hg38_chr11:87768486-87768496(+) TGACAAAGGTA >hg38_chr11:87859114-87859124(+) tcacaatatac >hg38_chr11:87859740-87859750(+) taacaataggt >hg38_chr11:87861224-87861234(+) aaacaatagcc >hg38_chr11:87870293-87870303(+) CCACAATGTCA >hg38_chr11:87878867-87878877(-) TAAGAATGGTA >hg38_chr11:87898992-87899002(-) tgacaatggca >hg38_chr11:87899508-87899518(-) TAACAATGGCC >hg38_chr11:87901310-87901320(+) TAACAATAATG >hg38_chr11:87901313-87901323(+) CAATAATGGAC >hg38_chr11:87967636-87967646(-) aaacactggaa >hg38_chr11:87967660-87967670(-) taacaatgccc >hg38_chr11:88037871-88037881(-) taacaataaca >hg38_chr11:88039687-88039697(+) caacaatagga >hg38_chr11:88074657-88074667(+) aaacaatgaga >hg38_chr11:88094973-88094983(+) ttactatggag >hg38_chr11:88104817-88104827(-) GGACAAAGGAT >hg38_chr11:88120497-88120507(-) ATACAATAGGG >hg38_chr11:88120557-88120567(-) TGACAATGTGG >hg38_chr11:88137697-88137707(+) ttacaaagggc >hg38_chr11:88137711-88137721(+) gaacaataaat >hg38_chr11:88137722-88137732(+) gaacaaaggtt >hg38_chr11:88200976-88200986(+) caacaatggcc >hg38_chr11:88212561-88212571(-) aaacaatgggg >hg38_chr11:88269682-88269692(-) ttacaatagtt >hg38_chr11:88292573-88292583(+) TAACAATAAAA >hg38_chr11:88297599-88297609(-) AGATAATGGGA >hg38_chr11:88300804-88300814(+) ACACAATGGAG >hg38_chr11:88308228-88308238(+) tgacaatgggc >hg38_chr11:88312504-88312514(-) AGACAATGACT >hg38_chr11:88330064-88330074(+) GTACAATGAAA >hg38_chr11:88376271-88376281(-) CTACAATAGTC >hg38_chr11:88381141-88381151(+) tgacaatgatt >hg38_chr11:88382130-88382140(+) taacaatacta >hg38_chr11:88414712-88414722(-) CAACAATAGTT >hg38_chr11:88432085-88432095(+) agacaatagtg >hg38_chr11:88488923-88488933(-) CTACAATGTTA >hg38_chr11:88489478-88489488(+) agacaatagta >hg38_chr11:88504715-88504725(+) AAACAATGCTG >hg38_chr11:88507558-88507568(+) GAACAAAGGAG >hg38_chr11:88538309-88538319(-) ACACAATAAAA >hg38_chr11:88569450-88569460(+) ttacaatacta >hg38_chr11:88576445-88576455(+) acacaataggc >hg38_chr11:88600926-88600936(+) TTACAATGATC >hg38_chr11:88603932-88603942(-) AAACAATAGGG >hg38_chr11:88631788-88631798(-) TTACAAAGGGA >hg38_chr11:88675273-88675283(-) GGACAATGGAA >hg38_chr11:88683186-88683196(-) GGACAATGATC >hg38_chr11:88718598-88718608(-) TGACAATGCCT >hg38_chr11:88718616-88718626(-) GAACAAAGGAT >hg38_chr11:88743562-88743572(-) ATACAATGCCT >hg38_chr11:88788944-88788954(-) TAACAATGGTT >hg38_chr11:89163922-89163932(-) aaACAATAGTG >hg38_chr11:89181467-89181477(-) GGACAAAGGCA >hg38_chr11:89221135-89221145(+) acacgatgggt >hg38_chr11:89230268-89230278(+) aaacaatgggg >hg38_chr11:89235394-89235404(+) tcacaataggc >hg38_chr11:89406998-89407008(+) GCACAAAGGTA >hg38_chr11:89445821-89445831(+) atacaatgtca >hg38_chr11:89487388-89487398(-) AGACAATGAGA >hg38_chr11:89501954-89501964(-) atataatggtg >hg38_chr11:89501969-89501979(+) ggacaaaggaa >hg38_chr11:89520167-89520177(+) ACACAATGAGA >hg38_chr11:89543767-89543777(-) ccacaatgaca >hg38_chr11:89543808-89543818(-) ccacaatgatc >hg38_chr11:89544534-89544544(-) ATACAATGCTG >hg38_chr11:89544555-89544565(+) TGACAATAATA >hg38_chr11:90130326-90130336(+) GGACAATGATG >hg38_chr11:90130395-90130405(+) GAATAATGGTG >hg38_chr11:90141482-90141492(-) acacaatataa >hg38_chr11:90188891-90188901(+) taataatggcc >hg38_chr11:90205261-90205271(+) AGACAATGGCT >hg38_chr11:90205289-90205299(+) GTACACTGGCA >hg38_chr11:90206066-90206076(-) tcacaatagcc >hg38_chr11:90206124-90206134(+) aaacaatGTTT >hg38_chr11:90211473-90211483(+) TTACAATGTAT >hg38_chr11:90211543-90211553(-) TGACAATAGCG >hg38_chr11:90216314-90216324(+) GTACAATGAGA >hg38_chr11:90216658-90216668(+) TAACAATGCGG >hg38_chr11:90228295-90228305(-) agacaatgggg >hg38_chr11:90229644-90229654(+) caacaataggt >hg38_chr11:90229695-90229705(-) agacaatgcca >hg38_chr11:90234193-90234203(+) TGACAATGGAA >hg38_chr11:90243299-90243309(-) agacaatggac >hg38_chr11:90288511-90288521(-) ACACAATGTGC >hg38_chr11:90355120-90355130(-) TAACAATGCCC >hg38_chr11:90422332-90422342(-) taacaaagggc >hg38_chr11:90439255-90439265(+) taacaatgaca >hg38_chr11:90701881-90701891(-) ggacaatagcg >hg38_chr11:90717745-90717755(-) aaacaaaggtg >hg38_chr11:90717758-90717768(-) tgacaatagct >hg38_chr11:90764705-90764715(+) caacaatgttg >hg38_chr11:90764759-90764769(-) ctacaatgcac >hg38_chr11:90827841-90827851(-) TTACAGTGGGA >hg38_chr11:91067868-91067878(-) gtacaataaag >hg38_chr11:91136029-91136039(+) GCATAATGGAA >hg38_chr11:91164524-91164534(+) TAACAATGAAC >hg38_chr11:91164867-91164877(+) GAACAATAGTC >hg38_chr11:91477167-91477177(+) acacaatgaca >hg38_chr11:91509994-91510004(+) TCACAATGCTT >hg38_chr11:91686925-91686935(-) TAACAATGCGT >hg38_chr11:91689778-91689788(+) tcacaatgcag >hg38_chr11:91790535-91790545(-) ATACTATGGAT >hg38_chr11:91859183-91859193(+) AGACAATGGAT >hg38_chr11:91986393-91986403(+) gaacaatgaaa >hg38_chr11:91986411-91986421(+) aaacaaaggca >hg38_chr11:92023759-92023769(-) CAACAATGAAA >hg38_chr11:92023782-92023792(-) TGATAATGGGT >hg38_chr11:92071881-92071891(+) aaacaatgcaa >hg38_chr11:92104277-92104287(-) taacaatgaca >hg38_chr11:92150145-92150155(+) gtacaatgaga >hg38_chr11:92156041-92156051(-) GCACAATGGGG >hg38_chr11:92216894-92216904(+) AGACAATAGAC >hg38_chr11:92226563-92226573(+) GAATAATGGGC >hg38_chr11:92240399-92240409(+) TTACAATGCTG >hg38_chr11:92242117-92242127(-) ACACAATAGTT >hg38_chr11:92285535-92285545(-) CTACAATAGTG >hg38_chr11:92295538-92295548(+) CCACAATGATC >hg38_chr11:92295749-92295759(+) TGACTATGGAA >hg38_chr11:92295796-92295806(+) CAACAATGTtc >hg38_chr11:92295817-92295827(+) gcacaatagaa >hg38_chr11:92296398-92296408(+) agacaatgtac >hg38_chr11:92309236-92309246(-) GAACAATGAGG >hg38_chr11:92309862-92309872(-) GAACAATGTAC >hg38_chr11:92311814-92311824(-) AGACACTGGAC >hg38_chr11:92320008-92320018(-) tcacaatgcac >hg38_chr11:92330416-92330426(-) agacaatgaaa >hg38_chr11:92331440-92331450(+) AGATAATGGTA >hg38_chr11:92367769-92367779(-) CCACAATGCCG >hg38_chr11:92380417-92380427(-) CTACAATGGAC >hg38_chr11:92383047-92383057(-) gaacaatgaga >hg38_chr11:92395261-92395271(-) GAACAATGTCA >hg38_chr11:92401749-92401759(+) gtacaaaggta >hg38_chr11:92407270-92407280(-) TCACAATGGGG >hg38_chr11:92440950-92440960(-) AAACAATGGTT >hg38_chr11:92458643-92458653(-) TCACAATGCTC >hg38_chr11:92475384-92475394(+) GAACAATAGAT >hg38_chr11:92475428-92475438(-) CCACAATGAAA >hg38_chr11:92486678-92486688(-) ATACAATAGAG >hg38_chr11:92503973-92503983(-) GGACAATGTGA >hg38_chr11:92507342-92507352(-) CTACAATAGCA >hg38_chr11:92510335-92510345(-) GCACAATGGTA >hg38_chr11:92511995-92512005(+) TGATAATGGAC >hg38_chr11:92513325-92513335(+) GAACAATGATT >hg38_chr11:92522911-92522921(-) acacaatgcag >hg38_chr11:92531317-92531327(+) GGACAAAGGGA >hg38_chr11:92531334-92531344(-) TGACAATGCAG >hg38_chr11:92534107-92534117(-) TAACAATGGCA >hg38_chr11:92546281-92546291(-) TTACAATGACA >hg38_chr11:92550613-92550623(+) AAACAATAGGT >hg38_chr11:92569992-92570002(-) AGACAATGAAA >hg38_chr11:92596551-92596561(+) AGACAATGCAA >hg38_chr11:92612014-92612024(+) agacaataaga >hg38_chr11:92667745-92667755(+) GAACAATGAGG >hg38_chr11:92681203-92681213(+) agacaatagat >hg38_chr11:92683210-92683220(-) CAACAATGGGA >hg38_chr11:92693491-92693501(-) AGACAATAGAG >hg38_chr11:92694798-92694808(-) CCACAATAGGA >hg38_chr11:92703651-92703661(-) ATACAATAAGA >hg38_chr11:92713255-92713265(-) GAACAATGCTT >hg38_chr11:92728298-92728308(-) AAACAAAggca >hg38_chr11:92753413-92753423(+) caacaataggt >hg38_chr11:92784610-92784620(-) GTACAATGAAA >hg38_chr11:92805325-92805335(+) TGACAATAGCC >hg38_chr11:92822881-92822891(-) taacaatgctg >hg38_chr11:92855270-92855280(-) TAACAAAGGAA >hg38_chr11:92906834-92906844(+) gtacaatgaag >hg38_chr11:92919159-92919169(+) ACACAATATTA >hg38_chr11:92919182-92919192(-) TAACAAAGGGC >hg38_chr11:92922880-92922890(+) CAACAATGCAC >hg38_chr11:92942049-92942059(-) AAACAATGTCT >hg38_chr11:92976926-92976936(+) aaacaatggag >hg38_chr11:92989373-92989383(+) acacaatggaa >hg38_chr11:93000685-93000695(-) ggacaaaggaa >hg38_chr11:93058657-93058667(+) GCACAATGGCC >hg38_chr11:93058993-93059003(+) TAATAATGGTA >hg38_chr11:93059814-93059824(+) ACATAATGGTT >hg38_chr11:93059829-93059839(+) AGATAATGGTG >hg38_chr11:93059847-93059857(+) GAACACTGGGA >hg38_chr11:93064230-93064240(+) ACACAATATaa >hg38_chr11:93074011-93074021(+) taacaatgact >hg38_chr11:93074023-93074033(+) aaacaatagcc >hg38_chr11:93086361-93086371(-) tgacaatagga >hg38_chr11:93123494-93123504(+) GTACAATGATA >hg38_chr11:93131936-93131946(-) ACACAATGCCT >hg38_chr11:93134120-93134130(+) AGACAATAGGT >hg38_chr11:93151403-93151413(-) AAATAATGGAC >hg38_chr11:93180167-93180177(+) GAATAATGATA >hg38_chr11:93180198-93180208(-) AAACAATACTA >hg38_chr11:93180374-93180384(+) ACACAATGGCT >hg38_chr11:93195616-93195626(-) gaacaatgcag >hg38_chr11:93196943-93196953(-) Aaacaataaac >hg38_chr11:93196955-93196965(-) TAATAATGGTA >hg38_chr11:93197417-93197427(+) GAACAATGATA >hg38_chr11:93216872-93216882(+) AAACAATGGGA >hg38_chr11:93236705-93236715(-) AGACAACGGAT >hg38_chr11:93253587-93253597(-) gaacaatgctg >hg38_chr11:93256155-93256165(+) gtacaataaac >hg38_chr11:93390355-93390365(+) acacaataata >hg38_chr11:93410748-93410758(-) AAACAATAAAA >hg38_chr11:93429020-93429030(-) ttataatggat >hg38_chr11:93498319-93498329(+) GGACAAAGGTT >hg38_chr11:93512297-93512307(-) ggacaatagga >hg38_chr11:93546342-93546352(+) TAACAATGGGC >hg38_chr11:93642655-93642665(-) ggacaatgctt >hg38_chr11:93728417-93728427(-) CCACAATGATT >hg38_chr11:93728441-93728451(-) TGACAATGGAC >hg38_chr11:93784349-93784359(-) AAACAAAGGAG >hg38_chr11:93793561-93793571(-) GAACAAAGGAA >hg38_chr11:93802541-93802551(+) AAACAATGAAC >hg38_chr11:93820482-93820492(+) ACACAATGGGT >hg38_chr11:93890935-93890945(-) AGACAGTGGTA >hg38_chr11:93891022-93891032(+) aaacaataaac >hg38_chr11:93894501-93894511(+) acacaatgaaa >hg38_chr11:93894512-93894522(-) gcacaatagta >hg38_chr11:93950182-93950192(-) TCACAATGCCT >hg38_chr11:93986826-93986836(-) AAACAAAGGCC >hg38_chr11:94000799-94000809(+) TCACAATAggg >hg38_chr11:94035263-94035273(-) GCACAAAGGAA >hg38_chr11:94035277-94035287(+) TAACAATGGCT >hg38_chr11:94105427-94105437(+) CCACAATGCAC >hg38_chr11:94106098-94106108(-) TGATAATGGAT >hg38_chr11:94128747-94128757(-) TGACAAAGGGA >hg38_chr11:94129170-94129180(+) ACACAAAGGCA >hg38_chr11:94143066-94143076(-) TTACAATGACT >hg38_chr11:94164877-94164887(-) taacaattgta >hg38_chr11:94164913-94164923(-) atataatgata >hg38_chr11:94171933-94171943(-) GAACAAAGGGA >hg38_chr11:94184856-94184866(+) ATACAATAGAT >hg38_chr11:94204930-94204940(+) tgacaatacga >hg38_chr11:94204946-94204956(+) acacaataata >hg38_chr11:94225853-94225863(+) gaataatggta >hg38_chr11:94225923-94225933(-) ctacaatagta >hg38_chr11:94425759-94425769(+) atataatgata >hg38_chr11:94449540-94449550(+) gaacaatgagc >hg38_chr11:94453260-94453270(-) tcacaatagcc >hg38_chr11:94478421-94478431(-) GTACAATACCG >hg38_chr11:94530262-94530272(+) TTACAGTGGTC >hg38_chr11:94592332-94592342(-) AAACAATGCTT >hg38_chr11:94602799-94602809(-) AAACAATGGTG >hg38_chr11:94604721-94604731(-) CTACAATAGCC >hg38_chr11:94648354-94648364(-) TAACAATGGGA >hg38_chr11:94658638-94658648(-) GAACAATAGGA >hg38_chr11:94686623-94686633(+) acacaataaaa >hg38_chr11:94690280-94690290(-) taacaatacta >hg38_chr11:94690512-94690522(-) ttacaatagct >hg38_chr11:94699643-94699653(+) gaacaatcgaa >hg38_chr11:94707121-94707131(+) AAACAATGGCT >hg38_chr11:94725856-94725866(+) gaacaatgagt >hg38_chr11:94730987-94730997(+) TAACAAAGGGG >hg38_chr11:94739607-94739617(+) AGACAATAGAA >hg38_chr11:94749702-94749712(+) atacaatgggg >hg38_chr11:94763195-94763205(-) GGACAATGTGG >hg38_chr11:94768116-94768126(+) GGACAAAGGAA >hg38_chr11:94825285-94825295(+) AAACAAAGGTG >hg38_chr11:94866783-94866793(+) GGATAATGGGG >hg38_chr11:94868145-94868155(-) taacaatgact >hg38_chr11:94879603-94879613(-) tgacaatgaat >hg38_chr11:94883178-94883188(-) GAACAATGGCT >hg38_chr11:94975809-94975819(+) TAACAATGGCA >hg38_chr11:94977824-94977834(+) aaacaatgcct >hg38_chr11:95066738-95066748(+) atacactggat >hg38_chr11:95069454-95069464(+) TGACAATAGGA >hg38_chr11:95108748-95108758(-) CAACAATGGAG >hg38_chr11:95149918-95149928(-) AAACAATGCCC >hg38_chr11:95160636-95160646(-) gaacaataggt >hg38_chr11:95169429-95169439(-) TAACAATAATG >hg38_chr11:95193682-95193692(-) ATACAGTGGCC >hg38_chr11:95193698-95193708(+) AGATAATGGGG >hg38_chr11:95193717-95193727(-) GCACTATGGTA >hg38_chr11:95194064-95194074(-) GAACAATAAGA >hg38_chr11:95198241-95198251(-) CAACAATGGGG >hg38_chr11:95198846-95198856(-) GAACAATATTA >hg38_chr11:95200112-95200122(-) TAACAATAAAA >hg38_chr11:95213423-95213433(+) TGACAATGTGG >hg38_chr11:95228384-95228394(-) TCATAATGGAA >hg38_chr11:95229314-95229324(+) CTACAATGAGC >hg38_chr11:95232364-95232374(+) GAACAAAGGCC >hg38_chr11:95235391-95235401(+) GCACAAAGGAA >hg38_chr11:95247419-95247429(+) GGATAATGGAA >hg38_chr11:95247472-95247482(+) ACACAATGTGG >hg38_chr11:95254230-95254240(+) ACACAATAGCA >hg38_chr11:95268018-95268028(-) TGACAATAGAG >hg38_chr11:95293295-95293305(+) AGACAATGAAC >hg38_chr11:95294628-95294638(-) CGACAATAGAA >hg38_chr11:95338141-95338151(-) ctacaatagtt >hg38_chr11:95363850-95363860(-) GGACAATGCAG >hg38_chr11:95371440-95371450(-) TAACAAAGGGC >hg38_chr11:95378965-95378975(+) gtacaaaggac >hg38_chr11:95383094-95383104(+) GAACAATAGTG >hg38_chr11:95412317-95412327(+) AAACAATAGCC >hg38_chr11:95413189-95413199(-) Atataatgaac >hg38_chr11:95413209-95413219(+) CAACAATGCCA >hg38_chr11:95416146-95416156(-) aaacaaagggc >hg38_chr11:95454047-95454057(-) GAACAAAGGCA >hg38_chr11:95485841-95485851(-) GAACAATGAAA >hg38_chr11:95487766-95487776(+) TAACAATGGCA >hg38_chr11:95499247-95499257(-) AAACAATGGGA >hg38_chr11:95512958-95512968(-) GCACAATGCCA >hg38_chr11:95547602-95547612(-) ccacaatggag >hg38_chr11:95575296-95575306(+) gaacaatggca >hg38_chr11:95575319-95575329(-) tcacaatggac >hg38_chr11:95585139-95585149(+) atacaatgcag >hg38_chr11:95596907-95596917(+) GTACACTGGAT >hg38_chr11:95597608-95597618(-) gaacaatagga >hg38_chr11:95597634-95597644(-) gaacaatggca >hg38_chr11:95602462-95602472(+) GAACAATGTGA >hg38_chr11:95612998-95613008(+) ggacaatgttg >hg38_chr11:95635958-95635968(+) taataatggac >hg38_chr11:95664696-95664706(-) caacaatggat >hg38_chr11:95664732-95664742(-) atacaataata >hg38_chr11:95675759-95675769(-) GAACAATAGCT >hg38_chr11:95699658-95699668(-) AAACAATGTGT >hg38_chr11:95713572-95713582(+) TTACAATGTAG >hg38_chr11:95725918-95725928(+) AGACAATGTCG >hg38_chr11:95751631-95751641(+) acacaatgcct >hg38_chr11:95752401-95752411(+) TAACAATGTAT >hg38_chr11:95752763-95752773(+) aaacaatgctc >hg38_chr11:95763965-95763975(+) TGACAATGAAA >hg38_chr11:95764014-95764024(+) AGACAATGGCT >hg38_chr11:95766892-95766902(-) CCACAATGTAA >hg38_chr11:95777376-95777386(+) ATACAAAGATA >hg38_chr11:95777900-95777910(-) AGACAATGTAG >hg38_chr11:95803016-95803026(-) ATACAATGTGT >hg38_chr11:95836121-95836131(-) ATACAATGGGA >hg38_chr11:95836128-95836138(-) TTACAATATAC >hg38_chr11:95840975-95840985(+) AGACAATGACC >hg38_chr11:95847662-95847672(+) AAACAAAGGGG >hg38_chr11:95857648-95857658(+) AAACAATGGTA >hg38_chr11:95863890-95863900(+) TGACAATGGAG >hg38_chr11:95885482-95885492(+) acacaatgacg >hg38_chr11:95892649-95892659(-) GAACAAAGGCC >hg38_chr11:95894140-95894150(-) atacaataggg >hg38_chr11:95895594-95895604(+) agacaatagca >hg38_chr11:95909095-95909105(+) TAACAAAGGGT >hg38_chr11:95909110-95909120(-) TAACAAAGGAA >hg38_chr11:95918888-95918898(+) atacagtggca >hg38_chr11:95951072-95951082(-) gaactatggga >hg38_chr11:95951081-95951091(+) tcacaatgtgt >hg38_chr11:95973571-95973581(-) caacaatgtca >hg38_chr11:95976927-95976937(+) ATACAATGTGC >hg38_chr11:95976965-95976975(+) GAACTATGGGT >hg38_chr11:95979652-95979662(-) TAACAATGTAG >hg38_chr11:95989235-95989245(+) GTACAGTGGTA >hg38_chr11:96000162-96000172(+) caacaatgaca >hg38_chr11:96012344-96012354(+) GAACAAAGGTT >hg38_chr11:96014277-96014287(+) TGACAATGCCA >hg38_chr11:96056900-96056910(+) AGACAAAGGCA >hg38_chr11:96062453-96062463(+) TAACAGTGGAG >hg38_chr11:96082525-96082535(+) AGACAGTGGTA >hg38_chr11:96085081-96085091(-) GAACAATAAAG >hg38_chr11:96086299-96086309(-) TCACAATGGGA >hg38_chr11:96089579-96089589(+) GAACAATAGCA >hg38_chr11:96099029-96099039(+) ACACAAAGGTA >hg38_chr11:96102788-96102798(-) ACACAAAGGGT >hg38_chr11:96106087-96106097(-) AAACAATATAA >hg38_chr11:96106135-96106145(+) ATACAATGCCC >hg38_chr11:96113301-96113311(-) AAACAATGCAA >hg38_chr11:96127310-96127320(-) TAACAATAGTG >hg38_chr11:96137566-96137576(-) AAACAAAGGAA >hg38_chr11:96143067-96143077(+) ATACAAAGGAT >hg38_chr11:96143095-96143105(-) AGACAATGCCT >hg38_chr11:96152797-96152807(+) TAACAATGCAG >hg38_chr11:96155830-96155840(+) GAATAATGGCT >hg38_chr11:96156441-96156451(-) CAACAATGAGA >hg38_chr11:96179147-96179157(+) TGACAATGACC >hg38_chr11:96188750-96188760(+) TGACAATGGAA >hg38_chr11:96196142-96196152(-) TAACAATAGTC >hg38_chr11:96196212-96196222(+) ATACAATGAGA >hg38_chr11:96198042-96198052(+) AGACAatggaa >hg38_chr11:96199619-96199629(-) AAACAATAGAA >hg38_chr11:96207150-96207160(-) TTACAATAGAG >hg38_chr11:96234885-96234895(+) TGATAATGGAA >hg38_chr11:96236097-96236107(+) TGACAAAGGCG >hg38_chr11:96246903-96246913(-) TAACAATAGAG >hg38_chr11:96258639-96258649(+) ATACAGTGGTC >hg38_chr11:96263302-96263312(-) AAACAATGCTG >hg38_chr11:96264098-96264108(-) GAACAATAGCC >hg38_chr11:96279454-96279464(+) GAACAAAGGAA >hg38_chr11:96292708-96292718(+) TAACAATAGAA >hg38_chr11:96308844-96308854(+) acacaatggat >hg38_chr11:96309217-96309227(-) ACACAATGGCA >hg38_chr11:96309244-96309254(+) TGACAATGCAT >hg38_chr11:96338600-96338610(-) gtacaatgcct >hg38_chr11:96341978-96341988(+) TGACAATGTGA >hg38_chr11:96343153-96343163(-) GCACAATGAAA >hg38_chr11:96373004-96373014(+) ggacaaaggca >hg38_chr11:96385217-96385227(-) ATACAATGAAT >hg38_chr11:96385606-96385616(+) ACACAATATAA >hg38_chr11:96387080-96387090(-) TTACAATGTGA >hg38_chr11:96392236-96392246(-) GAACAATAAAA >hg38_chr11:96392248-96392258(-) ACACAATGAGC >hg38_chr11:96405144-96405154(-) CAACAATGAGT >hg38_chr11:96425161-96425171(-) tgacaaaggaa >hg38_chr11:96426461-96426471(+) AAACAAAGGAT >hg38_chr11:96553379-96553389(+) gcacaatgcat >hg38_chr11:96567319-96567329(-) ACACAAAGGGA >hg38_chr11:96608390-96608400(-) AGACAATGGGA >hg38_chr11:96647819-96647829(-) TCACAATGATA >hg38_chr11:96664832-96664842(-) GCACAATGGCC >hg38_chr11:96666620-96666630(+) gtacaataagg >hg38_chr11:96666830-96666840(+) aaACAATGTAC >hg38_chr11:96671814-96671824(-) atacaataatc >hg38_chr11:96672548-96672558(-) gaacaatgaca >hg38_chr11:96713514-96713524(-) ACACAATCGTA >hg38_chr11:96713818-96713828(-) AAACAATAACA >hg38_chr11:96761715-96761725(+) AAACAATAGAA >hg38_chr11:96761934-96761944(-) tcataatggtt >hg38_chr11:96761978-96761988(+) ttataatggta >hg38_chr11:96800440-96800450(-) tcacaatagca >hg38_chr11:96802441-96802451(-) CAACAATGAGA >hg38_chr11:96853598-96853608(+) taacaatagaa >hg38_chr11:96855656-96855666(-) ATACAATTGAA >hg38_chr11:96888432-96888442(+) GCACAATATAA >hg38_chr11:96894179-96894189(-) AAACAATAGCA >hg38_chr11:96997596-96997606(-) ATACAATAGCC >hg38_chr11:96997620-96997630(-) TGACAATGACT >hg38_chr11:97083854-97083864(+) gaacaaaggcc >hg38_chr11:97159103-97159113(-) agacaatgaga >hg38_chr11:97174930-97174940(-) ttacactggaa >hg38_chr11:97217798-97217808(+) ATACAATAATC >hg38_chr11:97295225-97295235(-) gaacaatgttt >hg38_chr11:97506559-97506569(+) TGACAATGATG >hg38_chr11:97614429-97614439(-) ggacaaaggag >hg38_chr11:97729987-97729997(+) ATACAATGTTT >hg38_chr11:97730050-97730060(+) AAACAATGGGA >hg38_chr11:97743609-97743619(-) taacaaaggta >hg38_chr11:97743631-97743641(-) gaacaatggca >hg38_chr11:97764780-97764790(-) AGACAATGCCA >hg38_chr11:97808132-97808142(+) agacaatggga >hg38_chr11:97874738-97874748(-) CAACAATGTTA >hg38_chr11:97890664-97890674(+) AAACAATAGAC >hg38_chr11:97929543-97929553(+) aaacaatgaat >hg38_chr11:97953661-97953671(-) GAACAATAACT >hg38_chr11:97954186-97954196(-) AAACAAAGGCA >hg38_chr11:97996064-97996074(-) CAACAATAGAG >hg38_chr11:98041916-98041926(-) TTACAATAGGA >hg38_chr11:98154547-98154557(-) aaacaatgatg >hg38_chr11:98242860-98242870(+) AGACAATGAGA >hg38_chr11:98303716-98303726(-) gcacaaaggga >hg38_chr11:98361523-98361533(-) ggataatggac >hg38_chr11:98388196-98388206(-) AAACAAAGGGA >hg38_chr11:98633807-98633817(+) AAACAATAAAA >hg38_chr11:98961921-98961931(-) tgacaatgaat >hg38_chr11:99038503-99038513(+) taacaatgcta >hg38_chr11:99066731-99066741(-) tcacaatgtaa >hg38_chr11:99067344-99067354(-) GAACAATAGCG >hg38_chr11:99101765-99101775(-) agacaatgccg >hg38_chr11:99155078-99155088(-) tcacaatgaac >hg38_chr11:99186984-99186994(+) GGACAATGAGA >hg38_chr11:99285617-99285627(-) ATACAAAGGAA >hg38_chr11:99325911-99325921(+) AGACAATGCTT >hg38_chr11:99331883-99331893(+) acacaatgcat >hg38_chr11:99484644-99484654(+) caacaatggat >hg38_chr11:99492148-99492158(-) gaacaatgggc >hg38_chr11:99817328-99817338(+) TAACAAAGGAA >hg38_chr11:99876433-99876443(-) GAACAAAGGGA >hg38_chr11:99908170-99908180(+) taacaaaggct >hg38_chr11:99985054-99985064(+) ttacaatgact >hg38_chr11:100080640-100080650(-) AAACAAAGGGA >hg38_chr11:100105936-100105946(+) TTACAGTGGAA >hg38_chr11:100576289-100576299(-) gaacaatagaa >hg38_chr11:100576349-100576359(-) TAACAATGCAA >hg38_chr11:100576632-100576642(+) GTACAATGTGT >hg38_chr11:100584696-100584706(+) ctacaatgaac >hg38_chr11:100612181-100612191(+) caacaatgcca >hg38_chr11:100612256-100612266(-) ttacaatgggt >hg38_chr11:100677129-100677139(-) TGACAATGACT >hg38_chr11:100683275-100683285(+) ATACAATGTGG >hg38_chr11:100685324-100685334(+) GTACAATACAT >hg38_chr11:100686597-100686607(-) TCACAATAGTA >hg38_chr11:100809392-100809402(+) AAACAAAGGAA >hg38_chr11:100821194-100821204(-) TAACAAAGGCT >hg38_chr11:100821210-100821220(-) TAACAAAGGTT >hg38_chr11:100822776-100822786(+) TCACAAAGGTA >hg38_chr11:100956140-100956150(-) TAACAAAGGCA >hg38_chr11:100969601-100969611(-) gcacaatagct >hg38_chr11:100974885-100974895(-) TGACAATAGGA >hg38_chr11:100983580-100983590(+) acacaatagat >hg38_chr11:101255245-101255255(-) CTACAATGGGA >hg38_chr11:101273103-101273113(+) aaacaatgcag >hg38_chr11:101277217-101277227(-) AGACAATGCAC >hg38_chr11:101301466-101301476(-) AAACAATAATC >hg38_chr11:101387248-101387258(-) aaacaatgaaa >hg38_chr11:101387266-101387276(-) atacaaaggga >hg38_chr11:101388287-101388297(-) aaacaatagtt >hg38_chr11:101405109-101405119(+) CAACAATGCAC >hg38_chr11:101419902-101419912(-) atacaatacaa >hg38_chr11:101419918-101419928(-) gcacaatgaaa >hg38_chr11:101421999-101422009(-) aaacaatgaga >hg38_chr11:101440364-101440374(+) GAACAATGCTG >hg38_chr11:101446897-101446907(-) tgacaatagct >hg38_chr11:101455424-101455434(+) AAATAATGGTG >hg38_chr11:101468297-101468307(-) AAACAAAGGAG >hg38_chr11:101498582-101498592(+) GTACTATGGGT >hg38_chr11:101499162-101499172(+) aaacaatgctt >hg38_chr11:101523974-101523984(+) TTATAATGGCT >hg38_chr11:101524017-101524027(-) AGACAATGGCT >hg38_chr11:101560932-101560942(+) CCACAATAGGG >hg38_chr11:101627729-101627739(+) CAACAATGCCA >hg38_chr11:101649454-101649464(-) gtataatggga >hg38_chr11:101709858-101709868(+) GGACAATAGCC >hg38_chr11:101710522-101710532(+) taacaatgaaa >hg38_chr11:101710531-101710541(+) aaacaaaggag >hg38_chr11:101716989-101716999(+) TAATAATGGTA >hg38_chr11:101748783-101748793(-) AAACAAAGGCA >hg38_chr11:101763892-101763902(-) GTATAATGGGT >hg38_chr11:101763899-101763909(+) ATACAATATAC >hg38_chr11:101866544-101866554(-) TTACAATGGTT >hg38_chr11:101914776-101914786(-) CGACAGTGACG >hg38_chr11:101952438-101952448(+) aaacaatgact >hg38_chr11:101962955-101962965(+) AAACAATGCTG >hg38_chr11:102008047-102008057(+) AAACAATAAAC >hg38_chr11:102038824-102038834(+) aaactatggaa >hg38_chr11:102061606-102061616(+) aaacaatgcaa >hg38_chr11:102095607-102095617(-) acacaataaac >hg38_chr11:102095623-102095633(+) gcacaatgcta >hg38_chr11:102130972-102130982(-) GGACAATGACA >hg38_chr11:102155138-102155148(-) GGACAGTGGTA >hg38_chr11:102182636-102182646(+) TAACACTGGAA >hg38_chr11:102186976-102186986(+) AAACAATGTGC >hg38_chr11:102187502-102187512(+) GAACAATAACA >hg38_chr11:102189312-102189322(+) ACACAATGAAA >hg38_chr11:102194621-102194631(+) AAACAATGTGG >hg38_chr11:102198303-102198313(-) TGACAATAGCC >hg38_chr11:102208519-102208529(-) GTACAATAATG >hg38_chr11:102226966-102226976(+) GCACAATAGCT >hg38_chr11:102254309-102254319(-) ACACAGTGGAC >hg38_chr11:102260412-102260422(-) atacaaaggaa >hg38_chr11:102268389-102268399(-) AAACAATGACT >hg38_chr11:102279955-102279965(-) ATATAATAGCG >hg38_chr11:102293063-102293073(+) GTACAATGTCA >hg38_chr11:102336982-102336992(-) ACACTATGGAC >hg38_chr11:102344301-102344311(-) GAACAATGTAA >hg38_chr11:102344337-102344347(+) acacaatggag >hg38_chr11:102376995-102377005(+) gaacactggtc >hg38_chr11:102405643-102405653(+) AAACAATGAAC >hg38_chr11:102405652-102405662(+) ACACAATGCTG >hg38_chr11:102423743-102423753(+) gtacaatatta >hg38_chr11:102426779-102426789(+) TAACAATGAAA >hg38_chr11:102436819-102436829(+) AGACAATAAAA >hg38_chr11:102446960-102446970(+) CAACAATGGTC >hg38_chr11:102451652-102451662(+) TGACAAAGGAA >hg38_chr11:102452618-102452628(-) GAACAATGGGA >hg38_chr11:102456923-102456933(+) ggacaatgtcc >hg38_chr11:102457337-102457347(+) aaacaatagag >hg38_chr11:102467561-102467571(+) TAATAATGGTG >hg38_chr11:102516457-102516467(+) gaacaATGCAA >hg38_chr11:102518869-102518879(+) gaacaatagat >hg38_chr11:102520722-102520732(+) CAACAATGATA >hg38_chr11:102529262-102529272(-) GAACAATAAGT >hg38_chr11:102530050-102530060(-) tgacaatagac >hg38_chr11:102546422-102546432(-) acacaaaggag >hg38_chr11:102555330-102555340(-) gaacaaaggta >hg38_chr11:102587559-102587569(-) aaacaataata >hg38_chr11:102604657-102604667(-) TGACAATGGAC >hg38_chr11:102604955-102604965(+) TAACAATGGGA >hg38_chr11:102632510-102632520(+) tcacaatagcc >hg38_chr11:102632584-102632594(+) acacaatggaa >hg38_chr11:102685837-102685847(-) ttacaataagt >hg38_chr11:102686150-102686160(+) AGACAATAAAC >hg38_chr11:102760613-102760623(-) taacaatgatg >hg38_chr11:102780663-102780673(+) AGACAATGCTG >hg38_chr11:102781221-102781231(-) ATACACTGGGA >hg38_chr11:102781372-102781382(+) GTACAAAGGAG >hg38_chr11:102783918-102783928(+) ATACAATGAAA >hg38_chr11:102783931-102783941(-) CTACAATGTAA >hg38_chr11:102866547-102866557(-) AGACAATGGAA >hg38_chr11:102951809-102951819(+) acacaatggtg >hg38_chr11:102965739-102965749(+) AAACAATAAAC >hg38_chr11:102965757-102965767(+) TTACAATAATC >hg38_chr11:102966101-102966111(-) TGACAATAGTC >hg38_chr11:102971149-102971159(+) AGACAATGAGA >hg38_chr11:102981765-102981775(+) acacaaaggag >hg38_chr11:103026932-103026942(+) TGACAATGGGA >hg38_chr11:103055400-103055410(+) CAACAATGAGA >hg38_chr11:103056317-103056327(-) gaacaataata >hg38_chr11:103062608-103062618(+) GAACAATGGTA >hg38_chr11:103083660-103083670(+) TGACAATGCAT >hg38_chr11:103089435-103089445(-) CAACAATGCCA >hg38_chr11:103105430-103105440(+) gaacaatgaaa >hg38_chr11:103105475-103105485(+) taataatggcc >hg38_chr11:103109289-103109299(+) TTACAATCGAT >hg38_chr11:103158701-103158711(+) AGACAATAGAG >hg38_chr11:103184593-103184603(+) GAACAATGCTC >hg38_chr11:103222586-103222596(+) CTACAATAGTT >hg38_chr11:103222659-103222669(-) CAACAATGTTT >hg38_chr11:103235282-103235292(+) ttacaataacc >hg38_chr11:103235331-103235341(-) GAACAAAGGGA >hg38_chr11:103250039-103250049(-) ttacaatgaaa >hg38_chr11:103250105-103250115(-) acacaatagaa >hg38_chr11:103297444-103297454(-) acacaatgaaa >hg38_chr11:103318508-103318518(-) acacaaaggta >hg38_chr11:103330969-103330979(-) aaacaatgctc >hg38_chr11:103330989-103330999(+) tgacaatagaa >hg38_chr11:103343667-103343677(+) ACACAATgcca >hg38_chr11:103345530-103345540(-) tcacaatgaag >hg38_chr11:103397015-103397025(-) gtacaatgtgc >hg38_chr11:103414012-103414022(-) taataatgata >hg38_chr11:103414069-103414079(+) acacaatgata >hg38_chr11:103449272-103449282(+) agacaatgcag >hg38_chr11:103450777-103450787(-) AGACAATGTTA >hg38_chr11:103462156-103462166(-) taacagtggta >hg38_chr11:103462365-103462375(-) atacaatgtaa >hg38_chr11:103474502-103474512(-) aaacaatgaaa >hg38_chr11:103474896-103474906(-) AGACAATGCAA >hg38_chr11:103581889-103581899(+) TCACAATGGTA >hg38_chr11:103581943-103581953(+) GGACAATGAAA >hg38_chr11:103585659-103585669(+) CCACAATGGGA >hg38_chr11:103586707-103586717(+) TAACAATAATA >hg38_chr11:103586723-103586733(+) TAACAATGGTA >hg38_chr11:103586750-103586760(+) ctacaatgagt >hg38_chr11:103591773-103591783(-) cgacactggat >hg38_chr11:103604559-103604569(-) TAACAATGAGC >hg38_chr11:103620666-103620676(+) AAACAATAAAT >hg38_chr11:103633408-103633418(+) ACACAATGGCC >hg38_chr11:103634080-103634090(-) aaacaatagcc >hg38_chr11:103639740-103639750(+) GTACAATTGAA >hg38_chr11:103639769-103639779(-) ATACACTGGTC >hg38_chr11:103639781-103639791(+) ACACAATGCAA >hg38_chr11:103676831-103676841(+) ACACAATGTTT >hg38_chr11:103677372-103677382(+) CCACAATGATG >hg38_chr11:103682451-103682461(-) TAACAATAACA >hg38_chr11:103696053-103696063(+) atacaatgcaa >hg38_chr11:103696070-103696080(+) taacaatagtc >hg38_chr11:103783728-103783738(-) aaacaatgcat >hg38_chr11:103790700-103790710(-) ACACAATGGTG >hg38_chr11:103790724-103790734(-) ATATAATGTAA >hg38_chr11:103807025-103807035(+) TGACAATGTTT >hg38_chr11:103807085-103807095(+) TGACAATAGTC >hg38_chr11:103808013-103808023(+) TCACAAAGGAA >hg38_chr11:103810980-103810990(-) agataatgggc >hg38_chr11:103892649-103892659(+) CTACAATGCCC >hg38_chr11:103893102-103893112(-) ACACAATGTAT >hg38_chr11:103929236-103929246(-) AGACTATGGAG >hg38_chr11:103929303-103929313(-) TAACACTGGTC >hg38_chr11:103940523-103940533(-) GGATAATGGAA >hg38_chr11:103959211-103959221(+) TTACACTGGAC >hg38_chr11:104055794-104055804(+) GCATAATGGAA >hg38_chr11:104064700-104064710(+) GAACAATGGCT >hg38_chr11:104123726-104123736(-) GAACAAAGGAC >hg38_chr11:104123751-104123761(-) ACACAAAGGAA >hg38_chr11:104124935-104124945(+) AGACAATAATA >hg38_chr11:104160132-104160142(+) TGACAATAGAA >hg38_chr11:104160246-104160256(-) GTATAATAGTA >hg38_chr11:104163680-104163690(+) AAACAATGCAT >hg38_chr11:104163743-104163753(+) GAACAATAACA >hg38_chr11:104163749-104163759(+) TAACAATAGCA >hg38_chr11:104168222-104168232(-) TAATAATGTAT >hg38_chr11:104190306-104190316(+) CTATAATGGAT >hg38_chr11:104247257-104247267(+) AAACAATGATT >hg38_chr11:104254546-104254556(-) GGACAATGAAG >hg38_chr11:104314241-104314251(-) aaacaataaat >hg38_chr11:104332331-104332341(-) CAACAATGGGC >hg38_chr11:104388883-104388893(+) AAACAATGGCA >hg38_chr11:104403351-104403361(+) gtacaatggct >hg38_chr11:104524474-104524484(+) CCACAATAGAT >hg38_chr11:104534640-104534650(+) acacaaaggca >hg38_chr11:104573690-104573700(-) gaacaatgtct >hg38_chr11:104573714-104573724(-) tcactatggta >hg38_chr11:104581434-104581444(+) TAACAATAGCC >hg38_chr11:104637952-104637962(-) aaacaatgtta >hg38_chr11:104654472-104654482(+) TTACAATAACC >hg38_chr11:104665562-104665572(+) caacaatagta >hg38_chr11:104673117-104673127(+) agacaatggag >hg38_chr11:104678596-104678606(+) taacaatgtta >hg38_chr11:104678816-104678826(+) ctacaatgcta >hg38_chr11:104678837-104678847(+) TAACAATGGCA >hg38_chr11:104750366-104750376(-) TAATAATGGAG >hg38_chr11:104750427-104750437(-) TCACAATACCG >hg38_chr11:104792969-104792979(-) gaacaaaggat >hg38_chr11:104801691-104801701(+) acacaatatat >hg38_chr11:104801732-104801742(+) taacaatgtaa >hg38_chr11:104820814-104820824(+) TTACAATATAC >hg38_chr11:104820821-104820831(-) TAATAATGTAT >hg38_chr11:104834087-104834097(-) atacaatgggg >hg38_chr11:104856066-104856076(-) GAACAATAGTG >hg38_chr11:104857245-104857255(+) GAACAAAGGAG >hg38_chr11:104894902-104894912(-) aaacaatagct >hg38_chr11:104896115-104896125(+) ctacaatagat >hg38_chr11:104896589-104896599(+) atataatgaat >hg38_chr11:104901393-104901403(-) CAACAATAGGA >hg38_chr11:104914719-104914729(+) agacaataaga >hg38_chr11:104918354-104918364(-) TAACAATGTTG >hg38_chr11:104918770-104918780(+) GAACAAAGGCT >hg38_chr11:104940043-104940053(+) AAACAGTGGAC >hg38_chr11:104940054-104940064(+) CGACAAAGGGC >hg38_chr11:104957919-104957929(+) gcacaatagtt >hg38_chr11:104999645-104999655(+) CAACAATGTGT >hg38_chr11:105011310-105011320(+) acacaataaat >hg38_chr11:105012697-105012707(+) ccacaatgaga >hg38_chr11:105047355-105047365(+) AAACAATGATT >hg38_chr11:105127386-105127396(+) atacaatacat >hg38_chr11:105153444-105153454(-) CAACAATGTAG >hg38_chr11:105156566-105156576(-) AGACAATAAAA >hg38_chr11:105181321-105181331(+) AGACAATGTGA >hg38_chr11:105191613-105191623(+) agacaatgatg >hg38_chr11:105191824-105191834(+) atacaaagggc >hg38_chr11:105191837-105191847(+) atacaaaggaa >hg38_chr11:105191900-105191910(+) gaacaatggat >hg38_chr11:105217029-105217039(+) TCACAATGctc >hg38_chr11:105231645-105231655(+) AAACAATAGTA >hg38_chr11:105231928-105231938(-) ACACAATAGTA >hg38_chr11:105251705-105251715(-) TCACAATGAAA >hg38_chr11:105261176-105261186(+) TTACAGTGGAC >hg38_chr11:105301732-105301742(+) atacaatatgt >hg38_chr11:105307109-105307119(-) agacaatgtga >hg38_chr11:105313681-105313691(+) CTACAATAGTC >hg38_chr11:105316094-105316104(-) AGACAAAGGAA >hg38_chr11:105323239-105323249(-) gcacaatgcct >hg38_chr11:105372382-105372392(+) CGACAATGTTA >hg38_chr11:105399667-105399677(+) CAACAATAGAA >hg38_chr11:105399677-105399687(-) AGACAATAGTT >hg38_chr11:105408173-105408183(+) AGACAGTGGAA >hg38_chr11:105408380-105408390(-) AAACAATGACA >hg38_chr11:105431426-105431436(+) caacaatggca >hg38_chr11:105447663-105447673(+) acacaatgaag >hg38_chr11:105449497-105449507(+) ggacaatggct >hg38_chr11:105524557-105524567(+) GAACAATGGCT >hg38_chr11:105612129-105612139(+) GGACAAAGGGC >hg38_chr11:105630199-105630209(-) ATACAATAGCA >hg38_chr11:105673208-105673218(-) GTACAATGCAC >hg38_chr11:105673222-105673232(-) GGACAATGTAG >hg38_chr11:105677913-105677923(-) tcacaatgcaa >hg38_chr11:105698525-105698535(+) TAACAATGAAC >hg38_chr11:105781314-105781324(+) ACACAATGCTC >hg38_chr11:105786963-105786973(-) GAACAATGCCT >hg38_chr11:105788048-105788058(-) CAACAATAGTA >hg38_chr11:105857915-105857925(-) CAACAATAGCT >hg38_chr11:105867950-105867960(-) gaacaatgtct >hg38_chr11:105867981-105867991(+) gtacaaaggtg >hg38_chr11:105891848-105891858(+) GAACAATGTCC >hg38_chr11:105915356-105915366(+) AAACAATGACC >hg38_chr11:105923845-105923855(+) AAACAACGGAT >hg38_chr11:105927609-105927619(-) ATACAATGCAA >hg38_chr11:105927640-105927650(+) GAACAATAGAG >hg38_chr11:105936469-105936479(+) TAACAATGGCT >hg38_chr11:105978814-105978824(-) ACACAAAGGAG >hg38_chr11:106022397-106022407(+) TCACAATGGGA >hg38_chr11:106026530-106026540(-) atacaatatgc >hg38_chr11:106030781-106030791(+) GAATAATGGAA >hg38_chr11:106031657-106031667(+) CAACAATGGCC >hg38_chr11:106048049-106048059(-) GGACAATAGGC >hg38_chr11:106048473-106048483(-) CCACAATAGCT >hg38_chr11:106051023-106051033(+) CAACAATGAAG >hg38_chr11:106069977-106069987(+) tgacaaaggta >hg38_chr11:106073003-106073013(+) gaacaatgggg >hg38_chr11:106073025-106073035(+) AGACAATAACA >hg38_chr11:106077489-106077499(+) ATACAATGCCG >hg38_chr11:106088000-106088010(-) ATACAGTGGGC >hg38_chr11:106099076-106099086(-) caacaatgtaa >hg38_chr11:106129095-106129105(-) ACACAATGCTC >hg38_chr11:106131104-106131114(-) TAACAATGAAT >hg38_chr11:106131586-106131596(-) TAACAATAGCT >hg38_chr11:106131592-106131602(-) AAACAATAACA >hg38_chr11:106153881-106153891(-) aaacaatgaca >hg38_chr11:106153911-106153921(-) ctacaatgcca >hg38_chr11:106208307-106208317(+) ggacaataggc >hg38_chr11:106214760-106214770(+) AAACAATCGGG >hg38_chr11:106256282-106256292(-) ggacagtggaa >hg38_chr11:106302513-106302523(-) AGACAATATAC >hg38_chr11:106311325-106311335(+) GCACAATGTGG >hg38_chr11:106351756-106351766(+) aaacaatgaag >hg38_chr11:106384468-106384478(-) AAACAATAAGA >hg38_chr11:106462264-106462274(+) AAACAATGAAG >hg38_chr11:106473400-106473410(-) AGACAATGCAA >hg38_chr11:106473468-106473478(-) TTACAATGAAA >hg38_chr11:106479465-106479475(-) agacaatagat >hg38_chr11:106493196-106493206(+) gaacaataaga >hg38_chr11:106494064-106494074(+) taacaatgtgg >hg38_chr11:106495316-106495326(+) ACACAAAGGAG >hg38_chr11:106557156-106557166(-) aaacaatgtct >hg38_chr11:106632475-106632485(+) atacaatggaa >hg38_chr11:106632545-106632555(+) tcacaatgtct >hg38_chr11:106636428-106636438(+) caacaatgaat >hg38_chr11:106673963-106673973(-) TAACAATGCCT >hg38_chr11:106673979-106673989(-) ACACAATGAAG >hg38_chr11:106674264-106674274(-) TCACAATGTAA >hg38_chr11:106679804-106679814(-) TTACAATGATT >hg38_chr11:106680156-106680166(-) GGACAAAGGGA >hg38_chr11:106717714-106717724(-) GCACAATGATT >hg38_chr11:106717723-106717733(-) TCACAATGTGC >hg38_chr11:106749006-106749016(+) atacaatggca >hg38_chr11:106851051-106851061(-) ccacaatgaga >hg38_chr11:106862103-106862113(-) GAACAATAGAT >hg38_chr11:106928770-106928780(+) acacaatggta >hg38_chr11:106934747-106934757(+) TAACAATGCTA >hg38_chr11:106961123-106961133(-) TCACAATGCAT >hg38_chr11:106964334-106964344(+) aAACAATAATC >hg38_chr11:106969406-106969416(+) GAACAATGAAA >hg38_chr11:106969428-106969438(+) ACACAATGGAC >hg38_chr11:107027384-107027394(+) ACACAATGAAC >hg38_chr11:107040886-107040896(-) gaacaaaggca >hg38_chr11:107043016-107043026(+) TTACAATGAAG >hg38_chr11:107116525-107116535(-) GAACAAAGGCA >hg38_chr11:107183214-107183224(-) GTATAATGGGA >hg38_chr11:107244238-107244248(-) GAACAAAGGCC >hg38_chr11:107254889-107254899(+) ggacaaAGGCA >hg38_chr11:107254924-107254934(-) ctacaATGGCA >hg38_chr11:107448589-107448599(+) gcacaatgaac >hg38_chr11:107449067-107449077(+) ttacaatgact >hg38_chr11:107449296-107449306(+) atacaatgtct >hg38_chr11:107449780-107449790(+) gaacaaaggaa >hg38_chr11:107457811-107457821(-) TTACGATGGCA >hg38_chr11:107458179-107458189(-) CGACAAAGGGT >hg38_chr11:107496206-107496216(+) GAACAATGGAC >hg38_chr11:107517203-107517213(+) aaacaatgaga >hg38_chr11:107517238-107517248(-) tgataatggcc >hg38_chr11:107545293-107545303(+) AAACAATAAAC >hg38_chr11:107598386-107598396(+) tgacaatgccc >hg38_chr11:107605834-107605844(-) GTACAATGCTT >hg38_chr11:107625200-107625210(-) TGACAATCGGT >hg38_chr11:107666969-107666979(-) AAACAATGGGT >hg38_chr11:107667315-107667325(-) AGACAATGAGC >hg38_chr11:107667332-107667342(-) CCACAATGGAT >hg38_chr11:107688537-107688547(-) ttacaaagggt >hg38_chr11:107695825-107695835(-) AGACAATGATT >hg38_chr11:107708057-107708067(+) TAACAATGCTC >hg38_chr11:107739562-107739572(-) caacaatagtc >hg38_chr11:107748868-107748878(-) taacaatatat >hg38_chr11:107756740-107756750(-) taacaatgggg >hg38_chr11:107769369-107769379(-) GCACAAAGGGA >hg38_chr11:107893401-107893411(+) AGACAATAGCA >hg38_chr11:107942936-107942946(-) TAACAATAAAA >hg38_chr11:107942977-107942987(+) ACACAATAGTA >hg38_chr11:107951300-107951310(+) ACACAATGACT >hg38_chr11:107992086-107992096(-) caacaatgtgg >hg38_chr11:108008752-108008762(+) GGACAATGCAC >hg38_chr11:108012274-108012284(-) GAACAATAAAC >hg38_chr11:108019492-108019502(+) caacaacggat >hg38_chr11:108019508-108019518(+) atataatggtg >hg38_chr11:108019530-108019540(+) ttataatggga >hg38_chr11:108104627-108104637(-) TGATAATGGGC >hg38_chr11:108122069-108122079(-) TGACAATAACG >hg38_chr11:108158830-108158840(+) GTACAATGAAA >hg38_chr11:108191851-108191861(+) taacaatatat >hg38_chr11:108196729-108196739(+) atataatggat >hg38_chr11:108220308-108220318(-) GAACAATGAAT >hg38_chr11:108251818-108251828(-) AAACAAAGGAC >hg38_chr11:108278874-108278884(+) agacaatagca >hg38_chr11:108279774-108279784(+) TCACAATGGGT >hg38_chr11:108280366-108280376(-) atacaatgttg >hg38_chr11:108281390-108281400(-) GTACAATGAAA >hg38_chr11:108305707-108305717(+) ATATAATGGGT >hg38_chr11:108319589-108319599(-) agacaataaat >hg38_chr11:108339019-108339029(-) gcacaatgaca >hg38_chr11:108417693-108417703(+) tcataatggga >hg38_chr11:108417707-108417717(+) gaacaatgaga >hg38_chr11:108480175-108480185(+) TAacaatgaac >hg38_chr11:108480241-108480251(-) agacaatgcct >hg38_chr11:108494241-108494251(+) ATACAATGGAG >hg38_chr11:108509351-108509361(-) GAACAATGAAT >hg38_chr11:108559903-108559913(-) ATACAAAGGGT >hg38_chr11:108574923-108574933(+) TTACAAAGGCA >hg38_chr11:108589054-108589064(-) GAACAATGCCT >hg38_chr11:108595728-108595738(-) ccacaatgcac >hg38_chr11:108599829-108599839(+) TGACAATGCTG >hg38_chr11:108607537-108607547(-) AGACAATGGTT >hg38_chr11:108616694-108616704(-) AGACAATAGGA >hg38_chr11:108624661-108624671(+) ATACACTGGGT >hg38_chr11:108641047-108641057(-) taacaatgcca >hg38_chr11:108645881-108645891(+) TAACAATGCCA >hg38_chr11:108664836-108664846(-) TTACTATGGTG >hg38_chr11:108673394-108673404(+) ATACAATGTAG >hg38_chr11:108702459-108702469(+) GGACAATAGTG >hg38_chr11:108710038-108710048(+) acacaatggta >hg38_chr11:108760079-108760089(-) AAACAAAGGAA >hg38_chr11:108769018-108769028(-) agacaatggga >hg38_chr11:108781739-108781749(-) AAACAATGGGG >hg38_chr11:108796693-108796703(-) aaacaatagca >hg38_chr11:108825680-108825690(-) CAACAATGACA >hg38_chr11:108825698-108825708(-) ATACAATGATA >hg38_chr11:108850980-108850990(-) caacaatgtgc >hg38_chr11:108857542-108857552(-) ACACAAAGGAA >hg38_chr11:108859716-108859726(-) CCACAATGATT >hg38_chr11:108869279-108869289(-) GCATAATGGCA >hg38_chr11:108872176-108872186(+) AAACAATGGTA >hg38_chr11:108872184-108872194(+) GTACAATATTA >hg38_chr11:108873476-108873486(-) CTATAATGGCT >hg38_chr11:108873527-108873537(-) gaacaatgtta >hg38_chr11:108886438-108886448(-) TGACAATGACC >hg38_chr11:108886934-108886944(-) AAACAATGGTC >hg38_chr11:108913942-108913952(-) TGACAATGACC >hg38_chr11:108924376-108924386(-) GGACAATAGCC >hg38_chr11:108924922-108924932(-) ACACAATGAGA >hg38_chr11:108924978-108924988(-) ACACAATAAAT >hg38_chr11:108934455-108934465(-) ccacaatgcta >hg38_chr11:108958530-108958540(-) TAACAATAGCT >hg38_chr11:109001750-109001760(-) agacaatggac >hg38_chr11:109025887-109025897(+) taacagtggag >hg38_chr11:109033789-109033799(+) TAACAATGCAC >hg38_chr11:109038513-109038523(-) TAACAATGGCA >hg38_chr11:109038536-109038546(+) CAACAATGCAT >hg38_chr11:109043342-109043352(-) TTACTATGACG >hg38_chr11:109069136-109069146(+) aaacaatgaaa >hg38_chr11:109069161-109069171(+) tgacaatgttt >hg38_chr11:109093478-109093488(-) aaacaatagtg >hg38_chr11:109111967-109111977(-) TAACAATATGT >hg38_chr11:109112041-109112051(+) TAACAATGGAT >hg38_chr11:109113496-109113506(+) gcactatggta >hg38_chr11:109120683-109120693(+) CTACAAAGGTA >hg38_chr11:109142725-109142735(+) TAACACTGGAT >hg38_chr11:109148837-109148847(+) GAACAATGAAG >hg38_chr11:109203358-109203368(+) GCACAATGCTC >hg38_chr11:109203367-109203377(-) TTACAAAGGGA >hg38_chr11:109203391-109203401(-) TAACAAAGGAA >hg38_chr11:109324352-109324362(-) AAACAatggaa >hg38_chr11:109324392-109324402(-) aaactatgggt >hg38_chr11:109443203-109443213(-) taacaatgcca >hg38_chr11:109474496-109474506(-) gtacaatgtca >hg38_chr11:109487363-109487373(-) ACACAATGTTG >hg38_chr11:109511646-109511656(+) gaacaaaggcc >hg38_chr11:109595328-109595338(+) GAACAATGAAG >hg38_chr11:109669479-109669489(-) TTACAATGGTT >hg38_chr11:109734486-109734496(+) GAACAATGCAG >hg38_chr11:109734495-109734505(-) AAACAATGGCT >hg38_chr11:109751403-109751413(-) GTACAATGGCA >hg38_chr11:109751412-109751422(-) AAACAAAGGGT >hg38_chr11:109764824-109764834(-) atacaatgatg >hg38_chr11:109859508-109859518(+) TCATAATGGAA >hg38_chr11:109871960-109871970(-) gtacaatatta >hg38_chr11:109939292-109939302(+) TAACAGTGGAA >hg38_chr11:109985719-109985729(-) aaacaataaca >hg38_chr11:109985736-109985746(+) acacaatgctt >hg38_chr11:110025059-110025069(+) TGACAATGGCA >hg38_chr11:110031549-110031559(-) TGACAATGATC >hg38_chr11:110110631-110110641(+) AGACAATGAAC >hg38_chr11:110128985-110128995(-) TTACAATGTAA >hg38_chr11:110130775-110130785(-) CAACAATAGGC >hg38_chr11:110131970-110131980(-) TAACAAAGGAG >hg38_chr11:110134203-110134213(+) GAACAATGATG >hg38_chr11:110134240-110134250(-) GAACAATGCAG >hg38_chr11:110162446-110162456(-) GAATAATGGGA >hg38_chr11:110162498-110162508(+) ATATAATGAAT >hg38_chr11:110173919-110173929(-) acactatggat >hg38_chr11:110173946-110173956(-) Taataatggct >hg38_chr11:110175336-110175346(+) TGACAATGCCC >hg38_chr11:110175861-110175871(-) GCACAATGTGC >hg38_chr11:110199651-110199661(+) gaacaatgcat >hg38_chr11:110224731-110224741(+) GAACAATATAG >hg38_chr11:110239328-110239338(+) agataatgggt >hg38_chr11:110239947-110239957(+) gaacaatatag >hg38_chr11:110239986-110239996(-) atactatggta >hg38_chr11:110257729-110257739(+) GAACAATGACT >hg38_chr11:110294847-110294857(-) ATACAAtgttt >hg38_chr11:110308194-110308204(-) cgacaatagca >hg38_chr11:110317622-110317632(+) AGATAATGGCC >hg38_chr11:110317633-110317643(-) GAACAATGAAG >hg38_chr11:110349783-110349793(+) caacaatagtc >hg38_chr11:110358507-110358517(-) taacaatagcc >hg38_chr11:110358532-110358542(-) ttataatggca >hg38_chr11:110425302-110425312(-) atacaataaac >hg38_chr11:110452800-110452810(-) acacaatgcct >hg38_chr11:110458400-110458410(+) GTATAATGGGT >hg38_chr11:110492008-110492018(-) TTACAAAGGCA >hg38_chr11:110496385-110496395(-) gaacaatgcct >hg38_chr11:110558051-110558061(-) gtacaataaaa >hg38_chr11:110584509-110584519(+) GTACAATGGAC >hg38_chr11:110584520-110584530(+) TGACAATGAGC >hg38_chr11:110644011-110644021(+) caacaatgagc >hg38_chr11:110663612-110663622(+) aaacaaaggaa >hg38_chr11:110679392-110679402(-) ccacaatggca >hg38_chr11:110681689-110681699(+) ACACAATGGGG >hg38_chr11:110681713-110681723(+) GGACAATGTGA >hg38_chr11:110755618-110755628(+) ACACAATGTTC >hg38_chr11:110755681-110755691(-) TAACAATAGCC >hg38_chr11:110826112-110826122(-) GGACAGTGGAA >hg38_chr11:110931349-110931359(+) gaacaatggcc >hg38_chr11:110979322-110979332(+) TTACAATAACA >hg38_chr11:110985802-110985812(-) GAACAAAGGAC >hg38_chr11:110994765-110994775(+) AGATAATGGCT >hg38_chr11:111011362-111011372(+) GTATAATGaac >hg38_chr11:111154808-111154818(+) taacaatgatc >hg38_chr11:111154874-111154884(-) aaacaatgaca >hg38_chr11:111161454-111161464(+) acacaatagta >hg38_chr11:111254569-111254579(-) GAACAATAAta >hg38_chr11:111269330-111269340(-) AGACAATAGGC >hg38_chr11:111345636-111345646(-) ATATAATGGGA >hg38_chr11:111445375-111445385(-) ACACAATAGGA >hg38_chr11:111484563-111484573(-) tgacaatggtg >hg38_chr11:111490918-111490928(-) agacaatgtgt >hg38_chr11:111490967-111490977(+) aaacaatcggt >hg38_chr11:111537714-111537724(+) gaacaatgggt >hg38_chr11:111538611-111538621(+) taacaaagggg >hg38_chr11:111562127-111562137(+) gaaCAATGCAT >hg38_chr11:111584501-111584511(-) taacactggtt >hg38_chr11:111603350-111603360(+) AGACAATAGAA >hg38_chr11:111605298-111605308(-) TAACAATGGAA >hg38_chr11:111605827-111605837(-) AAACAATGTTA >hg38_chr11:111607760-111607770(-) GAACAATAGTT >hg38_chr11:111624251-111624261(-) ATACAATGAGT >hg38_chr11:111624423-111624433(+) ttacaatgccg >hg38_chr11:111631503-111631513(-) CAACAATGACC >hg38_chr11:111631958-111631968(-) gtacaatataa >hg38_chr11:111635136-111635146(-) GAACAATAACA >hg38_chr11:111636309-111636319(-) AAACAAAGGCA >hg38_chr11:111636808-111636818(-) agacaatggaa >hg38_chr11:111639717-111639727(-) TAACAATGAAT >hg38_chr11:111651717-111651727(-) AAACAATGGCT >hg38_chr11:111676563-111676573(-) AAACAATAAAA >hg38_chr11:111719120-111719130(-) TAACAAAGGGC >hg38_chr11:111719133-111719143(+) CTACAATGGGA >hg38_chr11:111729707-111729717(-) GAACAATATCA >hg38_chr11:111730260-111730270(+) AGATAATGGGC >hg38_chr11:111738443-111738453(+) TAACAAAGGAA >hg38_chr11:111739463-111739473(+) AAACAATGAAA >hg38_chr11:111754323-111754333(+) TTACAATGGGC >hg38_chr11:111754348-111754358(+) TAACAATGTTG >hg38_chr11:111759962-111759972(+) AAACAATGAAG >hg38_chr11:111764741-111764751(+) AAACAATGTAT >hg38_chr11:111819619-111819629(+) CCACAATGGCT >hg38_chr11:111823798-111823808(-) gaacagtggga >hg38_chr11:111829527-111829537(-) AAACAATGTGA >hg38_chr11:111914289-111914299(+) TCACAATGCAA >hg38_chr11:111934089-111934099(+) gcataatggtt >hg38_chr11:111937495-111937505(+) GAACAATGCTA >hg38_chr11:111944042-111944052(+) ATACAATAGGG >hg38_chr11:111968186-111968196(-) gaacaataaag >hg38_chr11:111983812-111983822(+) TCACAATGAGA >hg38_chr11:111983829-111983839(-) CTACAATGGAA >hg38_chr11:111989217-111989227(-) AAACAAAGGTA >hg38_chr11:112016551-112016561(-) ACACAATGTCC >hg38_chr11:112017115-112017125(+) ATACAATGGAT >hg38_chr11:112086718-112086728(-) GAACAATGGCG >hg38_chr11:112149881-112149891(-) ATACAATAAGA >hg38_chr11:112176905-112176915(+) GGACAATGTCT >hg38_chr11:112177433-112177443(+) aaacaaTGCTG >hg38_chr11:112191353-112191363(+) aaacaataatc >hg38_chr11:112191369-112191379(+) acataatggta >hg38_chr11:112191742-112191752(-) tcacaatgttt >hg38_chr11:112232785-112232795(-) GAACAATGCCA >hg38_chr11:112278221-112278231(-) acacaatgtct >hg38_chr11:112292862-112292872(-) aaacaatgcaa >hg38_chr11:112293106-112293116(-) acactatggtt >hg38_chr11:112293165-112293175(+) caacaatgtat >hg38_chr11:112387152-112387162(-) agacaatatag >hg38_chr11:112387174-112387184(-) acacaaaggat >hg38_chr11:112387209-112387219(-) atacaatatat >hg38_chr11:112389114-112389124(-) caacaatgcac >hg38_chr11:112399362-112399372(-) AAACAATAAAC >hg38_chr11:112399382-112399392(+) GAACAATGTCT >hg38_chr11:112443663-112443673(-) gaacaataaga >hg38_chr11:112444384-112444394(-) ccacaatgaga >hg38_chr11:112444635-112444645(-) ggacaatgaca >hg38_chr11:112458175-112458185(-) aaacaatgtac >hg38_chr11:112514219-112514229(+) AAACAATGGTG >hg38_chr11:112514602-112514612(-) CCACAATGTCT >hg38_chr11:112588692-112588702(-) GGACAATGGAG >hg38_chr11:112591068-112591078(-) TAACAATAGAT >hg38_chr11:112605521-112605531(+) aaacaatgact >hg38_chr11:112626041-112626051(+) agacaatggac >hg38_chr11:112784400-112784410(+) GAACAATGGGG >hg38_chr11:112797855-112797865(+) CAACAATAGTG >hg38_chr11:112822708-112822718(-) TAACAATGGAG >hg38_chr11:112844599-112844609(+) GAACAATGGAA >hg38_chr11:112878483-112878493(+) AGACAATGGGA >hg38_chr11:112903589-112903599(-) GCACAATGGTA >hg38_chr11:112962585-112962595(+) GAACAATAGGG >hg38_chr11:113006300-113006310(-) CCACAATGAAT >hg38_chr11:113082712-113082722(+) GGACAATGCCG >hg38_chr11:113101251-113101261(-) CCACAATAGTG >hg38_chr11:113119438-113119448(+) GCACAGTGGCG >hg38_chr11:113147424-113147434(-) TGACAATGGGC >hg38_chr11:113162739-113162749(-) TAACAAAGGAA >hg38_chr11:113179522-113179532(-) ACACAATAGGC >hg38_chr11:113199430-113199440(-) GCACAATGGCT >hg38_chr11:113222428-113222438(-) TGACAATGGCA >hg38_chr11:113248066-113248076(+) GCACAATGTTA >hg38_chr11:113293351-113293361(-) TGACAATAGGG >hg38_chr11:113378229-113378239(-) GAACAATAGGA >hg38_chr11:113387329-113387339(+) gaacaaaggaa >hg38_chr11:113481900-113481910(+) aaacaatgctg >hg38_chr11:113506200-113506210(-) GAACAAAGGAG >hg38_chr11:113506239-113506249(+) TGACAATGCTC >hg38_chr11:113506248-113506258(-) GAACAAAGGGA >hg38_chr11:113538633-113538643(+) TTACAAtgtga >hg38_chr11:113541586-113541596(+) GAACAAAGGAA >hg38_chr11:113549502-113549512(+) gaacaatgaaa >hg38_chr11:113552533-113552543(+) GAACAATGTGC >hg38_chr11:113578175-113578185(+) AGACAATGGAA >hg38_chr11:113594494-113594504(-) AGACAAAGGaa >hg38_chr11:113629184-113629194(-) GAATAATGGCT >hg38_chr11:113681838-113681848(+) GAACAATAAAT >hg38_chr11:113706406-113706416(+) AGACAATGAAC >hg38_chr11:113729622-113729632(-) agacaatgcta >hg38_chr11:113748646-113748656(+) taacaatgagg >hg38_chr11:113749035-113749045(+) tcacaaaggaa >hg38_chr11:113841867-113841877(+) CAACAATGGCT >hg38_chr11:113849942-113849952(+) ccacaatagaa >hg38_chr11:113850370-113850380(+) atacaatgtgg >hg38_chr11:113859782-113859792(+) TCACAATGAAG >hg38_chr11:113862438-113862448(+) gaacaatggat >hg38_chr11:113992931-113992941(-) AGATAATGGGC >hg38_chr11:113992944-113992954(+) GGACAATGCCC >hg38_chr11:114052670-114052680(-) TCACAATGGCC >hg38_chr11:114123365-114123375(+) AGACAATGTCC >hg38_chr11:114148219-114148229(-) GGACAATGGCA >hg38_chr11:114154809-114154819(+) AAACAATGTGA >hg38_chr11:114154873-114154883(+) ACACAGTGGAT >hg38_chr11:114164915-114164925(-) acacaaaggac >hg38_chr11:114209508-114209518(-) GCACAATGGCT >hg38_chr11:114220169-114220179(-) GAACAATGTGC >hg38_chr11:114230464-114230474(-) ACACAATAGCC >hg38_chr11:114232795-114232805(-) GAACAATGGGG >hg38_chr11:114232826-114232836(-) GGACAATGGGC >hg38_chr11:114244086-114244096(+) ACACAATGCCT >hg38_chr11:114244105-114244115(-) GAACAACGGAA >hg38_chr11:114256728-114256738(-) TGACAATGCAG >hg38_chr11:114318499-114318509(-) AAACAAAGGCA >hg38_chr11:114338856-114338866(+) atacaatggag >hg38_chr11:114338879-114338889(+) ttacaataagt >hg38_chr11:114340529-114340539(-) agacaaaggca >hg38_chr11:114378103-114378113(-) TGACAATGACT >hg38_chr11:114397171-114397181(+) TTACAATGGCT >hg38_chr11:114397426-114397436(-) TTACAATGTGA >hg38_chr11:114400313-114400323(-) GAACAATGTGT >hg38_chr11:114401417-114401427(+) AAATAATGGCG >hg38_chr11:114403105-114403115(-) ATACAATGTGT >hg38_chr11:114405245-114405255(-) ctacaatggca >hg38_chr11:114405282-114405292(+) aaacaatggtg >hg38_chr11:114414254-114414264(-) gaacaaaggac >hg38_chr11:114414295-114414305(-) atacaaaggca >hg38_chr11:114438459-114438469(+) tcacaatagac >hg38_chr11:114461804-114461814(-) taacaatagaa >hg38_chr11:114461845-114461855(+) caacaatagtc >hg38_chr11:114464691-114464701(+) gcataatggaa >hg38_chr11:114478845-114478855(-) ggacaataggc >hg38_chr11:114486890-114486900(+) ttacaatacgt >hg38_chr11:114566409-114566419(+) aaacaaaggaa >hg38_chr11:114569367-114569377(-) TAACAATGGTC >hg38_chr11:114569402-114569412(+) AGACAATAGTG >hg38_chr11:114633211-114633221(-) atataatgtaa >hg38_chr11:114705039-114705049(+) caacaatgcag >hg38_chr11:114722817-114722827(+) TAACAAAGGAT >hg38_chr11:114726201-114726211(-) gaataatggag >hg38_chr11:114799782-114799792(+) GGACAAAGGGC >hg38_chr11:114832845-114832855(+) GCACAATGAGC >hg38_chr11:114832916-114832926(-) TAACAGTGGTC >hg38_chr11:114889961-114889971(+) AGACAATAAAC >hg38_chr11:114952962-114952972(-) TAACAGTGGCA >hg38_chr11:115022246-115022256(-) tcacaatgtac >hg38_chr11:115053187-115053197(-) gcacaatgcag >hg38_chr11:115085544-115085554(+) acacaatgaac >hg38_chr11:115092416-115092426(-) gtacaatacat >hg38_chr11:115097911-115097921(-) TCACAATGGTT >hg38_chr11:115097933-115097943(-) ACATAATGGTC >hg38_chr11:115112953-115112963(+) AGACAATGAGA >hg38_chr11:115118283-115118293(+) tcacaatgaac >hg38_chr11:115129902-115129912(-) AAACAATAACC >hg38_chr11:115170330-115170340(+) AGACAATGTCA >hg38_chr11:115194126-115194136(+) gtacaatgtct >hg38_chr11:115194170-115194180(-) TAACAATGGCA >hg38_chr11:115247523-115247533(-) GAACAATGATT >hg38_chr11:115250488-115250498(+) TAACAATAATT >hg38_chr11:115276319-115276329(+) AAACAATAGGC >hg38_chr11:115277125-115277135(+) ACACAATGCCC >hg38_chr11:115284376-115284386(+) GAACAATAGGC >hg38_chr11:115304820-115304830(-) TGACAATAGGC >hg38_chr11:115359988-115359998(+) caacaatggtt >hg38_chr11:115360005-115360015(-) gaacaatgaat >hg38_chr11:115379430-115379440(+) GGACAATGGAG >hg38_chr11:115390551-115390561(+) TGACAATGATC >hg38_chr11:115391347-115391357(+) TTACAATGGTC >hg38_chr11:115409433-115409443(-) TAACAATGAAA >hg38_chr11:115479689-115479699(+) AAACAAAGGGG >hg38_chr11:115488172-115488182(+) AGACAATATAG >hg38_chr11:115502369-115502379(-) CAACAATAGAC >hg38_chr11:115580901-115580911(-) AAACAAAGGCA >hg38_chr11:115590601-115590611(-) CAACAATGAAA >hg38_chr11:115598498-115598508(+) CTACAATAGAA >hg38_chr11:115598515-115598525(-) ATACAATAGAA >hg38_chr11:115619477-115619487(-) AGACAATAGAG >hg38_chr11:115624743-115624753(-) ggacaatggtg >hg38_chr11:115717125-115717135(-) GCACAATGGCT >hg38_chr11:115739324-115739334(+) ACACAAAGACG >hg38_chr11:115941815-115941825(-) AAACAATGGAC >hg38_chr11:115955769-115955779(+) GTACAATGGAA >hg38_chr11:115975763-115975773(+) GAACAAAGCGA >hg38_chr11:116037933-116037943(+) GAACAATGGGA >hg38_chr11:116053721-116053731(-) TGACAATGTCA >hg38_chr11:116062874-116062884(-) AGACAATGAGA >hg38_chr11:116063644-116063654(-) atacaATAAGA >hg38_chr11:116063676-116063686(+) atacaatgcca >hg38_chr11:116072675-116072685(+) GAACAAAGGGA >hg38_chr11:116073241-116073251(-) gaacaaagggc >hg38_chr11:116074522-116074532(-) acacaaagggt >hg38_chr11:116075621-116075631(+) gtacaatggga >hg38_chr11:116106110-116106120(+) atacaATAGga >hg38_chr11:116114463-116114473(-) GAACAAAGGGG >hg38_chr11:116114504-116114514(+) CCACAATGGGG >hg38_chr11:116118730-116118740(+) GCACAATGGAT >hg38_chr11:116126900-116126910(-) GAACAATAACT >hg38_chr11:116133106-116133116(-) TAACAATGGCA >hg38_chr11:116166754-116166764(-) tcacaatagtg >hg38_chr11:116166837-116166847(-) caacaatgaca >hg38_chr11:116193688-116193698(-) AGACAAAGGCA >hg38_chr11:116235321-116235331(-) AAACAATGCCT >hg38_chr11:116279605-116279615(-) GAACAAAGGTG >hg38_chr11:116279631-116279641(-) TAACAATGAAT >hg38_chr11:116284656-116284666(+) aaacaatggat >hg38_chr11:116367165-116367175(-) GCACTATGGAA >hg38_chr11:116367214-116367224(-) GAACAATGGGA >hg38_chr11:116373364-116373374(-) ATACAATAAGT >hg38_chr11:116428413-116428423(-) AGACAATGGCC >hg38_chr11:116445952-116445962(+) CTACAATAGAT >hg38_chr11:116471446-116471456(-) TCACAATGCTC >hg38_chr11:116481501-116481511(-) GTacaatagcc >hg38_chr11:116481508-116481518(+) gtACAAAGGGC >hg38_chr11:116481535-116481545(+) ACACAATGGCA >hg38_chr11:116506908-116506918(+) TGACAATGACT >hg38_chr11:116554328-116554338(+) agacaaaggca >hg38_chr11:116583517-116583527(+) TGACAATGGAG >hg38_chr11:116583542-116583552(+) CAACAATGATC >hg38_chr11:116623706-116623716(+) agacaatgtag >hg38_chr11:116666179-116666189(-) gtacaatgtac >hg38_chr11:116678995-116679005(+) atacaatgttg >hg38_chr11:116679023-116679033(+) ccacaatagcc >hg38_chr11:116788115-116788125(+) GAACAATGTCG >hg38_chr11:116931862-116931872(-) GGACAATAGGA >hg38_chr11:116943503-116943513(+) TAACAATACTA >hg38_chr11:116954707-116954717(-) CTACAATGAAT >hg38_chr11:116959694-116959704(+) TCATAATGGTA >hg38_chr11:116962148-116962158(+) AGACAATGAAC >hg38_chr11:116986581-116986591(-) TGACAATGTAT >hg38_chr11:116993840-116993850(+) TGACAAAGGGA >hg38_chr11:117037616-117037626(-) GGACAATGAAG >hg38_chr11:117042369-117042379(+) GCACAATGGTT >hg38_chr11:117046107-117046117(+) TCACAATATAC >hg38_chr11:117046134-117046144(+) GCACAATAGCC >hg38_chr11:117072816-117072826(+) CTACAATGGTG >hg38_chr11:117079447-117079457(-) gcacaatgctt >hg38_chr11:117095865-117095875(-) GGACAATGAGT >hg38_chr11:117117790-117117800(-) ATACAATGGAA >hg38_chr11:117117838-117117848(+) AAACAATAGGT >hg38_chr11:117117859-117117869(-) TAACAATGCAC >hg38_chr11:117147757-117147767(-) CTACAATGGCA >hg38_chr11:117160986-117160996(+) ACACAATGGTA >hg38_chr11:117233020-117233030(-) GGACAATGGGG >hg38_chr11:117249939-117249949(+) taacaATTGTA >hg38_chr11:117319143-117319153(+) acacaatagag >hg38_chr11:117319152-117319162(+) agacaaagggc >hg38_chr11:117327762-117327772(-) TGACAATGGAT >hg38_chr11:117429971-117429981(-) gaacaatggaa >hg38_chr11:117452603-117452613(-) taacaataatt >hg38_chr11:117452859-117452869(+) agacaatgcaa >hg38_chr11:117485056-117485066(+) ttacaaaggca >hg38_chr11:117536083-117536093(+) AAACAAAGGCA >hg38_chr11:117546938-117546948(-) TTACAATGGGG >hg38_chr11:117583733-117583743(-) ggacaatgacc >hg38_chr11:117591469-117591479(+) TCACAATGCAT >hg38_chr11:117594919-117594929(-) TAACAATGAGG >hg38_chr11:117595985-117595995(-) GGACAATAGAG >hg38_chr11:117618509-117618519(+) tgacaatgccc >hg38_chr11:117658035-117658045(+) gcacaatgaga >hg38_chr11:117658057-117658067(+) gaacaatggct >hg38_chr11:117678734-117678744(+) ggacaatggca >hg38_chr11:117704733-117704743(+) GAACAATGCAA >hg38_chr11:117747590-117747600(+) ACACAAAGGAG >hg38_chr11:117877066-117877076(+) GCACAATGACA >hg38_chr11:118053432-118053442(+) GGACAATGGCA >hg38_chr11:118093385-118093395(+) GCACAATAGGG >hg38_chr11:118113979-118113989(-) tgacaatggaa >hg38_chr11:118171901-118171911(-) aaacaatgggg >hg38_chr11:118244173-118244183(+) TGACAATGCTC >hg38_chr11:118254488-118254498(-) CAACAATGTGG >hg38_chr11:118264411-118264421(+) GCACAAAGGTC >hg38_chr11:118287534-118287544(+) ggacaatataa >hg38_chr11:118294150-118294160(-) taacaatggaa >hg38_chr11:118367951-118367961(-) gaacaataggg >hg38_chr11:118405636-118405646(-) GGACAATAAAA >hg38_chr11:118409955-118409965(-) TAACAGTGGAT >hg38_chr11:118435883-118435893(+) GTACAATAAGG >hg38_chr11:118441510-118441520(-) CAACAATGACA >hg38_chr11:118442302-118442312(-) AAACAAAGGAA >hg38_chr11:118450724-118450734(-) GAACAATGATT >hg38_chr11:118462404-118462414(+) TAACAATGACA >hg38_chr11:118468429-118468439(-) GTATAATGGCA >hg38_chr11:118470680-118470690(-) TTACAATGGAA >hg38_chr11:118495002-118495012(+) GTACAATACAT >hg38_chr11:118512497-118512507(-) acacaatggaa >hg38_chr11:118553777-118553787(+) atacagtggat >hg38_chr11:118553800-118553810(+) taacaaaggaa >hg38_chr11:118615318-118615328(-) AGACAATGCCC >hg38_chr11:118616557-118616567(-) GAACAATGCCA >hg38_chr11:118617887-118617897(+) AGACAATAGAG >hg38_chr11:118618283-118618293(+) GCACAAAGGAA >hg38_chr11:118621321-118621331(-) ggacaaaggag >hg38_chr11:118664652-118664662(+) caacaatgggc >hg38_chr11:118670584-118670594(+) CAACAATGCCA >hg38_chr11:118671009-118671019(-) atacagtggcc >hg38_chr11:118671578-118671588(-) gtacaataagt >hg38_chr11:118705323-118705333(-) ccacaatgaga >hg38_chr11:118705479-118705489(-) ACACAATGAGA >hg38_chr11:118716076-118716086(+) tgacaatgtaa >hg38_chr11:118790353-118790363(+) AAACAATAGGG >hg38_chr11:118796793-118796803(+) GAACAAAGGAA >hg38_chr11:118907643-118907653(-) GAATAATGGGG >hg38_chr11:118914298-118914308(+) GAACAATGGGG >hg38_chr11:118914307-118914317(-) CCACAATGGCC >hg38_chr11:118926450-118926460(-) AGACAAAGGTt >hg38_chr11:118953343-118953353(-) TGACAATGCTG >hg38_chr11:119030778-119030788(+) CAACAATGGCT >hg38_chr11:119084814-119084824(-) CGACAGTGGAC >hg38_chr11:119095733-119095743(+) CTACAATCGGA >hg38_chr11:119121461-119121471(-) GAACAGTGGGT >hg38_chr11:119222508-119222518(-) GAACAATGTTA >hg38_chr11:119241362-119241372(-) GCACAATGAAT >hg38_chr11:119252457-119252467(-) GCACAATGGAA >hg38_chr11:119252504-119252514(-) GCACAATGGTT >hg38_chr11:119257265-119257275(-) gaacaatagat >hg38_chr11:119266558-119266568(+) GCACAAAGGTA >hg38_chr11:119279980-119279990(-) GAACAATGTTC >hg38_chr11:119286724-119286734(-) AGACAAAGGAC >hg38_chr11:119323694-119323704(-) aaaccatggta >hg38_chr11:119353054-119353064(+) AAACAATGAGG >hg38_chr11:119397010-119397020(-) gcacaatagaa >hg38_chr11:119492448-119492458(+) GAACAAAGGCC >hg38_chr11:119512501-119512511(-) aaacaataggt >hg38_chr11:119545130-119545140(-) atataatggag >hg38_chr11:119552262-119552272(+) TGataatggca >hg38_chr11:119568402-119568412(+) ttacaataacc >hg38_chr11:119568436-119568446(-) caacaatgagg >hg38_chr11:119584379-119584389(+) AGATAATGGAA >hg38_chr11:119584428-119584438(-) ACACAATGGGC >hg38_chr11:119601805-119601815(+) gaacaataaca >hg38_chr11:119614338-119614348(-) TTACAATGTCC >hg38_chr11:119614371-119614381(+) AGACAAAGGAG >hg38_chr11:119663519-119663529(+) TGACAATGGCC >hg38_chr11:119663540-119663550(-) ATACAATGCCT >hg38_chr11:119687474-119687484(-) AGACAATGGGA >hg38_chr11:119696855-119696865(-) AGACAATGTGG >hg38_chr11:119726738-119726748(-) GGACAAAGGGT >hg38_chr11:119729162-119729172(+) CAACAATGTGG >hg38_chr11:119867957-119867967(-) tcacaatgcgc >hg38_chr11:119883666-119883676(-) aaacaatatag >hg38_chr11:119907958-119907968(-) TAACAATGGAA >hg38_chr11:119936270-119936280(+) TGACAATGGCT >hg38_chr11:119964761-119964771(-) GAACAAAGGAA >hg38_chr11:120023350-120023360(+) AAACAATGCCT >hg38_chr11:120024349-120024359(+) atacaATGGAT >hg38_chr11:120055857-120055867(-) CTACAATGGAG >hg38_chr11:120086812-120086822(+) GGACAATGACT >hg38_chr11:120086837-120086847(+) TGACAATGAAA >hg38_chr11:120086860-120086870(+) AAACAATAGCC >hg38_chr11:120089922-120089932(+) CAACAATGGGT >hg38_chr11:120096821-120096831(+) GGACAAAGGAC >hg38_chr11:120107230-120107240(+) TGATAATGGGA >hg38_chr11:120128847-120128857(+) GGACAATGGGA >hg38_chr11:120129289-120129299(+) CCACAATAGAG >hg38_chr11:120132421-120132431(-) GAACAAAGGAG >hg38_chr11:120179699-120179709(-) GAACAATGCAC >hg38_chr11:120180718-120180728(+) agacaatgacc >hg38_chr11:120185676-120185686(-) GGACAAAGGAA >hg38_chr11:120218072-120218082(-) GAACAATGTGA >hg38_chr11:120291227-120291237(+) TTACAATAGCC >hg38_chr11:120335240-120335250(-) TAACAATGCTG >hg38_chr11:120335276-120335286(-) AAACAGTGGAT >hg38_chr11:120338290-120338300(+) CAACAATAGTG >hg38_chr11:120339630-120339640(-) CCACAATGAAA >hg38_chr11:120397935-120397945(+) taacaatgctg >hg38_chr11:120404691-120404701(-) AAACAATGCTG >hg38_chr11:120404716-120404726(+) TTATAATGGCT >hg38_chr11:120409068-120409078(+) ATACAATGCTT >hg38_chr11:120415502-120415512(+) GTACAAAGATA >hg38_chr11:120432741-120432751(+) TAACAATGTGA >hg38_chr11:120454280-120454290(+) AGAcaatgaca >hg38_chr11:120455396-120455406(+) taacactggat >hg38_chr11:120458027-120458037(-) GGACAATGAGA >hg38_chr11:120501566-120501576(+) TTATAATGGAA >hg38_chr11:120527716-120527726(-) GCACAATGCCA >hg38_chr11:120622601-120622611(-) atacaATAATG >hg38_chr11:120629676-120629686(-) GGACAATCGGA >hg38_chr11:120690366-120690376(-) GGACAATAGAG >hg38_chr11:120710578-120710588(+) TCACAATAGAG >hg38_chr11:120771693-120771703(+) agacaatggaa >hg38_chr11:120778820-120778830(-) AAACAAAGGCA >hg38_chr11:120783110-120783120(+) ctacaatgcag >hg38_chr11:120858614-120858624(-) aaacaatgcat >hg38_chr11:120867773-120867783(+) AGACAATGCAA >hg38_chr11:121012255-121012265(+) ccacaatgaga >hg38_chr11:121012923-121012933(+) tcacaatagtc >hg38_chr11:121019251-121019261(-) TCACAATGACC >hg38_chr11:121042238-121042248(+) GAACAATGTAA >hg38_chr11:121071488-121071498(-) TGACAATGGTA >hg38_chr11:121082781-121082791(+) gtaccatggaa >hg38_chr11:121082814-121082824(-) ttacaaaggtg >hg38_chr11:121191941-121191951(-) AGACAATGGCC >hg38_chr11:121199134-121199144(-) TGACAAAGGTA >hg38_chr11:121206249-121206259(-) gaacaaagggg >hg38_chr11:121236176-121236186(+) tgacaatggac >hg38_chr11:121240201-121240211(-) atacaatggat >hg38_chr11:121240212-121240222(-) agataatggga >hg38_chr11:121242350-121242360(+) aaataatggta >hg38_chr11:121242678-121242688(-) acacaatatat >hg38_chr11:121242876-121242886(+) aaacaaaggtg >hg38_chr11:121243409-121243419(+) Caacaatagct >hg38_chr11:121249266-121249276(-) ACacaaagacg >hg38_chr11:121250352-121250362(-) AGACAATAGAC >hg38_chr11:121258124-121258134(-) ggacaataaca >hg38_chr11:121258152-121258162(-) taacaataaaa >hg38_chr11:121270552-121270562(-) GAACAATGCTC >hg38_chr11:121291492-121291502(+) AAACAATGTTc >hg38_chr11:121297560-121297570(-) AAACAATAAAA >hg38_chr11:121372143-121372153(+) ggacaatagtc >hg38_chr11:121389691-121389701(-) ACACAATGGCT >hg38_chr11:121389883-121389893(+) AGATAATGGCA >hg38_chr11:121406261-121406271(-) aaactatggtc >hg38_chr11:121441848-121441858(-) aaataatggtg >hg38_chr11:121507112-121507122(-) aaacaataata >hg38_chr11:121508642-121508652(-) ctacaatagct >hg38_chr11:121539220-121539230(-) ggacaatgaca >hg38_chr11:121590658-121590668(+) ACACAATGCTT >hg38_chr11:121636352-121636362(+) GAACAATGATG >hg38_chr11:121655489-121655499(+) AGACAATACGG >hg38_chr11:121669669-121669679(+) TGACAATAGCT >hg38_chr11:121669679-121669689(-) GTACAATAAAA >hg38_chr11:121679512-121679522(-) tcacaatgtac >hg38_chr11:121679526-121679536(-) caacaatgtag >hg38_chr11:121689375-121689385(-) GAACAAAGGAA >hg38_chr11:121722631-121722641(+) AAACAAAGGAA >hg38_chr11:121748715-121748725(-) gaacaataaaa >hg38_chr11:121767527-121767537(-) ACACAATGAAC >hg38_chr11:121801345-121801355(-) CAACAATGAAT >hg38_chr11:121802073-121802083(-) AAACAATAGTT >hg38_chr11:121808535-121808545(+) TTACAATGCGG >hg38_chr11:121833947-121833957(+) agacaaaggga >hg38_chr11:121842434-121842444(-) ATAGAATGGTA >hg38_chr11:121887584-121887594(+) GCACAAAGGCG >hg38_chr11:121896320-121896330(-) ttacaataaaa >hg38_chr11:121982271-121982281(-) gtactatggtt >hg38_chr11:121982286-121982296(+) atacaaaggac >hg38_chr11:122007760-122007770(+) GAACAATAACC >hg38_chr11:122059768-122059778(+) aaacaatgcag >hg38_chr11:122059785-122059795(+) agacaatagaa >hg38_chr11:122062605-122062615(+) ggacaatataa >hg38_chr11:122068941-122068951(+) TTACAATGCAG >hg38_chr11:122075021-122075031(-) cgacaatgatt >hg38_chr11:122098550-122098560(+) AAACAATAACA >hg38_chr11:122104346-122104356(-) AGACAATAACA >hg38_chr11:122115539-122115549(+) GAATAATGGGC >hg38_chr11:122126541-122126551(+) CAACAATGGCA >hg38_chr11:122141920-122141930(+) ACACAATGGGA >hg38_chr11:122146188-122146198(-) atacaataaat >hg38_chr11:122146241-122146251(+) TGACAATGCTG >hg38_chr11:122151526-122151536(+) GCACAATGGTG >hg38_chr11:122156315-122156325(-) GGACAATGAAG >hg38_chr11:122159328-122159338(+) TCACAATGCAA >hg38_chr11:122162294-122162304(-) aaacgatggAG >hg38_chr11:122168798-122168808(+) AAACAATGTTA >hg38_chr11:122185741-122185751(+) acacaatgggt >hg38_chr11:122210210-122210220(-) atacaatggag >hg38_chr11:122211582-122211592(+) ACACAATGTTG >hg38_chr11:122229691-122229701(+) GAACAATGAAA >hg38_chr11:122234390-122234400(+) GAACAATGGAG >hg38_chr11:122234401-122234411(-) ACACAATGTCA >hg38_chr11:122293772-122293782(-) GTACAATAGAA >hg38_chr11:122307326-122307336(+) GGACAATGGAA >hg38_chr11:122309808-122309818(-) AGACAATGGAT >hg38_chr11:122320433-122320443(+) GCACAAAGGAC >hg38_chr11:122321272-122321282(-) GAATAATGGCC >hg38_chr11:122332754-122332764(+) AAACAATGGAC >hg38_chr11:122366375-122366385(+) AAACTATGGTC >hg38_chr11:122373853-122373863(-) tcacaatgagc >hg38_chr11:122373873-122373883(-) acacaaaggaa >hg38_chr11:122373883-122373893(-) acataatggaa >hg38_chr11:122394477-122394487(+) AAACAATGGAG >hg38_chr11:122394536-122394546(+) GCACAATGGCT >hg38_chr11:122413045-122413055(-) aaacaatagct >hg38_chr11:122486903-122486913(-) gaacaatgttc >hg38_chr11:122505781-122505791(-) ACACAATGTGG >hg38_chr11:122513583-122513593(-) GTACAATAATA >hg38_chr11:122524112-122524122(+) AGACAAAGACG >hg38_chr11:122566080-122566090(+) tcacaatagcc >hg38_chr11:122633378-122633388(+) AGACAATGTTA >hg38_chr11:122691421-122691431(-) TAACAAAGGCC >hg38_chr11:122711917-122711927(+) acacaataata >hg38_chr11:122747597-122747607(+) AAACAATAGCA >hg38_chr11:122747949-122747959(+) atacaatgcag >hg38_chr11:122749420-122749430(-) gcacaatgcaa >hg38_chr11:122813204-122813214(-) GCACAATGACA >hg38_chr11:122893077-122893087(-) AAACAATGGCC >hg38_chr11:122895820-122895830(+) ACACAATGGAG >hg38_chr11:122920795-122920805(+) CAACAATAGCA >hg38_chr11:122984447-122984457(+) CGACACTGGGA >hg38_chr11:122987760-122987770(-) taacaatacat >hg38_chr11:123030667-123030677(-) GGACAATGGGG >hg38_chr11:123054411-123054421(+) AGACAATGCTA >hg38_chr11:123063426-123063436(+) AAACAATGGTG >hg38_chr11:123066003-123066013(-) ATACAATAGGC >hg38_chr11:123100528-123100538(-) TCACAATGGAC >hg38_chr11:123103457-123103467(-) CCACAATGTTC >hg38_chr11:123188615-123188625(+) GCACAAAGGAA >hg38_chr11:123188652-123188662(+) AAACAAAGGAG >hg38_chr11:123207406-123207416(-) GCACAATGATG >hg38_chr11:123216507-123216517(-) GAACAATAGAT >hg38_chr11:123225865-123225875(+) AAACGATGGCC >hg38_chr11:123253343-123253353(-) GGACAATGGGA >hg38_chr11:123268414-123268424(-) AAACAATGGCA >hg38_chr11:123268431-123268441(+) TCACAAAGGAT >hg38_chr11:123281963-123281973(-) TCACAATGTTC >hg38_chr11:123282313-123282323(+) GGACAATCGAC >hg38_chr11:123371708-123371718(+) AGACAAAGGAT >hg38_chr11:123399290-123399300(-) atacaatggca >hg38_chr11:123404168-123404178(+) acacaatgtta >hg38_chr11:123404372-123404382(-) ccacaatagtg >hg38_chr11:123406819-123406829(+) ATACAATAATT >hg38_chr11:123408249-123408259(+) ACACAATAGAA >hg38_chr11:123444037-123444047(+) agacaatggat >hg38_chr11:123493775-123493785(-) GAACAATAGGA >hg38_chr11:123493795-123493805(+) GAACAATGTTG >hg38_chr11:123544917-123544927(-) GAACAATGGCC >hg38_chr11:123550443-123550453(-) AGACAATGGCa >hg38_chr11:123645196-123645206(-) TAACAAAGGTT >hg38_chr11:123659107-123659117(+) ACACAATGAtc >hg38_chr11:123716914-123716924(-) ctataatgggc >hg38_chr11:123740149-123740159(-) CCACAATGTGA >hg38_chr11:123783670-123783680(-) caacaatagag >hg38_chr11:123783699-123783709(+) agacaatgtct >hg38_chr11:123786787-123786797(-) AAACAATGCAG >hg38_chr11:123787069-123787079(-) caacaatagaa >hg38_chr11:123853212-123853222(+) agacaatgggc >hg38_chr11:123854882-123854892(+) gcacaatggcc >hg38_chr11:123960584-123960594(-) gaacaatataa >hg38_chr11:123961977-123961987(+) gaacaatggaa >hg38_chr11:123974034-123974044(+) GAACAATAGCG >hg38_chr11:123994348-123994358(+) AAACAATGACA >hg38_chr11:124022649-124022659(+) agacaataaat >hg38_chr11:124063310-124063320(-) agacaatgact >hg38_chr11:124086807-124086817(-) AGACAATGCAT >hg38_chr11:124102252-124102262(-) tcacaataggc >hg38_chr11:124168093-124168103(+) taacaaaggct >hg38_chr11:124168128-124168138(-) ccacaatgtca >hg38_chr11:124175658-124175668(-) atacaatataa >hg38_chr11:124187882-124187892(-) gaacaatagca >hg38_chr11:124190026-124190036(-) taacaatagta >hg38_chr11:124193874-124193884(-) TTACAAAGGAG >hg38_chr11:124199865-124199875(+) AAACAATGCAA >hg38_chr11:124199920-124199930(-) ACATAATGGAT >hg38_chr11:124219204-124219214(-) ATACAATAATG >hg38_chr11:124221049-124221059(-) acacaatgcaa >hg38_chr11:124323926-124323936(+) gcacaaaggta >hg38_chr11:124324143-124324153(+) CAACAATGTTG >hg38_chr11:124324154-124324164(-) TAACAATAGAA >hg38_chr11:124327670-124327680(+) aaacaatgggc >hg38_chr11:124416011-124416021(+) ATACAATAGCT >hg38_chr11:124416339-124416349(-) TCACAATGAAG >hg38_chr11:124416351-124416361(-) CAACAATAGCT >hg38_chr11:124473011-124473021(-) ttacaacgacg >hg38_chr11:124490932-124490942(-) aaacaatacta >hg38_chr11:124493214-124493224(-) GGACAATGGCA >hg38_chr11:124567322-124567332(+) ACACAATGGTG >hg38_chr11:124571056-124571066(-) GAACAATAACA >hg38_chr11:124624749-124624759(-) CTACTATGGAC >hg38_chr11:124633132-124633142(-) ATACAAAGGTT >hg38_chr11:124656769-124656779(+) AAACAAAGGGC >hg38_chr11:124657403-124657413(+) AAACAGTGGAT >hg38_chr11:124667196-124667206(-) AAATAATGGCA >hg38_chr11:124667209-124667219(+) ACACAATGCTC >hg38_chr11:124677131-124677141(+) AAACAATGGGT >hg38_chr11:124712540-124712550(-) gcacaatgata >hg38_chr11:124713899-124713909(+) acacaatggct >hg38_chr11:124715073-124715083(-) caacaatggta >hg38_chr11:124778984-124778994(+) gcacaatgcag >hg38_chr11:124793491-124793501(-) GAATAATGggt >hg38_chr11:124799519-124799529(+) GAACAAAGCGA >hg38_chr11:124812707-124812717(+) acacaatgaaA >hg38_chr11:124837544-124837554(+) AGACAATGCCC >hg38_chr11:124839125-124839135(+) ACACAATGGGC >hg38_chr11:124846179-124846189(+) GGACAATTGCG >hg38_chr11:124898962-124898972(-) GAACAAAGGCT >hg38_chr11:124902874-124902884(-) agacaataggt >hg38_chr11:124903287-124903297(+) ttacaatgctt >hg38_chr11:124939174-124939184(+) CAACAATGGTG >hg38_chr11:124962126-124962136(+) TGACAATAGAT >hg38_chr11:124962196-124962206(+) AGACAATGAGA >hg38_chr11:125022772-125022782(+) AAACAATAGCT >hg38_chr11:125037539-125037549(-) agacaaaggca >hg38_chr11:125049647-125049657(-) GAACAATGGAA >hg38_chr11:125094905-125094915(+) ACACAATATAT >hg38_chr11:125111226-125111236(+) AAACAATGCCC >hg38_chr11:125154547-125154557(+) GAACAATAAGA >hg38_chr11:125181004-125181014(+) AGACAATGCTT >hg38_chr11:125185788-125185798(-) tcacaatagcc >hg38_chr11:125191966-125191976(+) ACACAATGGAA >hg38_chr11:125279874-125279884(+) AAACAATGCGG >hg38_chr11:125343125-125343135(+) GAACAATAGGG >hg38_chr11:125348782-125348792(-) AAACAAAGGAA >hg38_chr11:125395226-125395236(-) caacaatgtgg >hg38_chr11:125411772-125411782(+) GGACAATGAGG >hg38_chr11:125411831-125411841(+) ATATAATGCGT >hg38_chr11:125441902-125441912(-) GAACAATGAGC >hg38_chr11:125451249-125451259(+) TTACAATGAGT >hg38_chr11:125471228-125471238(+) agacaatacgc >hg38_chr11:125494763-125494773(+) GCACAATGATG >hg38_chr11:125495215-125495225(+) GCACAATGGCT >hg38_chr11:125509437-125509447(+) gaacaaaggct >hg38_chr11:125517605-125517615(-) AAACAATGGAC >hg38_chr11:125527032-125527042(-) tgacaatagat >hg38_chr11:125588793-125588803(+) gaacaatatag >hg38_chr11:125616354-125616364(+) taacaatgaca >hg38_chr11:125627397-125627407(+) GCATAATGACG >hg38_chr11:125627421-125627431(-) ATACAATAGCT >hg38_chr11:125662608-125662618(-) aaacaataccg >hg38_chr11:125662663-125662673(-) tcacaatagca >hg38_chr11:125670288-125670298(-) gaacaaaggca >hg38_chr11:125701388-125701398(+) tcacaatagcc >hg38_chr11:125733934-125733944(+) ggacaatggaa >hg38_chr11:125739142-125739152(+) acacaatggaa >hg38_chr11:125740956-125740966(+) atacaattgaa >hg38_chr11:125791337-125791347(-) TGATAATGGAG >hg38_chr11:125797893-125797903(-) agacaaaggga >hg38_chr11:125812582-125812592(-) ttacaatagcc >hg38_chr11:125842536-125842546(+) ACACAATAGCA >hg38_chr11:125896760-125896770(-) AGATAATGGAA >hg38_chr11:125927918-125927928(+) ACACAATAGCT >hg38_chr11:125979756-125979766(+) GGACAATGGTA >hg38_chr11:126013725-126013735(+) ttacaaagggc >hg38_chr11:126014692-126014702(+) acacaatggac >hg38_chr11:126021041-126021051(-) taacaatgATA >hg38_chr11:126034360-126034370(+) ACACAATGAAA >hg38_chr11:126035205-126035215(-) GAATAATGTAC >hg38_chr11:126036534-126036544(+) AAACAATAACA >hg38_chr11:126061898-126061908(+) GAACAATGAAG >hg38_chr11:126072778-126072788(+) ACACAATGCCA >hg38_chr11:126169225-126169235(+) gaacaatggga >hg38_chr11:126211686-126211696(+) CTACAATGAGG >hg38_chr11:126308409-126308419(-) caacaatagca >hg38_chr11:126313626-126313636(+) gaacaatatac >hg38_chr11:126363939-126363949(-) GTACAAAGCGA >hg38_chr11:126450011-126450021(+) ACACAATAGGG >hg38_chr11:126490195-126490205(-) acacaatgcat >hg38_chr11:126560159-126560169(-) TAACAATATCA >hg38_chr11:126564831-126564841(+) GAACAATAGCC >hg38_chr11:126564898-126564908(-) TTACAATGGGC >hg38_chr11:126575391-126575401(+) GTACAATGGAG >hg38_chr11:126598952-126598962(-) AAACAATGGGA >hg38_chr11:126602210-126602220(-) ACACAATGAGC >hg38_chr11:126607560-126607570(-) CAATAATGGTC >hg38_chr11:126620506-126620516(-) AGACAATGGTG >hg38_chr11:126621719-126621729(-) AAACAATGTTC >hg38_chr11:126647802-126647812(-) gaacaaaggaa >hg38_chr11:126701071-126701081(-) TGACAATGCAG >hg38_chr11:126708318-126708328(+) TAACAATGTAC >hg38_chr11:126756355-126756365(-) ACACAATGAAG >hg38_chr11:126880599-126880609(+) TAACAATATCC >hg38_chr11:126886843-126886853(+) GAATAATGGTC >hg38_chr11:126890835-126890845(-) AAACAATGCTG >hg38_chr11:126899432-126899442(+) TGACAAAGGAA >hg38_chr11:126903059-126903069(-) GTACAATATGC >hg38_chr11:126903074-126903084(-) AAACAATAGGC >hg38_chr11:126919911-126919921(+) AAACAATAGGA >hg38_chr11:126919938-126919948(+) TAACAATGCTA >hg38_chr11:126930306-126930316(-) ACACAATGAGG >hg38_chr11:126957983-126957993(+) GCACAATGAAA >hg38_chr11:127006245-127006255(+) GAACAATAGAC >hg38_chr11:127052552-127052562(+) AAACAATGGTC >hg38_chr11:127052599-127052609(+) AAACAATCGCT >hg38_chr11:127074183-127074193(-) CAACAATGAGA >hg38_chr11:127117649-127117659(+) ATACAATGCTA >hg38_chr11:127118939-127118949(+) TGACAAAGGAA >hg38_chr11:127161866-127161876(-) AAACAATAGCT >hg38_chr11:127167616-127167626(+) taacaatatta >hg38_chr11:127212449-127212459(-) ATATAATGGTC >hg38_chr11:127284291-127284301(+) agacaatgtcc >hg38_chr11:127294132-127294142(+) ggacaatgcct >hg38_chr11:127301529-127301539(+) AGACAATAGGC >hg38_chr11:127321170-127321180(-) agacaatgggg >hg38_chr11:127321188-127321198(+) taacactgggt >hg38_chr11:127392790-127392800(+) AAACAAAGGCA >hg38_chr11:127435656-127435666(+) taacaaaggaa >hg38_chr11:127435697-127435707(-) aaacaatgaaa >hg38_chr11:127461431-127461441(-) GCACAATGCCA >hg38_chr11:127466827-127466837(+) AAACAATGGCA >hg38_chr11:127469829-127469839(-) ACACTATGGAA >hg38_chr11:127534490-127534500(-) acacaatgtga >hg38_chr11:127536141-127536151(-) atacaataatt >hg38_chr11:127570780-127570790(+) taacaaaggca >hg38_chr11:127570802-127570812(+) gaacaatgctt >hg38_chr11:127616348-127616358(+) CGACATTGGAC >hg38_chr11:127624261-127624271(-) TTACAAAGGGC >hg38_chr11:127633465-127633475(-) aaacaaaggag >hg38_chr11:127651465-127651475(+) TGACAATCGAC >hg38_chr11:127651477-127651487(-) GAACAATGGGA >hg38_chr11:127651770-127651780(-) ATACAATGCCA >hg38_chr11:127677832-127677842(-) AGACAAAGGCA >hg38_chr11:127679963-127679973(+) GGACAATAAAC >hg38_chr11:127701004-127701014(+) gtacaatgcta >hg38_chr11:127701291-127701301(+) tgactatggaa >hg38_chr11:127701319-127701329(-) atacaatagtg >hg38_chr11:127708287-127708297(-) gtaccatggaa >hg38_chr11:127747520-127747530(-) agacaatggga >hg38_chr11:127762836-127762846(-) taacaataaac >hg38_chr11:127805241-127805251(+) TAACAAAGGGC >hg38_chr11:127873270-127873280(+) taacaatagtt >hg38_chr11:127876364-127876374(+) TAACAATAATT >hg38_chr11:127932029-127932039(-) GAACAATGATC >hg38_chr11:127944459-127944469(-) GTACAATGCAA >hg38_chr11:127944466-127944476(+) GTACAATAAAT >hg38_chr11:127945555-127945565(+) AAACAATAGAA >hg38_chr11:127966157-127966167(+) AGACAATGGGA >hg38_chr11:127974543-127974553(+) ccacaatggac >hg38_chr11:127988348-127988358(-) gcacaatgaat >hg38_chr11:128004997-128005007(-) gcacaatgcct >hg38_chr11:128005033-128005043(-) gcacaatgtca >hg38_chr11:128083161-128083171(-) tgacaaaggga >hg38_chr11:128121812-128121822(+) tgactatggac >hg38_chr11:128164611-128164621(-) TGACAATAGGG >hg38_chr11:128171745-128171755(+) AAACAATAGAG >hg38_chr11:128187983-128187993(-) GGACAATGAAT >hg38_chr11:128268628-128268638(+) taaccatggac >hg38_chr11:128272099-128272109(-) ttacaatggtt >hg38_chr11:128278250-128278260(-) ggacaatggtg >hg38_chr11:128334727-128334737(-) AAACAATAGGG >hg38_chr11:128434655-128434665(+) AGACAAAGGCA >hg38_chr11:128434664-128434674(+) CAACAATAGTT >hg38_chr11:128451729-128451739(+) ATACAATGGTA >hg38_chr11:128472071-128472081(+) ACACAATATTA >hg38_chr11:128494695-128494705(+) CAACAATGGTA >hg38_chr11:128502063-128502073(+) AAACAATGGGC >hg38_chr11:128502081-128502091(+) GAACAATGGCT >hg38_chr11:128502120-128502130(-) TAACAGTGGCA >hg38_chr11:128520094-128520104(+) TTATAATGGAC >hg38_chr11:128544772-128544782(+) CAACAATGGCT >hg38_chr11:128555888-128555898(+) GAACAATGGAA >hg38_chr11:128582721-128582731(-) TAACAATGGTA >hg38_chr11:128583047-128583057(+) ttacaatgcag >hg38_chr11:128605052-128605062(-) TAACAATAGAT >hg38_chr11:128681349-128681359(-) CCACAATGtgt >hg38_chr11:128708908-128708918(+) ACACAATGTAA >hg38_chr11:128711658-128711668(+) ACATAATGGCg >hg38_chr11:128711696-128711706(-) gtacaatgcca >hg38_chr11:128715822-128715832(-) CCACAATGCAA >hg38_chr11:128715876-128715886(+) GGACAAAGGAA >hg38_chr11:128729467-128729477(+) GAACAATGGTT >hg38_chr11:128836197-128836207(-) GAACAATGACT >hg38_chr11:128839254-128839264(-) AGACAGTGGAA >hg38_chr11:128871214-128871224(+) GCACAATGGAG >hg38_chr11:128880106-128880116(-) ACACAATGAAG >hg38_chr11:128930233-128930243(+) AAACAATGACC >hg38_chr11:129006412-129006422(+) tcacaatgcaa >hg38_chr11:129018364-129018374(+) CAACAATAGGC >hg38_chr11:129018528-129018538(-) TAACAATGCTT >hg38_chr11:129025383-129025393(+) AAACAATAAAT >hg38_chr11:129048653-129048663(+) GAACAGTGGAA >hg38_chr11:129048703-129048713(-) GTACAATAAAC >hg38_chr11:129073509-129073519(+) tcacaatagaa >hg38_chr11:129090994-129091004(-) GAACAAAGGTG >hg38_chr11:129102748-129102758(-) caacaatgtac >hg38_chr11:129110382-129110392(-) gaacaatgctg >hg38_chr11:129114222-129114232(+) gaacaatgaaa >hg38_chr11:129114240-129114250(-) AGACAAAGGTG >hg38_chr11:129122545-129122555(-) AAACAAAGGAT >hg38_chr11:129122829-129122839(+) AGACAATGTAA >hg38_chr11:129137058-129137068(+) acacaatggaa >hg38_chr11:129138872-129138882(-) ttacagtggaa >hg38_chr11:129143487-129143497(-) ggacaaaggaa >hg38_chr11:129155132-129155142(+) tcacaatagaa >hg38_chr11:129166869-129166879(+) taacaatatat >hg38_chr11:129166891-129166901(+) acacaaaggat >hg38_chr11:129168930-129168940(+) tcacaatggaa >hg38_chr11:129168975-129168985(+) atacaatagat >hg38_chr11:129184752-129184762(+) taacaataaaa >hg38_chr11:129190779-129190789(-) TAACAATGAGT >hg38_chr11:129200743-129200753(+) aaacaatgcat >hg38_chr11:129200801-129200811(-) TGACAATGgct >hg38_chr11:129220642-129220652(+) tcacaatgacc >hg38_chr11:129226506-129226516(+) aaacaatgtca >hg38_chr11:129248868-129248878(-) acacaataggc >hg38_chr11:129252354-129252364(+) GGACAATGGGT >hg38_chr11:129252811-129252821(-) TTACAAAGGCT >hg38_chr11:129275378-129275388(+) tcacaaaggaa >hg38_chr11:129278091-129278101(+) AGACAATGTTT >hg38_chr11:129284599-129284609(+) AAACAATATAA >hg38_chr11:129291655-129291665(-) CCACAAAGGCG >hg38_chr11:129365434-129365444(+) AAACAATGTTT >hg38_chr11:129367019-129367029(+) GAACAAAGTCG >hg38_chr11:129376715-129376725(+) TTACAATGATC >hg38_chr11:129376750-129376760(-) AGACAATGTAC >hg38_chr11:129414747-129414757(-) TCACAATGAAG >hg38_chr11:129449826-129449836(-) aaactatggtc >hg38_chr11:129453588-129453598(-) TAACAAAGGGG >hg38_chr11:129460532-129460542(-) CGACAATGGCT >hg38_chr11:129574400-129574410(+) acataatggcc >hg38_chr11:129588224-129588234(-) CTACAATGGTG >hg38_chr11:129639421-129639431(+) ATACAATGAAC >hg38_chr11:129829737-129829747(-) aaacaaaggga >hg38_chr11:129829746-129829756(-) taacaatgaaa >hg38_chr11:129846751-129846761(-) gtacaatagag >hg38_chr11:129972838-129972848(+) TCACAATAGTC >hg38_chr11:129992858-129992868(-) GAACAATGGTG >hg38_chr11:130003041-130003051(+) ACATAATGGCC >hg38_chr11:130029337-130029347(-) AAACAATAGAC >hg38_chr11:130030942-130030952(-) taataatGGCA >hg38_chr11:130036500-130036510(+) TAACAATAGTC >hg38_chr11:130037165-130037175(+) GAACAATGCAG >hg38_chr11:130052049-130052059(+) TAACAATGTGG >hg38_chr11:130070424-130070434(+) GGACAATGCCA >hg38_chr11:130071416-130071426(-) AAACAATCGCA >hg38_chr11:130071437-130071447(+) ACACAATGGTC >hg38_chr11:130071700-130071710(-) CAACAATGAAT >hg38_chr11:130086381-130086391(-) caacaatagca >hg38_chr11:130087851-130087861(-) AAACAATAGCC >hg38_chr11:130089205-130089215(-) gaacaaaggca >hg38_chr11:130274829-130274839(-) agacaaagacg >hg38_chr11:130312382-130312392(-) acacaatgtca >hg38_chr11:130361498-130361508(-) ggacaaaggga >hg38_chr11:130364325-130364335(-) TAACAATGAGT >hg38_chr11:130366149-130366159(-) taacaatggct >hg38_chr11:130416598-130416608(-) GAACAATGGTC >hg38_chr11:130434873-130434883(-) AAACAAAGGAA >hg38_chr11:130450310-130450320(-) ATACAATAGGG >hg38_chr11:130500315-130500325(+) caacaatgagt >hg38_chr11:130509110-130509120(-) caacaatgaga >hg38_chr11:130523797-130523807(-) TAACAATAATC >hg38_chr11:130580545-130580555(-) AAACAATGGAA >hg38_chr11:130667963-130667973(-) gtacaatacta >hg38_chr11:130667980-130667990(+) gaacaaaggtc >hg38_chr11:130674994-130675004(+) GAACAAAGGGA >hg38_chr11:130677509-130677519(-) gcataatggct >hg38_chr11:130760464-130760474(-) GGACAAAGGAG >hg38_chr11:130782814-130782824(+) ATACAATGAGA >hg38_chr11:130819974-130819984(+) TTATAATGGGG >hg38_chr11:130890569-130890579(-) gaataatggct >hg38_chr11:130893084-130893094(-) GAACAATAGGG >hg38_chr11:130920837-130920847(-) agacaatgtgg >hg38_chr11:130925392-130925402(+) taacaataaca >hg38_chr11:131000487-131000497(+) atacaatggaa >hg38_chr11:131021538-131021548(-) tgacaatagtg >hg38_chr11:131063849-131063859(-) GGACAAAGGGC >hg38_chr11:131089970-131089980(+) GTACAATGAAA >hg38_chr11:131090883-131090893(+) gaacaatagtc >hg38_chr11:131113450-131113460(-) acacaatagaa >hg38_chr11:131113525-131113535(-) tcacaatagcc >hg38_chr11:131131223-131131233(-) GTACAGTGGAT >hg38_chr11:131167581-131167591(-) gaacaatggga >hg38_chr11:131179826-131179836(+) agacaatggag >hg38_chr11:131244270-131244280(-) acacaataaac >hg38_chr11:131244339-131244349(+) acacaatgtgc >hg38_chr11:131274471-131274481(+) aaaCAATAGCA >hg38_chr11:131307655-131307665(+) GAACAATGCTT >hg38_chr11:131307666-131307676(+) CTATAATGGAG >hg38_chr11:131307695-131307705(-) GCACAATGAAG >hg38_chr11:131319702-131319712(+) gCACAATGAAT >hg38_chr11:131324283-131324293(-) ggacaaaggag >hg38_chr11:131359233-131359243(+) GAACAATGCTT >hg38_chr11:131441251-131441261(-) GGATAATGGCA >hg38_chr11:131498893-131498903(-) AGACAATGCCA >hg38_chr11:131517976-131517986(+) TAACAATAGGT >hg38_chr11:131537922-131537932(+) GAACAAAGGAC >hg38_chr11:131598470-131598480(+) AAACAAAGGTC >hg38_chr11:131632269-131632279(+) taacaatatct >hg38_chr11:131634201-131634211(-) CAACAATGCAA >hg38_chr11:131706447-131706457(+) aaacaatggat >hg38_chr11:131710877-131710887(+) ACATAATGGAT >hg38_chr11:131713239-131713249(+) ACACAATGCCG >hg38_chr11:131717717-131717727(-) atacaataacg >hg38_chr11:131717743-131717753(+) gtacaatgttg >hg38_chr11:131743834-131743844(-) ATACAATAGCT >hg38_chr11:131802301-131802311(-) cgacaatgcag >hg38_chr11:131845412-131845422(-) caaCAATGTAG >hg38_chr11:131845576-131845586(-) GGACAATGTAA >hg38_chr11:131871543-131871553(-) AAACAATGTTT >hg38_chr11:131871554-131871564(-) CAACAATGCAT >hg38_chr11:131875598-131875608(-) TCACAATGCTA >hg38_chr11:131889245-131889255(+) AAACAAAGGAG >hg38_chr11:131910959-131910969(-) AAACAATAGCA >hg38_chr11:131910969-131910979(-) AAACAATCGGA >hg38_chr11:131934153-131934163(+) acacaataaaa >hg38_chr11:131977844-131977854(+) GTACAATGACT >hg38_chr11:132001151-132001161(-) ATACAATGCCG >hg38_chr11:132054473-132054483(-) TCACAATGATT >hg38_chr11:132089504-132089514(+) agactatggca >hg38_chr11:132089517-132089527(-) aaacaatagca >hg38_chr11:132090024-132090034(-) aaacaatatat >hg38_chr11:132126227-132126237(+) GAACAATAGCA >hg38_chr11:132152055-132152065(+) GAACAATAACT >hg38_chr11:132251178-132251188(-) GTACAATAAGT >hg38_chr11:132284264-132284274(-) agacaatgcat >hg38_chr11:132295652-132295662(+) ATACAATGCCT >hg38_chr11:132311091-132311101(+) AAACAATGAAG >hg38_chr11:132317076-132317086(-) CGACTATGGTC >hg38_chr11:132359359-132359369(+) TGATAATGGTA >hg38_chr11:132405833-132405843(-) AAACAATAAAC >hg38_chr11:132405853-132405863(+) GAACAATGGAT >hg38_chr11:132456206-132456216(-) GAACAATGGGA >hg38_chr11:132467183-132467193(-) GAACAATGAGC >hg38_chr11:132494195-132494205(+) GGACAATGTCA >hg38_chr11:132504776-132504786(-) acacaatagct >hg38_chr11:132578757-132578767(+) GTACAATATTC >hg38_chr11:132590732-132590742(+) AAACAATAGAG >hg38_chr11:132590763-132590773(-) CCACAATGGGA >hg38_chr11:132596229-132596239(-) TGACAATaata >hg38_chr11:132621652-132621662(+) gaacaatgcaa >hg38_chr11:132622258-132622268(+) TAACAATGAAT >hg38_chr11:132677627-132677637(+) ttacaaaggag >hg38_chr11:132687995-132688005(+) ACACAAAGGCA >hg38_chr11:132698228-132698238(+) ccacaatggct >hg38_chr11:132708223-132708233(-) gtacaatagaa >hg38_chr11:132717097-132717107(+) ccacaatagta >hg38_chr11:132717141-132717151(-) GAACAATAGTC >hg38_chr11:132717170-132717180(-) TCACAATAGCT >hg38_chr11:132786001-132786011(+) GGACAATGGCA >hg38_chr11:132792183-132792193(+) TTACAATATTA >hg38_chr11:132792801-132792811(-) ACACAATGGAC >hg38_chr11:132806840-132806850(-) ggataatggta >hg38_chr11:132827429-132827439(+) gaacaatagga >hg38_chr11:132832010-132832020(+) GCACAATGCTT >hg38_chr11:132833712-132833722(+) tgacaatagct >hg38_chr11:132886433-132886443(+) gcacaatggct >hg38_chr11:132892923-132892933(+) AAACAAAGGCC >hg38_chr11:132892983-132892993(+) ATACAAAGGTT >hg38_chr11:132910179-132910189(+) GGACAAAGGTA >hg38_chr11:132962077-132962087(+) gcacaatgctt >hg38_chr11:132993410-132993420(-) agacaatgtaa >hg38_chr11:133008742-133008752(+) caacaatgtcc >hg38_chr11:133018628-133018638(-) GCACAATGGAC >hg38_chr11:133046889-133046899(-) GAACAATGCCT >hg38_chr11:133103572-133103582(+) ATACAATGGAA >hg38_chr11:133103611-133103621(-) ATACAATAGAA >hg38_chr11:133197453-133197463(+) TGACAATAGGA >hg38_chr11:133231118-133231128(-) AGACAAAGGGA >hg38_chr11:133337390-133337400(+) GAACAATCGCC >hg38_chr11:133375803-133375813(+) CCACAATGACT >hg38_chr11:133442499-133442509(-) aaataatggtg >hg38_chr11:133443024-133443034(+) aaacaataata >hg38_chr11:133510588-133510598(-) gaacaaaggca >hg38_chr11:133515433-133515443(+) atacaatgcac >hg38_chr11:133515464-133515474(-) gcacaatagtt >hg38_chr11:133516909-133516919(-) caacaatgcta >hg38_chr11:133516962-133516972(-) GTACAATGCCG >hg38_chr11:133555373-133555383(+) AAACAAAGGCA >hg38_chr11:133556114-133556124(-) ctacaatgtgt >hg38_chr11:133618642-133618652(-) GTATAATGGGG >hg38_chr11:133667155-133667165(-) ttacaatgaca >hg38_chr11:133808856-133808866(-) ttacaataaaa >hg38_chr11:133875584-133875594(-) acacaatgcac >hg38_chr11:133934879-133934889(+) CAACAATGCCA >hg38_chr11:134027041-134027051(+) GGACAAAGGAG >hg38_chr11:134068602-134068612(+) GTATAATGTAT >hg38_chr11:134071248-134071258(-) caacaatagta >hg38_chr11:134074273-134074283(-) AGACAAAGGAA >hg38_chr11:134101523-134101533(-) TCACAATAGAA >hg38_chr11:134115403-134115413(-) aaacaaaggaa >hg38_chr11:134125145-134125155(+) GGACAACGGCT >hg38_chr11:134185080-134185090(+) TAACACTGGAG >hg38_chr11:134198894-134198904(+) caacaatggtt >hg38_chr11:134222554-134222564(+) taacaatgtgc >hg38_chr11:134222792-134222802(+) acacaaaggGA >hg38_chr11:134228031-134228041(-) gaacAATATAC >hg38_chr11:134228052-134228062(-) taaccatggat >hg38_chr11:134251676-134251686(+) atacaaagggc >hg38_chr11:134251689-134251699(+) agacaatgcct >hg38_chr11:134251704-134251714(+) ttacaataagt >hg38_chr11:134262188-134262198(-) TGACAATGTAA >hg38_chr11:134267666-134267676(+) GGACAAAGGCA >hg38_chr11:134305004-134305014(+) taacaatgtat >hg38_chr11:134305028-134305038(+) taacaatgtat >hg38_chr11:134305046-134305056(+) gcacaatgctg >hg38_chr11:134353614-134353624(-) caataatggat >hg38_chr11:134353649-134353659(-) agacaataata >hg38_chr11:134409775-134409785(-) AGACAAAGGCA >hg38_chr11:134413585-134413595(-) caacaatgaaa >hg38_chr11:134477599-134477609(+) AGACAATGAGG >hg38_chr11:134478806-134478816(+) GGACAATGGAA >hg38_chr11:134497670-134497680(-) AAACAATGGGG >hg38_chr11:134504349-134504359(+) AAACAATAGGG >hg38_chr11:134532138-134532148(-) aaacaatgaga >hg38_chr11:134585031-134585041(+) GAACAATGGTT >hg38_chr11:134597589-134597599(-) GCACGATGGAC >hg38_chr11:134632570-134632580(-) AAACAATGGTG >hg38_chr11:134677385-134677395(-) GAACAATGCAA >hg38_chr11:134685962-134685972(-) TAACAATGTGC >hg38_chr11:134686657-134686667(+) TGACAATCGAG >hg38_chr11:134711748-134711758(+) ACACAATAGAC >hg38_chr11:134731737-134731747(+) gaacaatagcc >hg38_chr11:134967720-134967730(+) caacaatggaa >hg38_chr11:134994658-134994668(+) agacaatgcta >hg38_chr11:135007022-135007032(+) GCACAATGCCT >hg38_chr11:135030443-135030453(-) AGACAATGCCT >hg38_chr11:135073566-135073576(+) AGACAATAGCC >hg38_chr12:212028-212038(+) AGACAATgagt >hg38_chr12:244234-244244(-) taacaATGACT >hg38_chr12:313157-313167(+) TCACAATGCTT >hg38_chr12:313615-313625(+) AAACAATAGAC >hg38_chr12:313643-313653(+) TGACAATGTAC >hg38_chr12:326410-326420(-) CAACAATGGGT >hg38_chr12:330283-330293(-) TTACAATGGAT >hg38_chr12:358430-358440(+) GAACAATAAAT >hg38_chr12:358459-358469(-) TAATAATGGTA >hg38_chr12:358482-358492(+) TAACAATAAAG >hg38_chr12:402211-402221(+) AAACAAAGGCA >hg38_chr12:402217-402227(-) GAACAATGCCT >hg38_chr12:415022-415032(+) ATACAATGAAT >hg38_chr12:510329-510339(-) ACACAATAGTC >hg38_chr12:510380-510390(+) GCACAATGGGA >hg38_chr12:523106-523116(-) TCACAATGGCA >hg38_chr12:543065-543075(-) GGACAATGGTT >hg38_chr12:571633-571643(-) GAACAATGAAG >hg38_chr12:594280-594290(-) aaacaatggac >hg38_chr12:594333-594343(+) acacaatgcca >hg38_chr12:595313-595323(-) taacaataacc >hg38_chr12:595329-595339(+) taacaatatga >hg38_chr12:602591-602601(-) acacaatgaac >hg38_chr12:614842-614852(-) TGACAATGCAC >hg38_chr12:624161-624171(-) GAACAATGAGT >hg38_chr12:624173-624183(+) CTACAATGTTA >hg38_chr12:683069-683079(-) GGACAATAGCA >hg38_chr12:689881-689891(-) taacaaaggca >hg38_chr12:690606-690616(+) ggacaaaggac >hg38_chr12:690675-690685(-) ggacaaaggaa >hg38_chr12:695016-695026(+) acacaaaggtc >hg38_chr12:702141-702151(-) CAACAATGGAA >hg38_chr12:722580-722590(-) TGACAATGAAG >hg38_chr12:722620-722630(+) AGACAATGACC >hg38_chr12:750025-750035(-) ATACAATGAAT >hg38_chr12:750493-750503(+) TTACAATGAAT >hg38_chr12:755106-755116(+) AAATAATGGTG >hg38_chr12:777009-777019(-) TAACAATAAAG >hg38_chr12:780496-780506(+) GCATAATGGGA >hg38_chr12:793654-793664(+) GAACACTGGAT >hg38_chr12:803153-803163(+) AAATAATGGTT >hg38_chr12:819628-819638(-) tgacaatggac >hg38_chr12:822681-822691(-) agacaatgaaa >hg38_chr12:852378-852388(+) GTACAATAGAT >hg38_chr12:854856-854866(+) ttacaatagct >hg38_chr12:877938-877948(-) CAACAATAGGT >hg38_chr12:884261-884271(-) TAACAATGTGG >hg38_chr12:915192-915202(+) TAACAATGGCT >hg38_chr12:920687-920697(+) acacaataata >hg38_chr12:942248-942258(+) gaacaatggaa >hg38_chr12:958978-958988(-) acacaatagcc >hg38_chr12:1003773-1003783(-) cgactatgtaa >hg38_chr12:1054677-1054687(-) AAACAAAGGTC >hg38_chr12:1054710-1054720(-) AGACAATGCTT >hg38_chr12:1071024-1071034(-) taactatggat >hg38_chr12:1071057-1071067(-) acacaatggag >hg38_chr12:1084015-1084025(+) CAATAATGGAT >hg38_chr12:1093268-1093278(-) CAACAATGTGA >hg38_chr12:1115526-1115536(+) GTACAGTGGTG >hg38_chr12:1142685-1142695(+) aaacaatgtca >hg38_chr12:1142711-1142721(-) ttacaatgaaa >hg38_chr12:1162153-1162163(+) AGACAATAGCA >hg38_chr12:1162180-1162190(+) GTACAATGGGA >hg38_chr12:1194584-1194594(-) ATACAATATAC >hg38_chr12:1196233-1196243(-) AAACAAAGGAG >hg38_chr12:1205262-1205272(+) TGACAATATAA >hg38_chr12:1217383-1217393(+) GGACAATGACT >hg38_chr12:1228031-1228041(-) acactatggta >hg38_chr12:1232814-1232824(-) tcacaaaggaa >hg38_chr12:1250323-1250333(-) GAACAATGGAT >hg38_chr12:1258838-1258848(+) GCACAATGATG >hg38_chr12:1302429-1302439(+) taacaatgtct >hg38_chr12:1307913-1307923(-) CTACAATGGAG >hg38_chr12:1307955-1307965(-) TTACAATGAAT >hg38_chr12:1325390-1325400(-) TAACAATGTTA >hg38_chr12:1325909-1325919(-) ATACAATGGTA >hg38_chr12:1355500-1355510(-) gaacactggtc >hg38_chr12:1438426-1438436(+) GTACAATGACA >hg38_chr12:1485656-1485666(-) AGACAAAGGAT >hg38_chr12:1489218-1489228(-) gaacaaaggct >hg38_chr12:1489242-1489252(-) agacaaaggag >hg38_chr12:1584530-1584540(+) GCACAATGGCA >hg38_chr12:1590275-1590285(+) gaacaatgcgg >hg38_chr12:1594557-1594567(-) AGACAAAGGCA >hg38_chr12:1612336-1612346(+) ccacaatgcta >hg38_chr12:1644636-1644646(+) TGACAATAGCC >hg38_chr12:1660201-1660211(+) gaacaatgccc >hg38_chr12:1737141-1737151(+) agataatgGGC >hg38_chr12:1752747-1752757(-) caacaaaggta >hg38_chr12:1781207-1781217(-) caacaaTAGGA >hg38_chr12:1838599-1838609(-) AGACAATCGCT >hg38_chr12:1844659-1844669(+) AGACAATGCTT >hg38_chr12:1962795-1962805(-) aaataatggct >hg38_chr12:1965978-1965988(-) aaacaaaggag >hg38_chr12:1974616-1974626(+) AAACAAAGGAC >hg38_chr12:1993909-1993919(+) GAACAATGAAC >hg38_chr12:2018082-2018092(+) gaacaataaat >hg38_chr12:2018140-2018150(+) tcacaatgact >hg38_chr12:2096935-2096945(-) atacaatggag >hg38_chr12:2166505-2166515(+) GAACAATGGGC >hg38_chr12:2189889-2189899(+) GAACAAAGGAG >hg38_chr12:2234325-2234335(+) ACACAATGGCT >hg38_chr12:2244225-2244235(-) TCACAATGAAA >hg38_chr12:2281283-2281293(-) taacaatgtat >hg38_chr12:2282537-2282547(+) AGACAATGACC >hg38_chr12:2341613-2341623(-) AGACAATGACA >hg38_chr12:2359399-2359409(+) AGACAATGGAG >hg38_chr12:2359411-2359421(-) GTACAATAAAT >hg38_chr12:2377174-2377184(-) TAACTATGGGC >hg38_chr12:2491019-2491029(+) atacaatgaaa >hg38_chr12:2529988-2529998(-) ACATAATGGAC >hg38_chr12:2530007-2530017(+) GCACAATGCTC >hg38_chr12:2555592-2555602(+) TAACAATGCTG >hg38_chr12:2641384-2641394(-) AGACAATGGTT >hg38_chr12:2657802-2657812(+) tcacaatgtag >hg38_chr12:2658012-2658022(+) acacagtggaa >hg38_chr12:2697939-2697949(+) TAACAATGTCT >hg38_chr12:2697957-2697967(-) ATACAATGAAG >hg38_chr12:2751366-2751376(-) TGACAATGGTT >hg38_chr12:2752918-2752928(+) CGACGATGGCG >hg38_chr12:2753754-2753764(-) GAACAATAGAT >hg38_chr12:2778521-2778531(-) taacaatggaa >hg38_chr12:2778597-2778607(-) tcacaatagct >hg38_chr12:2812417-2812427(+) AAACAAAGGAA >hg38_chr12:2825282-2825292(+) GGACAATGGGC >hg38_chr12:2857080-2857090(-) AAACAATGGTG >hg38_chr12:2909210-2909220(-) acacagtggta >hg38_chr12:2909242-2909252(-) AAacaatgtct >hg38_chr12:2922467-2922477(-) CCACAATGAAA >hg38_chr12:2963940-2963950(+) GAACAATAGAC >hg38_chr12:3035396-3035406(-) GAACAATGCCT >hg38_chr12:3036346-3036356(-) GCACAATAGAA >hg38_chr12:3041652-3041662(+) TAACAATGAGC >hg38_chr12:3091664-3091674(+) GAACAAAGGCA >hg38_chr12:3131200-3131210(-) ggacaatgaaa >hg38_chr12:3178886-3178896(+) GGACAATGGTG >hg38_chr12:3203003-3203013(-) GAACAATGAAA >hg38_chr12:3214802-3214812(-) TAACAATAGGA >hg38_chr12:3336840-3336850(-) GTACAAAGGCT >hg38_chr12:3336870-3336880(-) TCACAATGAGC >hg38_chr12:3342321-3342331(+) AGACAAAGGAG >hg38_chr12:3457022-3457032(-) GCACAATAGTC >hg38_chr12:3469028-3469038(+) GCACAATGCGT >hg38_chr12:3473996-3474006(+) acacaatggcc >hg38_chr12:3490353-3490363(-) ATACAATGCAT >hg38_chr12:3516672-3516682(+) atacagtggtc >hg38_chr12:3516691-3516701(+) agacaaagggc >hg38_chr12:3530658-3530668(+) GCACAATGTGT >hg38_chr12:3580138-3580148(-) TTATAATGGCA >hg38_chr12:3662568-3662578(+) GGACAAAGGGC >hg38_chr12:3674339-3674349(+) AGACAAAGGCA >hg38_chr12:3698780-3698790(-) GAACAATGCAC >hg38_chr12:3725949-3725959(-) AAACAATGGAT >hg38_chr12:3731569-3731579(-) ATACAAAGGGC >hg38_chr12:3763204-3763214(+) gaacaaaggag >hg38_chr12:3798655-3798665(-) TAACAATGTAA >hg38_chr12:3831554-3831564(+) agacaatgtta >hg38_chr12:3837038-3837048(+) agacaaaggag >hg38_chr12:3881381-3881391(+) CAACAATGAGG >hg38_chr12:3881688-3881698(+) gcacaatgatg >hg38_chr12:3891144-3891154(-) TTATAATGGTA >hg38_chr12:3895949-3895959(+) AAACAATGGAG >hg38_chr12:3925451-3925461(-) ctacaatgtga >hg38_chr12:3928745-3928755(+) ACACAATGTGA >hg38_chr12:3930425-3930435(+) GGACAATGTGC >hg38_chr12:3944933-3944943(+) AGACAATGGCA >hg38_chr12:3944946-3944956(+) GAACAAAGGGC >hg38_chr12:3975901-3975911(+) CTACAATGTAG >hg38_chr12:3995958-3995968(+) TAACACTGGAA >hg38_chr12:4014642-4014652(-) GGACAATGTTT >hg38_chr12:4015363-4015373(+) ACACAATGTGA >hg38_chr12:4060748-4060758(-) ctacaatgtga >hg38_chr12:4120773-4120783(+) AGACAATGGAG >hg38_chr12:4136062-4136072(+) TCACAATGACA >hg38_chr12:4137581-4137591(+) GAACAAAGGCA >hg38_chr12:4204477-4204487(+) AGACAATGAGT >hg38_chr12:4228260-4228270(-) caacaatgagt >hg38_chr12:4250844-4250854(-) GCACAAAGGCG >hg38_chr12:4294056-4294066(-) GGACAAAGGGC >hg38_chr12:4294093-4294103(+) TGACAATGAGG >hg38_chr12:4311048-4311058(+) GAACAATGAAG >hg38_chr12:4332655-4332665(-) TTATAATGgaa >hg38_chr12:4366736-4366746(-) agacaatggaa >hg38_chr12:4370914-4370924(-) GAACAAAGGGG >hg38_chr12:4424687-4424697(+) TGACAATAGAC >hg38_chr12:4462893-4462903(+) tgacaatagca >hg38_chr12:4466953-4466963(+) atacaatggaa >hg38_chr12:4480514-4480524(+) aaacaatgcat >hg38_chr12:4493329-4493339(+) ttacaatgcct >hg38_chr12:4528633-4528643(-) TAACAATTGTA >hg38_chr12:4529220-4529230(-) AAACAATGCTT >hg38_chr12:4538641-4538651(-) TAACAATAGAA >hg38_chr12:4538679-4538689(-) TCACAACGGCG >hg38_chr12:4549538-4549548(+) atacaatagtg >hg38_chr12:4549577-4549587(+) gaacAACGGAA >hg38_chr12:4571859-4571869(+) gcacaatggca >hg38_chr12:4576158-4576168(-) ggacaatgggg >hg38_chr12:4630548-4630558(-) GCACAATGGAT >hg38_chr12:4650905-4650915(+) AGACAATGGAG >hg38_chr12:4652914-4652924(+) GAACAATGACC >hg38_chr12:4727700-4727710(-) taacaataaaa >hg38_chr12:4798504-4798514(-) GCACAAAGGGA >hg38_chr12:4799110-4799120(-) ctacaatgact >hg38_chr12:4799127-4799137(+) agactatggaa >hg38_chr12:4799380-4799390(-) TCACAATAGAC >hg38_chr12:4817610-4817620(+) TAACAATAGGA >hg38_chr12:4818271-4818281(-) TGACAATGTTC >hg38_chr12:4818315-4818325(+) taacaaagggt >hg38_chr12:4864718-4864728(+) taacaatgagt >hg38_chr12:4908770-4908780(+) CCACAATAGAG >hg38_chr12:4912868-4912878(+) AAACAAAGGCA >hg38_chr12:4917100-4917110(-) ATACTATGGAT >hg38_chr12:4956218-4956228(+) GAACAATGGAT >hg38_chr12:4978794-4978804(+) TCACAATGTGA >hg38_chr12:4992217-4992227(-) CTACAATGAGC >hg38_chr12:5027577-5027587(+) TTACAAAGGAA >hg38_chr12:5052517-5052527(-) GCACAATGAGA >hg38_chr12:5052548-5052558(-) ACACAATGTCA >hg38_chr12:5204398-5204408(-) GCACAAAGGGA >hg38_chr12:5206637-5206647(-) atacaaaggaa >hg38_chr12:5246437-5246447(+) taacaaagggc >hg38_chr12:5273876-5273886(+) CAACAATGGAG >hg38_chr12:5296874-5296884(-) ttataatggag >hg38_chr12:5319266-5319276(-) TCACAATGTGA >hg38_chr12:5395675-5395685(-) CAACAATGGGA >hg38_chr12:5441694-5441704(-) TCACAATGGCT >hg38_chr12:5448714-5448724(-) GAACAATGCGA >hg38_chr12:5478505-5478515(-) CCACAATGCGA >hg38_chr12:5543406-5543416(-) ccacaatggaa >hg38_chr12:5557204-5557214(-) gaacaatgact >hg38_chr12:5587761-5587771(-) AGACAAAGGGA >hg38_chr12:5593312-5593322(+) ttataatagcg >hg38_chr12:5644527-5644537(-) ggacaataaat >hg38_chr12:5706069-5706079(-) GTACAATGCAC >hg38_chr12:5745384-5745394(+) AGACAATGATG >hg38_chr12:5752387-5752397(+) ggacaatagca >hg38_chr12:5758530-5758540(-) ccacaatgggg >hg38_chr12:5758582-5758592(+) aaacaatgagg >hg38_chr12:5783425-5783435(-) GAACAAAGGTG >hg38_chr12:5815910-5815920(-) AGACAATACGT >hg38_chr12:5881797-5881807(-) TAACAAAGGAA >hg38_chr12:5881811-5881821(+) AAACAATGTGT >hg38_chr12:5881837-5881847(-) GAACAATGGAC >hg38_chr12:5882039-5882049(+) TAACTATGGCA >hg38_chr12:5941009-5941019(-) atacaatgtgt >hg38_chr12:5977085-5977095(+) GTATAATGAAC >hg38_chr12:5977360-5977370(+) aaactatggtg >hg38_chr12:6001003-6001013(+) cgacaatggga >hg38_chr12:6027239-6027249(+) gtacaacggct >hg38_chr12:6031251-6031261(-) GAACAATAGGG >hg38_chr12:6057990-6058000(-) TTACAATGGCA >hg38_chr12:6072519-6072529(+) GGACAATGGTT >hg38_chr12:6078525-6078535(-) AGACAATAGCC >hg38_chr12:6084609-6084619(-) ACACAATAGCT >hg38_chr12:6089224-6089234(-) GCACAATGACT >hg38_chr12:6090994-6091004(-) TAACAAAGGCG >hg38_chr12:6178811-6178821(+) TCACAATGGAG >hg38_chr12:6204744-6204754(-) TAACAATAGCT >hg38_chr12:6205398-6205408(-) GCACAATAGAG >hg38_chr12:6222175-6222185(-) TCACAATGGCT >hg38_chr12:6229487-6229497(-) CCACAATGGAA >hg38_chr12:6235745-6235755(+) AAACAAAGGCC >hg38_chr12:6279965-6279975(-) caacaatagca >hg38_chr12:6295285-6295295(-) CAACAATGAAG >hg38_chr12:6296386-6296396(-) ggacaatgcag >hg38_chr12:6372986-6372996(+) taacaatagca >hg38_chr12:6396465-6396475(+) taacaatgcac >hg38_chr12:6415551-6415561(-) caacaatgaga >hg38_chr12:6470887-6470897(-) AAACTATGGTC >hg38_chr12:6508538-6508548(+) ttacaatagca >hg38_chr12:6533018-6533028(-) TCACAATGACC >hg38_chr12:6542444-6542454(+) GTACAAAGGGC >hg38_chr12:6578843-6578853(-) AGACAAAGGTG >hg38_chr12:6578858-6578868(+) CCACAATAGGG >hg38_chr12:6684136-6684146(+) caacaatgagg >hg38_chr12:6701039-6701049(-) CGACCATGGGT >hg38_chr12:6703745-6703755(-) TCACAATGCAG >hg38_chr12:6703755-6703765(-) TGACAACGGGT >hg38_chr12:6779306-6779316(+) GAACAATGCAT >hg38_chr12:6792591-6792601(-) GGACAAAGGGA >hg38_chr12:6852522-6852532(-) CTACAATGCCC >hg38_chr12:6873808-6873818(-) GCACAATGAAG >hg38_chr12:6925019-6925029(-) GCACAAAGGGA >hg38_chr12:6925999-6926009(-) GGACAAAGGAC >hg38_chr12:6932832-6932842(-) ATACAAAGGAA >hg38_chr12:6964297-6964307(+) GAACAATGGGA >hg38_chr12:7005269-7005279(-) aaacaatgaaa >hg38_chr12:7005298-7005308(-) acacaatggaa >hg38_chr12:7008848-7008858(+) TAACAATGTGA >hg38_chr12:7008865-7008875(+) TAACAATGTGA >hg38_chr12:7022066-7022076(-) gaacaacggtt >hg38_chr12:7039553-7039563(-) CAACAATGCTC >hg38_chr12:7042777-7042787(-) taataatgggg >hg38_chr12:7172612-7172622(-) GTATAATGGGA >hg38_chr12:7172619-7172629(+) ATACAATGGCA >hg38_chr12:7173072-7173082(-) atacaatggaa >hg38_chr12:7230630-7230640(-) GTACTATGGTA >hg38_chr12:7230642-7230652(+) CTACAATGAGA >hg38_chr12:7271393-7271403(+) ataccatggat >hg38_chr12:7282713-7282723(-) aaacaatggag >hg38_chr12:7289881-7289891(-) gaacaatcgtt >hg38_chr12:7302402-7302412(+) CAACAATGAAG >hg38_chr12:7302436-7302446(-) AAACAATAGAA >hg38_chr12:7340589-7340599(-) GAACAATAGCA >hg38_chr12:7346570-7346580(-) GAACAATAGAG >hg38_chr12:7359901-7359911(+) acacaatgtgt >hg38_chr12:7359940-7359950(-) acacaaaggaa >hg38_chr12:7406736-7406746(-) GAACAATGCTG >hg38_chr12:7556865-7556875(-) atacaatgttg >hg38_chr12:7586214-7586224(-) gatcaatggta >hg38_chr12:7587582-7587592(+) gaacaatgcca >hg38_chr12:7624312-7624322(+) gaacaatgtaa >hg38_chr12:7677536-7677546(+) AAACAATAGAA >hg38_chr12:7681701-7681711(-) aaacaaaGGAA >hg38_chr12:7758346-7758356(-) tgacaaaggaa >hg38_chr12:7772115-7772125(+) aaacaatgcag >hg38_chr12:7789288-7789298(+) TTACAATGGCC >hg38_chr12:7891122-7891132(-) TCACAATGCAA >hg38_chr12:7916992-7917002(+) gaacaatgctt >hg38_chr12:7949113-7949123(-) ATACAATGAGT >hg38_chr12:8066815-8066825(+) TCACAATCGAG >hg38_chr12:8066891-8066901(+) TAACAATAGAA >hg38_chr12:8099167-8099177(+) taacaaaggaa >hg38_chr12:8099199-8099209(+) gaacaaagggc >hg38_chr12:8118272-8118282(-) tgacaatgtaa >hg38_chr12:8118297-8118307(+) atacaatggaa >hg38_chr12:8482683-8482693(-) aaacaaaggaa >hg38_chr12:8555336-8555346(-) ATACAAAGGAG >hg38_chr12:8688068-8688078(-) ACACAATGTCT >hg38_chr12:8692651-8692661(-) ACACAAAGGGA >hg38_chr12:8696354-8696364(-) GAACAAAGGGG >hg38_chr12:8699182-8699192(-) TAACAATATAT >hg38_chr12:8708015-8708025(+) TTACAATAGAA >hg38_chr12:8708037-8708047(-) ACACAGTGGAA >hg38_chr12:8708071-8708081(-) ACACAGTGGAA >hg38_chr12:8708083-8708093(+) TTACAATAGAG >hg38_chr12:8744004-8744014(+) TTACAATGAGA >hg38_chr12:8758986-8758996(-) TCACAATAGTA >hg38_chr12:8759046-8759056(-) AGACAAAGGCA >hg38_chr12:8819364-8819374(-) TTACaatgccc >hg38_chr12:8823836-8823846(-) GTACAAAGGTG >hg38_chr12:8834495-8834505(-) TAACAATGGGG >hg38_chr12:8856460-8856470(+) CTACAATGCAG >hg38_chr12:8885974-8885984(-) aaacaatgaga >hg38_chr12:8913734-8913744(-) GAACAATGGGG >hg38_chr12:8941530-8941540(-) TTACAATAGGC >hg38_chr12:8941555-8941565(+) AGACAATGCAA >hg38_chr12:9030732-9030742(+) AAACAATGGTA >hg38_chr12:9056149-9056159(+) ACACAATGGGA >hg38_chr12:9065405-9065415(-) taacagtggag >hg38_chr12:9115109-9115119(-) taacaatgatg >hg38_chr12:9122332-9122342(-) GAACAAAGGGG >hg38_chr12:9167565-9167575(-) gaacaatgaaa >hg38_chr12:9170146-9170156(+) gaacaatgaaa >hg38_chr12:9177105-9177115(-) gaacaatgttc >hg38_chr12:9177119-9177129(+) gcacaatgaat >hg38_chr12:9215219-9215229(+) aaacaatggac >hg38_chr12:9229384-9229394(-) ATACAATGCCC >hg38_chr12:9331445-9331455(+) GGACAACGGAA >hg38_chr12:9391577-9391587(+) aaacaatacgt >hg38_chr12:9391910-9391920(-) agacaatgccc >hg38_chr12:9391921-9391931(-) taacaatagtc >hg38_chr12:9391972-9391982(-) acacaaaggag >hg38_chr12:9608024-9608034(-) GTATAATGAAC >hg38_chr12:9635478-9635488(+) ATACAATAAGG >hg38_chr12:9635487-9635497(-) ATACAATAACC >hg38_chr12:9645952-9645962(-) GGACAATAAAT >hg38_chr12:9645968-9645978(+) ATACAAAGGAC >hg38_chr12:9725731-9725741(-) TTATAATGGTC >hg38_chr12:9780451-9780461(-) taacaatatga >hg38_chr12:9785019-9785029(-) agacaatgcct >hg38_chr12:9787157-9787167(+) taacaatgtgg >hg38_chr12:9868999-9869009(-) TTACAATGGAG >hg38_chr12:9911030-9911040(+) gaacaaaggag >hg38_chr12:9921974-9921984(-) atacaattgat >hg38_chr12:9921997-9922007(-) aaacaataata >hg38_chr12:9925898-9925908(-) agacaatgact >hg38_chr12:9927371-9927381(-) caacaatggtc >hg38_chr12:9927404-9927414(-) gaacaatgttt >hg38_chr12:9940522-9940532(-) agacaatatag >hg38_chr12:9999923-9999933(+) TCACAATATAA >hg38_chr12:10017071-10017081(+) AAACAATGGTA >hg38_chr12:10017710-10017720(+) AAACAATGTTG >hg38_chr12:10057485-10057495(+) TGACAATAGAA >hg38_chr12:10057535-10057545(+) acacaatgcca >hg38_chr12:10057541-10057551(-) aaataatggca >hg38_chr12:10067307-10067317(+) AAACAATAGTG >hg38_chr12:10089331-10089341(+) GGACAACGGTA >hg38_chr12:10114189-10114199(+) gtacaatgtac >hg38_chr12:10118766-10118776(-) TAATAATGGAG >hg38_chr12:10213601-10213611(-) GCACAATGTCC >hg38_chr12:10227839-10227849(-) GCACAATGGCA >hg38_chr12:10235928-10235938(-) GTACAATGACC >hg38_chr12:10249365-10249375(+) AAACAATAACA >hg38_chr12:10249381-10249391(+) TAACAATGCTT >hg38_chr12:10329577-10329587(-) taataatggat >hg38_chr12:10329612-10329622(-) atacaataaca >hg38_chr12:10373219-10373229(-) TAACAATAATT >hg38_chr12:10383407-10383417(-) atataatggtc >hg38_chr12:10394280-10394290(+) TCACAACGGTA >hg38_chr12:10395303-10395313(+) AGACAATGTGA >hg38_chr12:10481136-10481146(+) acacaatagac >hg38_chr12:10573464-10573474(+) AAACAAAGGAG >hg38_chr12:10589444-10589454(+) ATACAATTGTA >hg38_chr12:10589445-10589455(-) GTACAATTGTA >hg38_chr12:10597615-10597625(-) TAACAATGTTT >hg38_chr12:10598040-10598050(-) TGACAAAGGTA >hg38_chr12:10604624-10604634(-) GAACAATCGTC >hg38_chr12:10604669-10604679(+) TTACAATACGA >hg38_chr12:10613750-10613760(-) AAACAATGTGC >hg38_chr12:10618420-10618430(+) CAACAATGGGA >hg38_chr12:10628563-10628573(+) AGACAATAACA >hg38_chr12:10628601-10628611(+) GTACAATAATC >hg38_chr12:10696611-10696621(+) TGACAATGAGA >hg38_chr12:10696635-10696645(+) GAACAATACTA >hg38_chr12:10696640-10696650(+) ATACTATGGCA >hg38_chr12:10711626-10711636(+) taataatggca >hg38_chr12:10713782-10713792(+) GCACAAAGGTA >hg38_chr12:10761942-10761952(-) tcacaaaggaa >hg38_chr12:10765542-10765552(-) ACACAGTGGAA >hg38_chr12:10781126-10781136(-) TTACTATGGAA >hg38_chr12:10800518-10800528(-) agacaatgcca >hg38_chr12:11460848-11460858(+) ACACAATAGAG >hg38_chr12:11483755-11483765(-) GCACAACGGAG >hg38_chr12:11528768-11528778(+) aaacaatgtgt >hg38_chr12:11593105-11593115(+) aaacaaaggtg >hg38_chr12:11609219-11609229(+) taacaatacaa >hg38_chr12:11615339-11615349(-) aaacaatgacT >hg38_chr12:11615349-11615359(-) aaacaaaggaa >hg38_chr12:11615362-11615372(-) acacaaaggac >hg38_chr12:11622776-11622786(-) tgacaatgtaa >hg38_chr12:11649183-11649193(+) GAACAAAGGCT >hg38_chr12:11649190-11649200(-) AAACAATAGCC >hg38_chr12:11684125-11684135(+) gaacaatgtgg >hg38_chr12:11746228-11746238(-) TCACAATGGGG >hg38_chr12:11746239-11746249(-) CAACAATGACT >hg38_chr12:11813693-11813703(+) AAACAAAGGGT >hg38_chr12:11855957-11855967(+) ATACAAAGGGT >hg38_chr12:11878267-11878277(+) GGACAATGTTT >hg38_chr12:11879471-11879481(+) ATACAATGAGT >hg38_chr12:11970340-11970350(+) GGATAATGGAT >hg38_chr12:12040842-12040852(+) acacaataggc >hg38_chr12:12057185-12057195(+) ggacaataaac >hg38_chr12:12057192-12057202(+) aaacaaaggca >hg38_chr12:12067747-12067757(+) TTACAATCGGG >hg38_chr12:12108641-12108651(+) gcacaatgaac >hg38_chr12:12108696-12108706(+) agacaatgaca >hg38_chr12:12116202-12116212(-) TTATAATGGCC >hg38_chr12:12126200-12126210(-) GGACAAAGGGC >hg38_chr12:12139041-12139051(-) caacaatagca >hg38_chr12:12139072-12139082(+) atacagtgggc >hg38_chr12:12139958-12139968(+) gaacaaaggta >hg38_chr12:12160102-12160112(+) CTACAATGCTT >hg38_chr12:12214394-12214404(+) ATACAATGTGC >hg38_chr12:12231362-12231372(+) gaacAATGAAG >hg38_chr12:12245258-12245268(-) gtacaaagggt >hg38_chr12:12245320-12245330(+) aaacaaaggta >hg38_chr12:12255091-12255101(-) TGACAATGGAA >hg38_chr12:12278976-12278986(+) aaacaataaaa >hg38_chr12:12282430-12282440(+) gaacaatgtgt >hg38_chr12:12283061-12283071(+) TAACAATGTGT >hg38_chr12:12351267-12351277(-) ACACAATGGAC >hg38_chr12:12351317-12351327(+) TTACAATGTTG >hg38_chr12:12366230-12366240(+) AAACAATATAT >hg38_chr12:12383264-12383274(-) ctataatggag >hg38_chr12:12398158-12398168(+) AAACAATGCAG >hg38_chr12:12398520-12398530(-) ACACAACGGCC >hg38_chr12:12399348-12399358(-) GAACAATAGTG >hg38_chr12:12399523-12399533(+) ATACAATGGAG >hg38_chr12:12399573-12399583(-) TAACAATGCCA >hg38_chr12:12399587-12399597(+) TTACAATGTTG >hg38_chr12:12406012-12406022(+) aaacaatgctg >hg38_chr12:12430981-12430991(-) ccacaatgtcc >hg38_chr12:12454341-12454351(-) agacaatgaca >hg38_chr12:12496777-12496787(+) GTACAATAAGC >hg38_chr12:12514267-12514277(-) gaacaatggac >hg38_chr12:12521181-12521191(-) GTACAATGGAG >hg38_chr12:12556911-12556921(-) AGACAATAAAC >hg38_chr12:12556995-12557005(-) GAACAATAATC >hg38_chr12:12561660-12561670(+) GGATAATGGGA >hg38_chr12:12585298-12585308(+) GAACAATGACC >hg38_chr12:12607423-12607433(-) GTACAATATTC >hg38_chr12:12625846-12625856(-) aaacaatagga >hg38_chr12:12656055-12656065(-) TCACACTGGCG >hg38_chr12:12683913-12683923(+) GCACAAAGGAA >hg38_chr12:12696952-12696962(+) AAACAATAGCA >hg38_chr12:12719766-12719776(+) GCACAAAGGAA >hg38_chr12:12771631-12771641(-) TGACAATAGCA >hg38_chr12:12771915-12771925(-) ttacaatgaat >hg38_chr12:12772108-12772118(+) aaacaataaaa >hg38_chr12:12785056-12785066(-) AGACATTGGCG >hg38_chr12:12799694-12799704(-) taacaatggcc >hg38_chr12:12799700-12799710(-) aaacaataaca >hg38_chr12:12890812-12890822(-) CGACAATGCAG >hg38_chr12:12983396-12983406(-) TTACAAAGGGA >hg38_chr12:13017608-13017618(+) AGACAATAGAA >hg38_chr12:13050333-13050343(-) aaacaatagtt >hg38_chr12:13063839-13063849(+) aaacaatcggc >hg38_chr12:13101352-13101362(-) AAACAATGCCA >hg38_chr12:13114551-13114561(+) CGACAGTGGCG >hg38_chr12:13128746-13128756(-) ATATAATGGAA >hg38_chr12:13129312-13129322(-) acacaatgacc >hg38_chr12:13205328-13205338(-) ATACAAGGGTA >hg38_chr12:13206566-13206576(-) ACACAATAGAA >hg38_chr12:13211070-13211080(-) GAACAATGTCA >hg38_chr12:13235609-13235619(+) ACACAATAGTC >hg38_chr12:13255364-13255374(-) AGACAATGGAT >hg38_chr12:13277617-13277627(+) CCACAATAGAC >hg38_chr12:13359499-13359509(-) ttacaatgaat >hg38_chr12:13402618-13402628(+) GAACAATGGCC >hg38_chr12:13412558-13412568(+) atacaataatt >hg38_chr12:13503387-13503397(-) GGACAATAAAT >hg38_chr12:13504841-13504851(+) GGACAATGGAA >hg38_chr12:13505914-13505924(+) TAACAATACAC >hg38_chr12:13524112-13524122(-) GCACAATGAAG >hg38_chr12:13580870-13580880(-) aaactatggga >hg38_chr12:13595724-13595734(-) GAACAATGCAG >hg38_chr12:13606589-13606599(+) aaacaatagGA >hg38_chr12:13632069-13632079(+) AAACAATAACC >hg38_chr12:13701728-13701738(+) TTACAATGTGT >hg38_chr12:13728045-13728055(-) caacaatggag >hg38_chr12:13734729-13734739(+) gaacaaagggc >hg38_chr12:13743389-13743399(+) GGACAATGACC >hg38_chr12:13783491-13783501(-) GAACAAAGGTG >hg38_chr12:13808144-13808154(+) GGACAATAGCG >hg38_chr12:13816461-13816471(+) TTACAATGAGA >hg38_chr12:13817011-13817021(+) ATACAAAGGAT >hg38_chr12:13822366-13822376(-) ATACAATGTGC >hg38_chr12:13839566-13839576(-) aaataatggat >hg38_chr12:13839771-13839781(+) GAACAATGTGT >hg38_chr12:13850011-13850021(+) GGACAATAGAT >hg38_chr12:13850044-13850054(+) GAACTATGGGA >hg38_chr12:13876331-13876341(+) gcacaatgcAG >hg38_chr12:13876852-13876862(+) gcataatggta >hg38_chr12:13876866-13876876(+) gcacaatgaac >hg38_chr12:13891424-13891434(+) CTATAATGGTC >hg38_chr12:13891431-13891441(-) TTACAATGACC >hg38_chr12:13891441-13891451(-) AAACAATGCCT >hg38_chr12:13941422-13941432(+) GGACAAAGGGA >hg38_chr12:13941444-13941454(+) TCACAATGATG >hg38_chr12:13950629-13950639(+) TCACAATGAAC >hg38_chr12:13950636-13950646(+) GAACAATGAAG >hg38_chr12:13960792-13960802(+) GGACAAAGGCA >hg38_chr12:14026754-14026764(-) acacaatagct >hg38_chr12:14147785-14147795(+) aaacaatagag >hg38_chr12:14260314-14260324(+) GAACAAAGGGG >hg38_chr12:14367058-14367068(-) GCACAACGGGA >hg38_chr12:14373441-14373451(+) gaacaatggga >hg38_chr12:14385137-14385147(-) AAACAATGCAG >hg38_chr12:14425942-14425952(-) caacaatggtg >hg38_chr12:14451188-14451198(-) ctacaatgaac >hg38_chr12:14456383-14456393(+) atacaatgaac >hg38_chr12:14456440-14456450(-) ATATAATGGGA >hg38_chr12:14456784-14456794(-) GAACAATATAA >hg38_chr12:14465801-14465811(-) aAACTATGGCA >hg38_chr12:14466708-14466718(-) AGACAATGTTT >hg38_chr12:14479823-14479833(-) GAACAATGGTA >hg38_chr12:14480494-14480504(+) TTATAATGGTC >hg38_chr12:14480544-14480554(+) TAACAATAGCT >hg38_chr12:14626657-14626667(+) ggacaATGGAA >hg38_chr12:14634550-14634560(+) gaacaaaggat >hg38_chr12:14666248-14666258(+) gcacaatgaca >hg38_chr12:14676380-14676390(+) TTATAATGGAA >hg38_chr12:14676390-14676400(+) AGACAATGTCT >hg38_chr12:14704864-14704874(+) GAACAATGGAA >hg38_chr12:14717628-14717638(+) AAACTATGGGC >hg38_chr12:14744501-14744511(-) GTACAATGCTG >hg38_chr12:14754671-14754681(+) atacaataaca >hg38_chr12:14754728-14754738(+) aaacaatagga >hg38_chr12:14803599-14803609(+) ATACAATACTC >hg38_chr12:14849866-14849876(-) gaacaaaggaa >hg38_chr12:14878395-14878405(-) AGACAATGACT >hg38_chr12:14934727-14934737(+) CAACAATGTCC >hg38_chr12:14945712-14945722(+) ACACAATAGTT >hg38_chr12:14946164-14946174(-) ACACAATAGGG >hg38_chr12:14996069-14996079(-) aaaCAATGTGT >hg38_chr12:15020087-15020097(-) TAACAAAGCGA >hg38_chr12:15077415-15077425(+) aaacaataata >hg38_chr12:15077489-15077499(+) aaacaatggat >hg38_chr12:15086811-15086821(+) ATACAAAGGAC >hg38_chr12:15113066-15113076(+) AAACAATAACA >hg38_chr12:15116268-15116278(-) GTACAAAGGAC >hg38_chr12:15151307-15151317(-) AAACAAAGGAG >hg38_chr12:15167939-15167949(+) GAACTATGGCC >hg38_chr12:15174036-15174046(-) ggacaataggg >hg38_chr12:15179848-15179858(+) GCACAATGGGA >hg38_chr12:15194058-15194068(+) AAACAATGACT >hg38_chr12:15227319-15227329(-) aaacactggaa >hg38_chr12:15232387-15232397(+) GGACAATGATA >hg38_chr12:15248317-15248327(+) gaacaatgaga >hg38_chr12:15256864-15256874(+) GCACAATGAAC >hg38_chr12:15256923-15256933(-) CCACAATGAAA >hg38_chr12:15319453-15319463(+) TAACAATGTGG >hg38_chr12:15319855-15319865(+) CCACAATGTTA >hg38_chr12:15319878-15319888(+) CAACAATGCCA >hg38_chr12:15319919-15319929(-) gaacaataaca >hg38_chr12:15353609-15353619(-) tgacaatgctt >hg38_chr12:15374683-15374693(-) caacaatgccc >hg38_chr12:15387882-15387892(-) TGACAATAGTA >hg38_chr12:15412016-15412026(-) AAACAATGAAC >hg38_chr12:15414961-15414971(+) GAACAATACCG >hg38_chr12:15463657-15463667(-) AGACAATGAAG >hg38_chr12:15476372-15476382(-) gaacaatgcat >hg38_chr12:15519596-15519606(-) TAACTATGGAA >hg38_chr12:15527785-15527795(+) agacaaaggaa >hg38_chr12:15530422-15530432(-) aaacaatgtgt >hg38_chr12:15533478-15533488(+) acacaatgcct >hg38_chr12:15534819-15534829(-) atacaataatc >hg38_chr12:15546942-15546952(+) AGACAAAGGAA >hg38_chr12:15556648-15556658(+) TCACAATATAA >hg38_chr12:15601866-15601876(-) acataatgggc >hg38_chr12:15601897-15601907(-) tgacaatgcaa >hg38_chr12:15601917-15601927(-) tgacaatgacc >hg38_chr12:15633504-15633514(-) TCACAATAGTA >hg38_chr12:15636579-15636589(+) GAACAATAGGC >hg38_chr12:15636622-15636632(-) AAATAATGGCA >hg38_chr12:15637537-15637547(+) aaacaatgcct >hg38_chr12:15670225-15670235(+) AAACTATGGAC >hg38_chr12:15679279-15679289(-) ttacaatgaat >hg38_chr12:15679288-15679298(+) aaacaatgcct >hg38_chr12:15687721-15687731(-) ATATAATAGTA >hg38_chr12:15689049-15689059(+) ggacaaaggaa >hg38_chr12:15690670-15690680(-) ATACAACGTAT >hg38_chr12:15704590-15704600(-) aaacaataact >hg38_chr12:15720482-15720492(+) ACATAATGGTC >hg38_chr12:15721106-15721116(+) gtacaatagtt >hg38_chr12:15721656-15721666(-) AGACAAAGGCA >hg38_chr12:15725560-15725570(-) TAACAAAGGTA >hg38_chr12:15725598-15725608(+) ACACAATGGGT >hg38_chr12:15728421-15728431(+) GAACACTGGAT >hg38_chr12:15732352-15732362(+) CAACAATGAGG >hg38_chr12:15732416-15732426(+) ccacaatgtac >hg38_chr12:15732837-15732847(-) taacaatgatt >hg38_chr12:15745652-15745662(-) GAACAATGGGA >hg38_chr12:15777528-15777538(-) AAACAAAGGAG >hg38_chr12:15780175-15780185(+) CTACAATGTAA >hg38_chr12:15780192-15780202(-) ATACAATAGAG >hg38_chr12:15780197-15780207(-) TAACAATACAA >hg38_chr12:15781574-15781584(-) TAATAATAGTA >hg38_chr12:15794989-15794999(+) acacaatagct >hg38_chr12:15797800-15797810(-) TTAcaatacta >hg38_chr12:15797960-15797970(+) GGACAATGGAA >hg38_chr12:15818645-15818655(+) ATACAATAATG >hg38_chr12:15819153-15819163(-) GAACAATAACT >hg38_chr12:15819166-15819176(+) CAACAATGTGC >hg38_chr12:15823590-15823600(+) AAACAAAGGTT >hg38_chr12:15831485-15831495(-) GTACAATATGT >hg38_chr12:15831761-15831771(+) CCACAATAGTA >hg38_chr12:15831785-15831795(+) ATACAATGGGC >hg38_chr12:15839944-15839954(-) GGACAATGTAT >hg38_chr12:15857682-15857692(-) GAACAAAGGAT >hg38_chr12:15880803-15880813(+) ACACAATGAGC >hg38_chr12:15899395-15899405(-) CAACAATGATC >hg38_chr12:15909886-15909896(-) ccacaatgaat >hg38_chr12:15909897-15909907(+) tcacaatggcc >hg38_chr12:15936744-15936754(-) gtataatgata >hg38_chr12:15997224-15997234(+) ACACAATGTAC >hg38_chr12:16004653-16004663(-) GTACAAAGGAT >hg38_chr12:16004669-16004679(+) CAACAATGACA >hg38_chr12:16018955-16018965(-) ttacaatagct >hg38_chr12:16036192-16036202(+) TAACAATAAAG >hg38_chr12:16067237-16067247(-) acacaatgacc >hg38_chr12:16084041-16084051(-) TTACAATAGAC >hg38_chr12:16118769-16118779(-) caacaatgggc >hg38_chr12:16119796-16119806(+) gtacagtggaa >hg38_chr12:16159853-16159863(-) ttacaatggat >hg38_chr12:16199142-16199152(-) taacaaagggc >hg38_chr12:16228334-16228344(+) AGACAATGAAG >hg38_chr12:16271208-16271218(-) TTACAAAGGAG >hg38_chr12:16271675-16271685(+) GGACAATGTAG >hg38_chr12:16292560-16292570(+) gaacaaaggga >hg38_chr12:16338467-16338477(-) aaacaaaggga >hg38_chr12:16339273-16339283(+) TGACAATGGTG >hg38_chr12:16509373-16509383(+) TTACAATGTCA >hg38_chr12:16531779-16531789(-) AAACAATACCG >hg38_chr12:16550881-16550891(+) ACACAATAAAA >hg38_chr12:16560453-16560463(-) GGACAATGTTT >hg38_chr12:16565472-16565482(-) GAACAATAGAC >hg38_chr12:16603707-16603717(-) TCACAATGCAA >hg38_chr12:16603739-16603749(-) ACATAATGGAG >hg38_chr12:16604262-16604272(+) CAACAATGCAA >hg38_chr12:16679740-16679750(+) ACACAATGGCA >hg38_chr12:16795069-16795079(+) GAACAATGATA >hg38_chr12:16796382-16796392(-) gtacaatgggt >hg38_chr12:16845042-16845052(-) AAACAAAGGAA >hg38_chr12:16879613-16879623(-) CTACAATAGAG >hg38_chr12:17009286-17009296(+) Ctataatggaa >hg38_chr12:17059937-17059947(+) ACACAAAGGAG >hg38_chr12:17059999-17060009(-) CAACAATGAAA >hg38_chr12:17076454-17076464(-) CTATAATGGCA >hg38_chr12:17208233-17208243(-) AGACAATGGGG >hg38_chr12:17240201-17240211(+) GGACAATGAAC >hg38_chr12:17334942-17334952(+) TAACAATGAGC >hg38_chr12:17348159-17348169(-) atacaataaac >hg38_chr12:17348188-17348198(-) acataatggaa >hg38_chr12:17505554-17505564(+) TGACGATGGTA >hg38_chr12:17505616-17505626(+) TAACAGTGGGT >hg38_chr12:17528551-17528561(+) tgacaatgagt >hg38_chr12:17579458-17579468(-) TCACAATGAGA >hg38_chr12:17589139-17589149(+) TCACAATGGAG >hg38_chr12:17609258-17609268(+) AGACAATGGCC >hg38_chr12:17661988-17661998(+) AAACAATGTGA >hg38_chr12:17662040-17662050(+) GCACAATGAGC >hg38_chr12:17928602-17928612(+) aaacaatgACT >hg38_chr12:18001030-18001040(+) gaacaaaggag >hg38_chr12:18142139-18142149(-) agacaatataa >hg38_chr12:18159401-18159411(-) GAACAAAGGTC >hg38_chr12:18186274-18186284(-) agataatggaa >hg38_chr12:18186308-18186318(-) gtacaatgtgg >hg38_chr12:18264605-18264615(-) TCATAATGGAA >hg38_chr12:18282675-18282685(-) CCACAATGTTC >hg38_chr12:18283614-18283624(+) AAATAATGGCA >hg38_chr12:18285142-18285152(+) acacagtggaa >hg38_chr12:18285186-18285196(+) aaacaatggaa >hg38_chr12:18285516-18285526(-) acacaataaat >hg38_chr12:18285545-18285555(+) tgacaataata >hg38_chr12:18285556-18285566(+) acataatgggt >hg38_chr12:18285954-18285964(+) aaacaaaggca >hg38_chr12:18299566-18299576(-) taataatggaa >hg38_chr12:18336910-18336920(-) ATATAATGGGA >hg38_chr12:18336926-18336936(-) ACACAATGCAA >hg38_chr12:18360005-18360015(-) aaacaatggac >hg38_chr12:18383372-18383382(-) CTACAATGGCT >hg38_chr12:18392802-18392812(+) taacaatgtgt >hg38_chr12:18427657-18427667(+) CAACAATGGGT >hg38_chr12:18431554-18431564(+) ACACAAAGGAG >hg38_chr12:18441806-18441816(+) GTACAATGTGC >hg38_chr12:18441857-18441867(-) TCACAATGCTG >hg38_chr12:18442261-18442271(+) AGACAATGAAT >hg38_chr12:18453632-18453642(-) TTACAATGTGT >hg38_chr12:18457034-18457044(-) TTACAATGCTT >hg38_chr12:18457057-18457067(+) GTACAATGGAA >hg38_chr12:18483929-18483939(-) agacaatgtgg >hg38_chr12:18527060-18527070(+) gaacaaaggaa >hg38_chr12:18527125-18527135(-) tcacaatgggg >hg38_chr12:18551837-18551847(-) GAACAATAGAA >hg38_chr12:18558975-18558985(+) atacaataggA >hg38_chr12:18558990-18559000(+) GGACAATGTGT >hg38_chr12:18560560-18560570(+) acacaatgcct >hg38_chr12:18591227-18591237(+) ttacaatgata >hg38_chr12:18591262-18591272(+) TTACAATGATG >hg38_chr12:18708441-18708451(-) tcacaatagac >hg38_chr12:18718892-18718902(-) TAACAATGTAC >hg38_chr12:18744871-18744881(+) ACACAAAggga >hg38_chr12:18810815-18810825(-) tgacaatggat >hg38_chr12:18810868-18810878(+) aaacaataggc >hg38_chr12:18824506-18824516(+) caacaatgtct >hg38_chr12:18858594-18858604(+) gaacaatgcct >hg38_chr12:18860287-18860297(-) GCACAATGGGG >hg38_chr12:18863189-18863199(-) gaacaatgaag >hg38_chr12:18863961-18863971(-) aaacaatgcaa >hg38_chr12:18864018-18864028(-) taacaaaggat >hg38_chr12:18874472-18874482(-) ttacaatgtgg >hg38_chr12:18916170-18916180(-) ATACAATAGAC >hg38_chr12:18916209-18916219(+) GCACAATAGGG >hg38_chr12:18967110-18967120(+) TAACAATGGCT >hg38_chr12:18967120-18967130(+) TAACAATGTGA >hg38_chr12:18999119-18999129(+) CAACAATGCCC >hg38_chr12:18999914-18999924(+) gaacaataacc >hg38_chr12:19000197-19000207(+) CCACAATGGAG >hg38_chr12:19000211-19000221(+) AGACAATGGGC >hg38_chr12:19006481-19006491(-) taacaaTGTCA >hg38_chr12:19006805-19006815(+) agacaacggca >hg38_chr12:19014563-19014573(-) TGACAATGCTG >hg38_chr12:19014586-19014596(-) AGACAATGGTG >hg38_chr12:19107142-19107152(+) ATACAGTGGAG >hg38_chr12:19131808-19131818(-) GAACAATGGTC >hg38_chr12:19133023-19133033(+) AAACAATGACG >hg38_chr12:19134744-19134754(-) tgacaatggga >hg38_chr12:19159360-19159370(+) AAACAATGATG >hg38_chr12:19160519-19160529(-) ATACAGTGGTA >hg38_chr12:19168727-19168737(+) TAATAATGGAT >hg38_chr12:19186323-19186333(-) TGACAATGTCC >hg38_chr12:19186779-19186789(-) ATACAATGCCC >hg38_chr12:19227992-19228002(+) GGACAATGGGA >hg38_chr12:19234617-19234627(-) ACACAGTGGTA >hg38_chr12:19234636-19234646(-) GCACAATGTTT >hg38_chr12:19235902-19235912(-) taacaatgtat >hg38_chr12:19277887-19277897(-) GAACAATGGCT >hg38_chr12:19288350-19288360(-) TGACAATGAAA >hg38_chr12:19329694-19329704(-) gcacaatgaga >hg38_chr12:19377296-19377306(+) ATACAATGATT >hg38_chr12:19377314-19377324(-) TGACAAAGGAT >hg38_chr12:19382435-19382445(-) atacaatGAGG >hg38_chr12:19451111-19451121(-) gaacaATGTTT >hg38_chr12:19496437-19496447(-) TAACAATGGCT >hg38_chr12:19540096-19540106(-) ggacaaaggaa >hg38_chr12:19540104-19540114(-) gaacaaaggga >hg38_chr12:19540759-19540769(-) taacaatggaa >hg38_chr12:19546275-19546285(+) ACACAATGAAA >hg38_chr12:19562814-19562824(-) taacaataaag >hg38_chr12:19577446-19577456(-) GAACAATGTAA >hg38_chr12:19605269-19605279(-) tgacaatgcat >hg38_chr12:19609408-19609418(-) CGACAATAGAA >hg38_chr12:19669948-19669958(+) TAACAATGAAC >hg38_chr12:19671996-19672006(-) TCACAATGACC >hg38_chr12:19718180-19718190(-) CTATAATGGTA >hg38_chr12:19718210-19718220(+) CAACAATGTGT >hg38_chr12:19737169-19737179(-) AAACAATGTGA >hg38_chr12:19737187-19737197(+) GAACAATATGA >hg38_chr12:19737432-19737442(+) GTACAAAGATA >hg38_chr12:19739402-19739412(-) GGACAAAGGTA >hg38_chr12:19744772-19744782(-) TGACAATGTCC >hg38_chr12:19744782-19744792(+) ACACAATGTTT >hg38_chr12:19758908-19758918(+) GGACAATATAG >hg38_chr12:19866164-19866174(-) tgacaatataa >hg38_chr12:19878990-19879000(-) agacaatgctt >hg38_chr12:19947473-19947483(-) TCACAACGGGA >hg38_chr12:19947730-19947740(-) GAACAATATTA >hg38_chr12:19947772-19947782(+) AGACAATGAGT >hg38_chr12:19947803-19947813(+) TCACAATGGAC >hg38_chr12:19964869-19964879(-) atacaatgcct >hg38_chr12:19964917-19964927(+) CAACAATGGTG >hg38_chr12:19965238-19965248(-) ctacaatggtg >hg38_chr12:19969750-19969760(-) ggacaatggag >hg38_chr12:19970286-19970296(-) AGACAATGTTT >hg38_chr12:19985215-19985225(-) taacaataatt >hg38_chr12:20039803-20039813(+) CAACAATGGAT >hg38_chr12:20049137-20049147(-) AAACTATGGTG >hg38_chr12:20050229-20050239(-) TCACAATGTTT >hg38_chr12:20099698-20099708(+) AGACGATGGAA >hg38_chr12:20100583-20100593(-) TAACAATGCAT >hg38_chr12:20163554-20163564(+) TAACAATGACA >hg38_chr12:20204692-20204702(+) AAACAATGTAA >hg38_chr12:20204700-20204710(+) TAACAATGAAG >hg38_chr12:20215307-20215317(-) AGAcaatgtaa >hg38_chr12:20250323-20250333(+) aaacaataagt >hg38_chr12:20250366-20250376(-) agacaataaac >hg38_chr12:20264764-20264774(+) taacaatgaca >hg38_chr12:20339886-20339896(+) ATACAATATCT >hg38_chr12:20339950-20339960(+) agacaatgggc >hg38_chr12:20355438-20355448(-) ATACACTGGTC >hg38_chr12:20402872-20402882(+) TTATAATGGGT >hg38_chr12:20403557-20403567(+) TTACAATAAAA >hg38_chr12:20403570-20403580(-) ATACAATTGTA >hg38_chr12:20409747-20409757(+) ATACAAAGGAG >hg38_chr12:20410114-20410124(-) agacaatggac >hg38_chr12:20411696-20411706(+) TGACAATAGGA >hg38_chr12:20432051-20432061(+) TGACAATGAAA >hg38_chr12:20440623-20440633(+) GGACAAAGGGT >hg38_chr12:20466133-20466143(-) GGACAAAGGGT >hg38_chr12:20467019-20467029(-) CAACAATGAGA >hg38_chr12:20476920-20476930(+) TAACAATAAAG >hg38_chr12:20484547-20484557(+) TAACACTGGTA >hg38_chr12:20495079-20495089(+) GGACAGTGGAC >hg38_chr12:20495321-20495331(-) GTACAATGAAA >hg38_chr12:20547426-20547436(+) atacaatacat >hg38_chr12:20557302-20557312(+) AGACAATGTGG >hg38_chr12:20558419-20558429(-) TGATAATGGTA >hg38_chr12:20585918-20585928(+) atacaaaggtg >hg38_chr12:20590991-20591001(-) CAACAATGGCA >hg38_chr12:20591005-20591015(+) GTATAATGGTT >hg38_chr12:20591067-20591077(+) AAACAATGTGT >hg38_chr12:20591579-20591589(-) TAACAATGCAC >hg38_chr12:20596286-20596296(-) CAACAATGTGT >hg38_chr12:20603317-20603327(+) CAACAATGGAG >hg38_chr12:20603701-20603711(+) CAACAATGTTT >hg38_chr12:20631501-20631511(-) AAAcaatgctt >hg38_chr12:20631509-20631519(-) ATACAATAAAA >hg38_chr12:20637664-20637674(-) GCACAATGTAT >hg38_chr12:20701792-20701802(+) GAACAATACAC >hg38_chr12:20716121-20716131(-) ATACAGTGGAC >hg38_chr12:20894035-20894045(-) taacaatagat >hg38_chr12:20946834-20946844(-) TAATAATGGTt >hg38_chr12:21026560-21026570(+) gtacaatacca >hg38_chr12:21034432-21034442(-) acacaatggat >hg38_chr12:21034485-21034495(-) acacaatggag >hg38_chr12:21066016-21066026(-) GGACAATGAAT >hg38_chr12:21121041-21121051(-) AAACAAAGGGG >hg38_chr12:21121076-21121086(+) GCACAATGTCA >hg38_chr12:21121088-21121098(-) TTACAATGTTT >hg38_chr12:21121106-21121116(-) ATACAATGCAT >hg38_chr12:21170030-21170040(+) GTACAGTGGCC >hg38_chr12:21171204-21171214(+) cgactatgggg >hg38_chr12:21191700-21191710(-) gaacaaaggaa >hg38_chr12:21243143-21243153(+) acacaatgtca >hg38_chr12:21245239-21245249(+) caacaatagat >hg38_chr12:21249266-21249276(+) ttACAATGATA >hg38_chr12:21268638-21268648(-) AGACAATAGCT >hg38_chr12:21268691-21268701(+) ACACAATGGGT >hg38_chr12:21307034-21307044(+) GGACAATGTGG >hg38_chr12:21324739-21324749(-) gaacaaaggac >hg38_chr12:21366688-21366698(+) taacgatggat >hg38_chr12:21367172-21367182(+) atacaatgaaa >hg38_chr12:21416712-21416722(+) gaACAAAGGGC >hg38_chr12:21438917-21438927(+) agacaATATTA >hg38_chr12:21476917-21476927(-) ATACAAAGGGC >hg38_chr12:21483786-21483796(-) CAACAATGTAG >hg38_chr12:21502587-21502597(-) ATACAATACTA >hg38_chr12:21502594-21502604(-) GCACAATATAC >hg38_chr12:21504043-21504053(+) AGACAATGCTG >hg38_chr12:21510618-21510628(+) AAATAATGGCA >hg38_chr12:21514804-21514814(+) ATACAATAGAA >hg38_chr12:21517869-21517879(-) AAACAATAGGA >hg38_chr12:21565791-21565801(-) GAACAATAGCA >hg38_chr12:21565811-21565821(+) AGACAATGTTA >hg38_chr12:21577561-21577571(+) GTACAATAGGC >hg38_chr12:21577568-21577578(-) GAACAATGCCT >hg38_chr12:21601348-21601358(-) GAACAATGAAT >hg38_chr12:21605579-21605589(-) GTACAATATAG >hg38_chr12:21643866-21643876(+) AAACAATAACA >hg38_chr12:21657891-21657901(-) TCACAATGGAG >hg38_chr12:21678706-21678716(-) GTACAATAGCT >hg38_chr12:21680580-21680590(+) GCACAATGTTA >hg38_chr12:21697941-21697951(+) AAACAATGCTT >hg38_chr12:21776027-21776037(-) GAACAATGGCG >hg38_chr12:21806911-21806921(-) TAACAATGGCT >hg38_chr12:21813906-21813916(+) TAATAATAGTA >hg38_chr12:21813937-21813947(+) GTACAATAAGT >hg38_chr12:21815525-21815535(-) CAACAATAGGC >hg38_chr12:21818763-21818773(-) ACACAAAGGAG >hg38_chr12:21820969-21820979(-) taataatggta >hg38_chr12:21911926-21911936(-) tcacaatgctt >hg38_chr12:21912818-21912828(+) TTACAATGAAA >hg38_chr12:21919930-21919940(-) taacaatgcat >hg38_chr12:21937457-21937467(-) tgacaatgact >hg38_chr12:21974597-21974607(-) GCACAAAGGAT >hg38_chr12:21974611-21974621(-) ACACAGTGGAA >hg38_chr12:21984189-21984199(-) taacaatgctt >hg38_chr12:21988865-21988875(-) GGACAATGGGA >hg38_chr12:22022525-22022535(+) CAACAAAGGTA >hg38_chr12:22022574-22022584(+) GAACAATGTTA >hg38_chr12:22025607-22025617(-) taactatggca >hg38_chr12:22041307-22041317(-) TGACAATATAT >hg38_chr12:22173961-22173971(-) ttacaataatc >hg38_chr12:22183173-22183183(+) aaataatggaa >hg38_chr12:22183211-22183221(+) caacaatggaa >hg38_chr12:22214002-22214012(-) tcacaatgaaA >hg38_chr12:22214019-22214029(+) acacaatagga >hg38_chr12:22225880-22225890(+) tcacaatggca >hg38_chr12:22232079-22232089(-) acactatggga >hg38_chr12:22255446-22255456(-) AAACAATGATT >hg38_chr12:22283230-22283240(+) TCACAATGTAA >hg38_chr12:22288488-22288498(-) CAACAATGAGG >hg38_chr12:22312490-22312500(+) gaacaatgctt >hg38_chr12:22312499-22312509(-) gcacaaaggaa >hg38_chr12:22330763-22330773(-) TAACAATAATA >hg38_chr12:22415870-22415880(-) GCACAATGATT >hg38_chr12:22476713-22476723(+) acacaatggag >hg38_chr12:22488608-22488618(-) ATACAATATAG >hg38_chr12:22495675-22495685(-) ATACAATAATG >hg38_chr12:22535467-22535477(+) GGACAAAGGGA >hg38_chr12:22536227-22536237(+) taacaatggcc >hg38_chr12:22536535-22536545(+) aaataatggag >hg38_chr12:22536857-22536867(+) TCACAATGATA >hg38_chr12:22538928-22538938(-) TTACAATATAA >hg38_chr12:22543050-22543060(+) TGACAATGGGC >hg38_chr12:22621515-22621525(-) aaacaatgaga >hg38_chr12:22625068-22625078(-) TCACAATGGGA >hg38_chr12:22642789-22642799(-) GAACAAAGGAA >hg38_chr12:22645182-22645192(+) TCACAATGGTT >hg38_chr12:22646096-22646106(-) TAACAATATCC >hg38_chr12:22652287-22652297(-) tcacaatagcc >hg38_chr12:22653653-22653663(-) taacaatgaac >hg38_chr12:22657046-22657056(-) aaacaaaggaa >hg38_chr12:22666809-22666819(+) ATACAATATAA >hg38_chr12:22683723-22683733(-) TAACAAAGGGG >hg38_chr12:22701640-22701650(-) aaacaatgtta >hg38_chr12:22727878-22727888(+) acacaatggga >hg38_chr12:22854767-22854777(-) AGACAATGCAT >hg38_chr12:22855701-22855711(-) ACACAATAGCA >hg38_chr12:22975022-22975032(+) GTACAGTGGAA >hg38_chr12:22980085-22980095(-) taacaaaggag >hg38_chr12:22980128-22980138(-) atataatggtt >hg38_chr12:22981108-22981118(+) GAACAATGGGT >hg38_chr12:22988708-22988718(-) CTACAATGAGC >hg38_chr12:23000757-23000767(+) CAACAATGGCC >hg38_chr12:23041016-23041026(+) AAACAATGGGA >hg38_chr12:23055117-23055127(-) AAACAATGCTT >hg38_chr12:23055153-23055163(+) TGACAATAGGT >hg38_chr12:23208016-23208026(-) GAACAATGTCT >hg38_chr12:23575351-23575361(+) TAACAATAACA >hg38_chr12:23589931-23589941(+) atacaaaggaa >hg38_chr12:23589947-23589957(+) gtacaatgaag >hg38_chr12:23629204-23629214(-) TAACAATGGCA >hg38_chr12:23631872-23631882(-) GGACAATGGAG >hg38_chr12:23641021-23641031(-) GCACAATAGAG >hg38_chr12:23722857-23722867(+) GTACAAAGCGC >hg38_chr12:23753801-23753811(-) ATACAATAGTG >hg38_chr12:23753836-23753846(+) GAACAATGGAT >hg38_chr12:23755954-23755964(+) TGACAATGGGT >hg38_chr12:23755981-23755991(-) AAACAATGAAC >hg38_chr12:23757704-23757714(-) GCACAATGGAT >hg38_chr12:23757717-23757727(+) TAACAATAGGC >hg38_chr12:23767981-23767991(+) GAACAATAGCA >hg38_chr12:23834320-23834330(+) gaacaataggg >hg38_chr12:23889153-23889163(+) AAACAATGAAA >hg38_chr12:23895790-23895800(-) ATACAATGGTA >hg38_chr12:23896180-23896190(+) AAACAATGGCC >hg38_chr12:23906469-23906479(-) GAACAATGCCT >hg38_chr12:23907093-23907103(-) GAACAATAGAA >hg38_chr12:23915888-23915898(-) TTACAATAGAC >hg38_chr12:24020700-24020710(+) AGACAAAGGTC >hg38_chr12:24055812-24055822(-) AAACCATGGTA >hg38_chr12:24070069-24070079(-) GGACAAAGACG >hg38_chr12:24081783-24081793(+) AGACAATGCTC >hg38_chr12:24082231-24082241(-) AAACAATAAGA >hg38_chr12:24102950-24102960(+) ttacaatgaca >hg38_chr12:24108214-24108224(-) GAACAATGGAA >hg38_chr12:24109721-24109731(+) CAACAATGAAA >hg38_chr12:24133613-24133623(+) AAACAATGCAA >hg38_chr12:24149858-24149868(+) acacaatgaca >hg38_chr12:24153137-24153147(-) GCACAATGGGA >hg38_chr12:24168747-24168757(-) ATACAATGATG >hg38_chr12:24216113-24216123(-) taacaaaggca >hg38_chr12:24224063-24224073(-) CAACAATGCGA >hg38_chr12:24230315-24230325(+) GAACAATGAGG >hg38_chr12:24230324-24230334(+) GGACAATGATG >hg38_chr12:24252962-24252972(+) ACACAATGGGC >hg38_chr12:24253028-24253038(+) GCACAATAGCA >hg38_chr12:24256943-24256953(-) AAACAATGAGC >hg38_chr12:24280646-24280656(+) AAACAAAGGGT >hg38_chr12:24288733-24288743(+) CAACAATGCAT >hg38_chr12:24302816-24302826(+) TCACAATGGGA >hg38_chr12:24302836-24302846(-) GTATAATGGCT >hg38_chr12:24309271-24309281(-) AAACAATAGAA >hg38_chr12:24317153-24317163(+) TAACAATGAGC >hg38_chr12:24356414-24356424(-) aaacaaaggtg >hg38_chr12:24361218-24361228(+) aaacaatgcag >hg38_chr12:24377865-24377875(+) CCACAATAGCA >hg38_chr12:24380336-24380346(-) AAACAAAGGAA >hg38_chr12:24380750-24380760(+) GCACAATGCAT >hg38_chr12:24396497-24396507(-) GAACAATGGGA >hg38_chr12:24444886-24444896(-) gaacaatagct >hg38_chr12:24444908-24444918(+) acacaataaac >hg38_chr12:24479067-24479077(-) gaacaatgaga >hg38_chr12:24488264-24488274(+) ATACAATGCAT >hg38_chr12:24562622-24562632(+) ACACAATGCGA >hg38_chr12:24562664-24562674(+) GAACAATGGGG >hg38_chr12:24573939-24573949(-) GAATAATGGGC >hg38_chr12:24573977-24573987(-) GAACAATAGAA >hg38_chr12:24585452-24585462(+) caacaatcgta >hg38_chr12:24592049-24592059(+) AAACAATAAAA >hg38_chr12:24595676-24595686(+) ATACAATAGGG >hg38_chr12:24596870-24596880(-) ATACAATGGAT >hg38_chr12:24603573-24603583(-) ACACAATGATG >hg38_chr12:24614797-24614807(+) gcacaatgggg >hg38_chr12:24636417-24636427(+) TAACAAAGGTC >hg38_chr12:24637276-24637286(-) GCACAGTGGTA >hg38_chr12:24641106-24641116(+) ttataatggtg >hg38_chr12:24642010-24642020(-) TCACAATGCAA >hg38_chr12:24679384-24679394(+) GCACAATGCTT >hg38_chr12:24682909-24682919(+) GCACAATGAAA >hg38_chr12:24687992-24688002(+) TAACAATGGCA >hg38_chr12:24695543-24695553(+) TGACAATGAAC >hg38_chr12:24760294-24760304(+) ATACAAAGGCC >hg38_chr12:24760336-24760346(-) GAACAAAGGCC >hg38_chr12:24760833-24760843(-) GAACAATGGAC >hg38_chr12:24853753-24853763(+) TGACAATAGCT >hg38_chr12:24893700-24893710(+) ATACAATGAGA >hg38_chr12:24893715-24893725(+) AGACAATGGGA >hg38_chr12:24905087-24905097(+) GAACAAAGGGC >hg38_chr12:24905501-24905511(+) aaacaatgtaa >hg38_chr12:24940979-24940989(-) AAACAATGCAG >hg38_chr12:24947964-24947974(+) CAACAAAGGCG >hg38_chr12:24991959-24991969(-) agacaatgata >hg38_chr12:24992014-24992024(-) atacaatacaa >hg38_chr12:24999442-24999452(+) TAATAATGGGA >hg38_chr12:25011643-25011653(-) GGACAATAGTA >hg38_chr12:25025029-25025039(+) TCACAATGGTT >hg38_chr12:25102449-25102459(+) CAACAATGGtt >hg38_chr12:25102500-25102510(+) cgacaatggca >hg38_chr12:25154887-25154897(-) GTACAATATGA >hg38_chr12:25155272-25155282(+) TGACAAAGGTA >hg38_chr12:25200948-25200958(-) ATACAATGGCA >hg38_chr12:25226562-25226572(+) TAACAATGTTC >hg38_chr12:25264281-25264291(-) TCACAATATAT >hg38_chr12:25266218-25266228(+) acacaatcgtg >hg38_chr12:25272127-25272137(+) AGACAATGCCT >hg38_chr12:25272167-25272177(-) ATAGAATGGTA >hg38_chr12:25294152-25294162(+) ATACAATAGTT >hg38_chr12:25323124-25323134(-) AGACAAAGGAA >hg38_chr12:25354637-25354647(+) TCATAATGGTT >hg38_chr12:25420227-25420237(+) taacaatgcaa >hg38_chr12:25428676-25428686(-) caacaatgaga >hg38_chr12:25468985-25468995(-) TGATAATGGTG >hg38_chr12:25491814-25491824(+) agacaataggt >hg38_chr12:25493072-25493082(-) GAACAATAATT >hg38_chr12:25495773-25495783(-) GCACAATGCCT >hg38_chr12:25502246-25502256(+) ATACAATAAAA >hg38_chr12:25510353-25510363(-) agataatggaa >hg38_chr12:25510419-25510429(-) atacaaaggaa >hg38_chr12:25544806-25544816(+) tcacaaaggaa >hg38_chr12:25549935-25549945(-) AAATAATGGTA >hg38_chr12:25585429-25585439(-) CTACAAAGGAT >hg38_chr12:25622355-25622365(+) AAACAATGGTA >hg38_chr12:25627191-25627201(-) AAACAATAGTT >hg38_chr12:25648606-25648616(-) TTACTATGGCT >hg38_chr12:25648614-25648624(+) TAACAATGCGT >hg38_chr12:25670845-25670855(-) tcacaatgacc >hg38_chr12:25699740-25699750(-) CAACAATGTCT >hg38_chr12:25738834-25738844(+) GGACAATGCTC >hg38_chr12:25752701-25752711(-) gcacaatgggg >hg38_chr12:25759201-25759211(+) tgacaatagaa >hg38_chr12:25810975-25810985(-) ggacaatgaat >hg38_chr12:25810992-25811002(+) ggacaatgtca >hg38_chr12:25838236-25838246(+) TGACAATAGTC >hg38_chr12:25852907-25852917(+) TAACAATGatt >hg38_chr12:25862647-25862657(-) aaacaataata >hg38_chr12:25864042-25864052(-) TTACAATAGGA >hg38_chr12:25868992-25869002(+) gaacaaaggga >hg38_chr12:25886003-25886013(-) GAACAAAGGAG >hg38_chr12:25906758-25906768(-) ACACAATGCAA >hg38_chr12:25906815-25906825(-) GGACAATGACT >hg38_chr12:25908517-25908527(-) TGACAATGGCT >hg38_chr12:25929353-25929363(-) GAACAATGACT >hg38_chr12:25936515-25936525(+) TAACAGTGGCA >hg38_chr12:25967228-25967238(+) TTACAATAGCC >hg38_chr12:25979322-25979332(+) aaacaaagggg >hg38_chr12:25982726-25982736(+) agacaatgtct >hg38_chr12:26062717-26062727(-) TCACAATGATC >hg38_chr12:26097897-26097907(-) GGACAATGCTA >hg38_chr12:26113315-26113325(+) AAACAAAGGAT >hg38_chr12:26208897-26208907(-) aaacaatagaa >hg38_chr12:26208930-26208940(-) agacaatggta >hg38_chr12:26225196-26225206(+) CTACAATGAGA >hg38_chr12:26234439-26234449(-) ACACAATATAC >hg38_chr12:26234942-26234952(-) CTACGATGGAT >hg38_chr12:26292784-26292794(-) ttataatggga >hg38_chr12:26293848-26293858(+) acacaatgtga >hg38_chr12:26293860-26293870(-) atacaatgatt >hg38_chr12:26344738-26344748(+) aaacaatagta >hg38_chr12:26374189-26374199(+) AGACAAAGGAA >hg38_chr12:26434500-26434510(+) GTACAATAGGT >hg38_chr12:26439334-26439344(-) AAACAATGCAA >hg38_chr12:26447645-26447655(+) GAACACTGGAT >hg38_chr12:26485501-26485511(+) AAACAATGCAA >hg38_chr12:26502826-26502836(+) AGACAATGGGG >hg38_chr12:26558333-26558343(-) aaacaatgact >hg38_chr12:26567259-26567269(+) TAACAATGCAG >hg38_chr12:26598151-26598161(+) AGACAATGAAG >hg38_chr12:26612363-26612373(-) TTACAAAGGCT >hg38_chr12:26613160-26613170(+) AAACAACGGGA >hg38_chr12:26624348-26624358(+) GAACAATAGCT >hg38_chr12:26633306-26633316(-) TCACAATGTAC >hg38_chr12:26633838-26633848(-) TAACAAAGGAG >hg38_chr12:26644013-26644023(+) tgacaatgggg >hg38_chr12:26644067-26644077(+) aaacaatggaa >hg38_chr12:26645484-26645494(+) ACATAATGGAG >hg38_chr12:26651293-26651303(-) tgacaatgatc >hg38_chr12:26651308-26651318(-) gaacaatgaag >hg38_chr12:26657992-26658002(-) AGATAATGGAT >hg38_chr12:26679207-26679217(+) ATACAATAGTT >hg38_chr12:26679542-26679552(+) tcacaatgact >hg38_chr12:26723770-26723780(-) aaacaatagcc >hg38_chr12:26773505-26773515(-) GAACAATCGTG >hg38_chr12:26788831-26788841(+) acacaatgtgc >hg38_chr12:26789936-26789946(-) AGACAATGGTC >hg38_chr12:26791753-26791763(+) ccacaatgtcc >hg38_chr12:26818420-26818430(+) TAACAATGACT >hg38_chr12:26833590-26833600(+) GCACAATGGAG >hg38_chr12:26857622-26857632(+) TTACAAAGGCC >hg38_chr12:26919351-26919361(+) agacaatgaag >hg38_chr12:26923413-26923423(+) TAACAATGAAA >hg38_chr12:26933304-26933314(+) aaacaatgcag >hg38_chr12:26936950-26936960(+) CGACAAAGGAC >hg38_chr12:26947891-26947901(-) aaacaaaggaa >hg38_chr12:26959971-26959981(+) GAACAATATTA >hg38_chr12:26963900-26963910(+) GTACAATAGAC >hg38_chr12:27011751-27011761(-) GGACAATGTGG >hg38_chr12:27025152-27025162(+) CAACAATGCTA >hg38_chr12:27027948-27027958(-) TTATAATGGAA >hg38_chr12:27046860-27046870(+) gtataatggta >hg38_chr12:27046871-27046881(-) ctacaatgagt >hg38_chr12:27084230-27084240(-) AAACAAAGGGA >hg38_chr12:27142712-27142722(+) TAACAATGAGA >hg38_chr12:27158549-27158559(+) GAACAAAGGCC >hg38_chr12:27174749-27174759(+) caacaatggtt >hg38_chr12:27177204-27177214(+) ACACAATGTAG >hg38_chr12:27178804-27178814(+) ATACAATGAGC >hg38_chr12:27200726-27200736(+) TCACAATGTCA >hg38_chr12:27223578-27223588(+) gaacaatggaa >hg38_chr12:27244646-27244656(+) AAACAATAACA >hg38_chr12:27259794-27259804(-) ccacaatgagc >hg38_chr12:27276486-27276496(-) GAACAAAGGGA >hg38_chr12:27290783-27290793(-) ccacaatgaca >hg38_chr12:27319575-27319585(-) CCACAATAGTC >hg38_chr12:27323508-27323518(-) GCACAATAATA >hg38_chr12:27323516-27323526(-) AAATAATGGCA >hg38_chr12:27325157-27325167(-) GCACAATGCTT >hg38_chr12:27325210-27325220(+) ATACAATATGT >hg38_chr12:27330922-27330932(+) GGACAAAGGAG >hg38_chr12:27331567-27331577(+) CCACAATAGGA >hg38_chr12:27343566-27343576(+) TAACAATGATC >hg38_chr12:27389610-27389620(-) AAATAATGGGA >hg38_chr12:27401959-27401969(+) AAACAATGCAG >hg38_chr12:27413594-27413604(+) gaacaaaggaa >hg38_chr12:27413617-27413627(+) gaacaatgaac >hg38_chr12:27413624-27413634(+) gaacaatatag >hg38_chr12:27436291-27436301(+) ACACAAAGGtt >hg38_chr12:27462553-27462563(+) gtacaataaag >hg38_chr12:27462594-27462604(-) aaacaatagct >hg38_chr12:27539664-27539674(-) AAACAATAGAT >hg38_chr12:27549445-27549455(-) TCACAATGAAA >hg38_chr12:27564891-27564901(-) AGACAAAGGGT >hg38_chr12:27594976-27594986(-) aaacaataact >hg38_chr12:27646277-27646287(+) acacaataggg >hg38_chr12:27695587-27695597(-) GAACAACGGAA >hg38_chr12:27772750-27772760(+) TTATAATGGCA >hg38_chr12:27772966-27772976(+) GGATAATGGAA >hg38_chr12:27782562-27782572(-) ACACAATGTTC >hg38_chr12:27865382-27865392(-) agacaatgagc >hg38_chr12:27965021-27965031(+) AAACAATGGTG >hg38_chr12:27965037-27965047(-) CAACAAAGGAC >hg38_chr12:27973691-27973701(+) TAACAATAGGA >hg38_chr12:27976495-27976505(-) GGACAATGGTG >hg38_chr12:28111104-28111114(+) atacaatgaaa >hg38_chr12:28136843-28136853(-) TGACAATGACA >hg38_chr12:28179667-28179677(+) GAACAAAGGAT >hg38_chr12:28241179-28241189(-) atacaaagggg >hg38_chr12:28248819-28248829(-) caacaatgaaa >hg38_chr12:28258505-28258515(-) TAACACTGGTT >hg38_chr12:28260426-28260436(-) TAACAATGCCA >hg38_chr12:28260897-28260907(-) ttacaATAAAC >hg38_chr12:28260931-28260941(-) acacaatggaa >hg38_chr12:28263798-28263808(+) AAACAATGTGT >hg38_chr12:28305773-28305783(-) TCACAATGCTA >hg38_chr12:28311603-28311613(-) gaacaatgtcc >hg38_chr12:28313706-28313716(+) GTACAATGGGG >hg38_chr12:28328267-28328277(-) ATACAATATGT >hg38_chr12:28378143-28378153(-) TCACAATGATT >hg38_chr12:28446289-28446299(-) TCACAATAGCC >hg38_chr12:28455581-28455591(+) AAACAATAATA >hg38_chr12:28459606-28459616(-) TAACAATAATA >hg38_chr12:28473005-28473015(+) aaacaatgttg >hg38_chr12:28473035-28473045(-) atactatgggg >hg38_chr12:28473065-28473075(-) gcacaatagca >hg38_chr12:28473072-28473082(-) ttacaatgcac >hg38_chr12:28473322-28473332(+) tgacaatggcc >hg38_chr12:28476424-28476434(+) gaacaaaggca >hg38_chr12:28476465-28476475(-) acacaatgctt >hg38_chr12:28486114-28486124(-) aaacaatggca >hg38_chr12:28486690-28486700(+) TGACAATGAAC >hg38_chr12:28497838-28497848(-) CTACAAAGGAA >hg38_chr12:28498171-28498181(+) GTACAATGTGA >hg38_chr12:28506584-28506594(-) acacaatgaat >hg38_chr12:28536397-28536407(-) AGACAATGCAG >hg38_chr12:28551237-28551247(+) GAACAATGTCC >hg38_chr12:28563695-28563705(+) TTACAATGACT >hg38_chr12:28578919-28578929(-) GAACTATGGCA >hg38_chr12:28592641-28592651(+) caacaatgaga >hg38_chr12:28634251-28634261(+) TTACAATGGCT >hg38_chr12:28704954-28704964(-) gaacaaaggta >hg38_chr12:28712046-28712056(-) GGATAATGGGT >hg38_chr12:28759567-28759577(-) aaacaaaggct >hg38_chr12:28767054-28767064(-) GAACAAAGGCT >hg38_chr12:28768556-28768566(-) ATACAATGAAT >hg38_chr12:28768597-28768607(+) ACACAATGTCT >hg38_chr12:28787343-28787353(-) ccacaatgatt >hg38_chr12:28828043-28828053(-) gaacaaagggc >hg38_chr12:28843620-28843630(-) gaacaataaca >hg38_chr12:28871295-28871305(-) GTACAAAGGAA >hg38_chr12:28878664-28878674(+) GTATAATGGGA >hg38_chr12:28878748-28878758(-) AAACAAAGGGC >hg38_chr12:28886644-28886654(-) acacaatgcaa >hg38_chr12:28908439-28908449(+) CTACAATGGCA >hg38_chr12:28959002-28959012(-) GAACAAAGGGG >hg38_chr12:28965986-28965996(+) TCACAATGCCA >hg38_chr12:28975798-28975808(-) ATATAATGTAC >hg38_chr12:28980609-28980619(+) atacaaagggc >hg38_chr12:28982688-28982698(+) GAACAATAGTG >hg38_chr12:29066226-29066236(-) TAACAATGAGA >hg38_chr12:29185364-29185374(-) AAACAATAAAC >hg38_chr12:29227459-29227469(+) TAACAATGAAT >hg38_chr12:29229031-29229041(+) CAACAATGCTT >hg38_chr12:29244051-29244061(-) CCACAATAGCT >hg38_chr12:29316698-29316708(-) GAACAATATAA >hg38_chr12:29318056-29318066(-) TAACAATGACT >hg38_chr12:29329652-29329662(+) TGATAATGGAA >hg38_chr12:29343798-29343808(-) TGACAATATAT >hg38_chr12:29355462-29355472(+) gtacaataaag >hg38_chr12:29379421-29379431(+) GGATAATGGGA >hg38_chr12:29381236-29381246(+) AGACAATGTAC >hg38_chr12:29446331-29446341(+) ATACAATGGTG >hg38_chr12:29455354-29455364(+) GGACAAAGGGA >hg38_chr12:29461632-29461642(-) CGACAAAGGCC >hg38_chr12:29481325-29481335(+) TTACAATGAAT >hg38_chr12:29581674-29581684(-) TAACAGTGGTT >hg38_chr12:29582047-29582057(+) TCATAATGACG >hg38_chr12:29582062-29582072(+) TGACAATGATA >hg38_chr12:29590386-29590396(+) taacaatggat >hg38_chr12:29593567-29593577(+) ATACACTGGTA >hg38_chr12:29593585-29593595(-) CTACAATAGTT >hg38_chr12:29634652-29634662(-) GGACAATGAAT >hg38_chr12:29653522-29653532(+) ATACAATGTCT >hg38_chr12:29653531-29653541(-) GAACAAAGGAG >hg38_chr12:29667310-29667320(+) AAACAATGATG >hg38_chr12:29726130-29726140(+) AAACAATGCAG >hg38_chr12:29741691-29741701(-) GAACAATGGAA >hg38_chr12:29750379-29750389(-) gaacaatgtga >hg38_chr12:29795007-29795017(+) AAACAATGGCC >hg38_chr12:29795668-29795678(+) TGACAATGCTG >hg38_chr12:29796822-29796832(-) CTACAATGGCG >hg38_chr12:29807642-29807652(+) taacaatgcat >hg38_chr12:29842781-29842791(-) GTACAATAGCA >hg38_chr12:29882441-29882451(+) TCACAATGTAT >hg38_chr12:29882468-29882478(+) TAATAATGGAA >hg38_chr12:29896155-29896165(-) agacaataggc >hg38_chr12:29899829-29899839(+) acacaatggat >hg38_chr12:29929824-29929834(+) AAACAATGCCC >hg38_chr12:29929910-29929920(+) TCACAATGTGG >hg38_chr12:29970064-29970074(-) GAACAATGGGC >hg38_chr12:29971730-29971740(-) TAACTATGGCC >hg38_chr12:29972962-29972972(+) TGACAATAGGG >hg38_chr12:29986866-29986876(-) aaacaaaggta >hg38_chr12:30005818-30005828(+) GAACAATAACC >hg38_chr12:30141346-30141356(-) AAATAATGGAA >hg38_chr12:30145008-30145018(-) CAACAATGGAT >hg38_chr12:30197929-30197939(-) taacaatagca >hg38_chr12:30219568-30219578(-) GCACAAAGGGA >hg38_chr12:30219583-30219593(+) TCACAATGGAC >hg38_chr12:30249889-30249899(-) atataatggtt >hg38_chr12:30286547-30286557(+) ctactatggac >hg38_chr12:30296092-30296102(+) TCACAATGGTT >hg38_chr12:30518661-30518671(+) aaacaatgata >hg38_chr12:30567816-30567826(-) ggacaatgctg >hg38_chr12:30567836-30567846(-) gcacaatggaa >hg38_chr12:30567847-30567857(+) tgacaatgttg >hg38_chr12:30630904-30630914(-) AAACAAAGGAG >hg38_chr12:30649803-30649813(+) ccacaatgcac >hg38_chr12:30651061-30651071(-) TCACAATGATT >hg38_chr12:30668116-30668126(+) AAACAAAGGCA >hg38_chr12:30687115-30687125(+) AAACAAAGGAC >hg38_chr12:30688933-30688943(+) caacaatgaaa >hg38_chr12:30689191-30689201(+) GAACAATGTAA >hg38_chr12:30691183-30691193(+) AAACAAAGGCT >hg38_chr12:30691583-30691593(-) GGACAAAGGCA >hg38_chr12:30691639-30691649(+) AGACAATGACC >hg38_chr12:30719020-30719030(+) AAACAATGGCT >hg38_chr12:30759175-30759185(+) caacaatagag >hg38_chr12:30806129-30806139(+) taacaataagt >hg38_chr12:30819491-30819501(+) GAACACTGGGA >hg38_chr12:30825697-30825707(+) AAACAGTGGGA >hg38_chr12:30868646-30868656(-) gcacaatgggt >hg38_chr12:30882892-30882902(-) taacaatgcct >hg38_chr12:30928964-30928974(-) aaacaataata >hg38_chr12:31000856-31000866(+) AAACAATAAGT >hg38_chr12:31369283-31369293(+) AAACAATAGAA >hg38_chr12:31369845-31369855(+) aAACAATGCAG >hg38_chr12:31371021-31371031(+) GAACAATGAGA >hg38_chr12:31382205-31382215(+) CAACAATGAAG >hg38_chr12:31387125-31387135(+) ATACAAAGGAT >hg38_chr12:31387163-31387173(+) TAACAATGCAT >hg38_chr12:31387753-31387763(+) TAACAATGGAA >hg38_chr12:31403184-31403194(+) AAACAATGGAA >hg38_chr12:31458549-31458559(+) GAACAATAGTC >hg38_chr12:31483011-31483021(+) caacaatggca >hg38_chr12:31508149-31508159(+) ACACTATGGAG >hg38_chr12:31571079-31571089(+) TTACTATGGGA >hg38_chr12:31574985-31574995(-) GAACAATGACT >hg38_chr12:31575000-31575010(+) GAACAATGGCA >hg38_chr12:31585369-31585379(+) TATCAATGGTA >hg38_chr12:31590539-31590549(+) TAACAATGTCA >hg38_chr12:31629995-31630005(+) AAACAATGATA >hg38_chr12:31631475-31631485(+) acacaatggag >hg38_chr12:31746981-31746991(+) acacaatgtca >hg38_chr12:31747003-31747013(+) tgacaatgtaa >hg38_chr12:31764868-31764878(+) agacaaaggat >hg38_chr12:31795265-31795275(+) ggacaataggc >hg38_chr12:31986705-31986715(-) GCACAATGCTT >hg38_chr12:32013667-32013677(+) agacaaaggtg >hg38_chr12:32025017-32025027(+) AAACAAAGGAA >hg38_chr12:32054013-32054023(+) ccacaatggca >hg38_chr12:32054585-32054595(-) gaacaaaggag >hg38_chr12:32107362-32107372(-) TTATAATGGTC >hg38_chr12:32107693-32107703(-) AAACAATGGAC >hg38_chr12:32120715-32120725(-) AGACAATAGTA >hg38_chr12:32140114-32140124(-) ACACAAAGGCA >hg38_chr12:32156348-32156358(+) ACACAATGCCA >hg38_chr12:32171390-32171400(-) TTACAATGTGA >hg38_chr12:32182670-32182680(-) GCACAATGCCA >hg38_chr12:32182704-32182714(+) ACATAATGGTA >hg38_chr12:32190641-32190651(+) caacaatagca >hg38_chr12:32218046-32218056(-) ACACAATGGGG >hg38_chr12:32250398-32250408(-) atacaatgttt >hg38_chr12:32254621-32254631(-) ACACAATGTGC >hg38_chr12:32270830-32270840(-) ATACAAAGGCC >hg38_chr12:32286657-32286667(-) GAACAATGCAC >hg38_chr12:32286668-32286678(-) GCACAAAGGGA >hg38_chr12:32400081-32400091(-) CCACAATGAAC >hg38_chr12:32421624-32421634(-) AAACAATGAGC >hg38_chr12:32430963-32430973(-) GAACAATGACT >hg38_chr12:32445467-32445477(+) AGACAATGGCT >hg38_chr12:32455073-32455083(+) ACACAATGAAG >hg38_chr12:32455114-32455124(-) GAACAATGCAA >hg38_chr12:32455951-32455961(+) TAACAATAGAA >hg38_chr12:32502056-32502066(-) TAACAATCGCT >hg38_chr12:32502629-32502639(+) TTACACTGGTA >hg38_chr12:32515366-32515376(-) caacaatgacc >hg38_chr12:32562092-32562102(-) GAACAAAGGAG >hg38_chr12:32565646-32565656(-) ATACACTGACG >hg38_chr12:32566812-32566822(-) GAACAAAGGTG >hg38_chr12:32567985-32567995(-) CCACAATAggc >hg38_chr12:32568370-32568380(+) AAACAATGGCT >hg38_chr12:32595726-32595736(+) CAACAATGCCA >hg38_chr12:32603161-32603171(-) Taacaattgta >hg38_chr12:32603204-32603214(-) ATACAATAGAC >hg38_chr12:32603214-32603224(-) ATATAATAGTA >hg38_chr12:32642450-32642460(+) GAACAATGGTA >hg38_chr12:32708584-32708594(-) AAACAATGCTT >hg38_chr12:32719022-32719032(-) ctacaatgagc >hg38_chr12:32743884-32743894(-) ATACAATGACT >hg38_chr12:32748724-32748734(+) AGACAATGGGT >hg38_chr12:32753898-32753908(-) GAATAATGGGC >hg38_chr12:32844029-32844039(+) TTACAATAAAA >hg38_chr12:32844058-32844068(+) GAACAAAGGGA >hg38_chr12:32850622-32850632(+) TAACAATGTTC >hg38_chr12:32850653-32850663(+) TAACAATGAGC >hg38_chr12:32860409-32860419(+) gaacaatgact >hg38_chr12:32901705-32901715(+) TAACAAAGCGA >hg38_chr12:32920821-32920831(-) ACACAATGATG >hg38_chr12:32927024-32927034(-) GAACAATGTTT >hg38_chr12:32990139-32990149(+) AGACAATGAAA >hg38_chr12:33044584-33044594(+) AAATAATGGCA >hg38_chr12:33094657-33094667(+) TAACAATGGGC >hg38_chr12:33112071-33112081(+) TTACAATGCAG >hg38_chr12:33268138-33268148(+) tcacaatagca >hg38_chr12:33268162-33268172(+) tcacaatgtgg >hg38_chr12:33311158-33311168(-) taacaatgaag >hg38_chr12:33323427-33323437(+) agacaataaaa >hg38_chr12:33323437-33323447(+) agacaataaaa >hg38_chr12:33323448-33323458(+) ggacaataaaa >hg38_chr12:33383687-33383697(-) TTACAAAGGGT >hg38_chr12:33442083-33442093(-) CAACAATGCTC >hg38_chr12:33442114-33442124(-) TAACAATGGCT >hg38_chr12:33444483-33444493(-) gCACAATGAGA >hg38_chr12:33456684-33456694(-) caacaatgcct >hg38_chr12:33528256-33528266(-) GAATAATGGGG >hg38_chr12:33581860-33581870(+) TTACAAAGGCA >hg38_chr12:33588266-33588276(-) ttacaatgaag >hg38_chr12:33598298-33598308(+) cgacaatagca >hg38_chr12:33602749-33602759(+) AAACAATGAAC >hg38_chr12:33650165-33650175(-) taacaaTGGCT >hg38_chr12:33657817-33657827(-) gaacaaagggg >hg38_chr12:33661525-33661535(+) AAACAATGCTG >hg38_chr12:33670445-33670455(-) TGACAATAGCA >hg38_chr12:33674912-33674922(+) AGACAAAGGGA >hg38_chr12:33748023-33748033(-) acacaatgcac >hg38_chr12:33753565-33753575(+) TTACAATGGAT >hg38_chr12:33873796-33873806(+) TAACAATAACA >hg38_chr12:33873802-33873812(+) TAACAATGGCT >hg38_chr12:33873825-33873835(-) AAACAATAGGC >hg38_chr12:33962314-33962324(+) agacaatagct >hg38_chr12:33989027-33989037(+) TAACAATGGTA >hg38_chr12:37543741-37543751(-) aaacaatggca >hg38_chr12:38149601-38149611(-) GCACAATGCCC >hg38_chr12:38251427-38251437(+) AGACAATATAA >hg38_chr12:38283027-38283037(+) TAACAATGGTC >hg38_chr12:38283114-38283124(+) TAACAATGTTA >hg38_chr12:38399904-38399914(+) ATATAATGGAA >hg38_chr12:38403013-38403023(+) acataatggtc >hg38_chr12:38411627-38411637(+) ACACAATGAGA >hg38_chr12:38428176-38428186(-) ATACAATAGAG >hg38_chr12:38428233-38428243(-) TAACAAAGGCA >hg38_chr12:38455534-38455544(+) AAACAAAGGGA >hg38_chr12:38506930-38506940(+) TCACAATAGGA >hg38_chr12:38507040-38507050(-) CCACAATAGCC >hg38_chr12:38515267-38515277(-) gaacaatgcaG >hg38_chr12:38516072-38516082(+) AAACTATGGGT >hg38_chr12:38544903-38544913(-) agacaatgaca >hg38_chr12:38713160-38713170(+) ATACAATGCCT >hg38_chr12:38823918-38823928(+) TAATAATGGGA >hg38_chr12:38906721-38906731(-) GAACAAAGGTC >hg38_chr12:39033962-39033972(+) caacaatgaca >hg38_chr12:39138620-39138630(-) tgacaatggtg >hg38_chr12:39161708-39161718(-) GCACAATGACA >hg38_chr12:39181860-39181870(+) GATCAATGGTA >hg38_chr12:39181880-39181890(+) TGACAATGGAA >hg38_chr12:39239018-39239028(+) aaacaaaggga >hg38_chr12:39312056-39312066(+) ggacaatgggt >hg38_chr12:39312938-39312948(-) acataatggac >hg38_chr12:39317647-39317657(+) TAACAATGGTC >hg38_chr12:39319423-39319433(+) caacaatgtaa >hg38_chr12:39326898-39326908(+) AAACAATAGGC >hg38_chr12:39334798-39334808(-) ACACAATGCTG >hg38_chr12:39341279-39341289(+) GGACAATGCTA >hg38_chr12:39341315-39341325(+) ACACAATGACT >hg38_chr12:39352099-39352109(-) gaacaatatag >hg38_chr12:39369857-39369867(+) CAACaatgtta >hg38_chr12:39369882-39369892(-) acacaatggga >hg38_chr12:39370059-39370069(-) ATACAATGCTA >hg38_chr12:39376964-39376974(-) GGACAATAGTA >hg38_chr12:39377653-39377663(+) TTACAATGATG >hg38_chr12:39378305-39378315(-) GCACAATGTTA >hg38_chr12:39392518-39392528(-) AAACAAAGGAA >hg38_chr12:39395076-39395086(+) agacaatagca >hg38_chr12:39406299-39406309(-) ATACAATGTTA >hg38_chr12:39407365-39407375(+) aaacaatggct >hg38_chr12:39428097-39428107(-) ACACAATAGTA >hg38_chr12:39444092-39444102(-) CCACAATGGGT >hg38_chr12:39444114-39444124(-) GCACAATAGTG >hg38_chr12:39462215-39462225(+) caacaatgacc >hg38_chr12:39502626-39502636(-) AAACAAAGGCT >hg38_chr12:39505185-39505195(-) CAACAATGCAG >hg38_chr12:39572587-39572597(+) GAACAATAAAA >hg38_chr12:39574163-39574173(-) CAACAATGAAC >hg38_chr12:39575699-39575709(-) TAACAATAGGA >hg38_chr12:39588886-39588896(+) tgacaatggat >hg38_chr12:39611952-39611962(+) taacaaaggct >hg38_chr12:39641361-39641371(-) TTACTATGGAA >hg38_chr12:39641903-39641913(+) caacaatgaac >hg38_chr12:39642566-39642576(-) GTACAAAGGCA >hg38_chr12:39649764-39649774(+) TCACAATAGTC >hg38_chr12:39752898-39752908(-) acacaatagtt >hg38_chr12:39779943-39779953(+) AAACAATGGCA >hg38_chr12:39815749-39815759(+) CTACAATGACA >hg38_chr12:39819907-39819917(+) GCACAATGTCA >hg38_chr12:39825077-39825087(+) AAACAATGAAT >hg38_chr12:39847335-39847345(-) ccacaatgtgc >hg38_chr12:39869433-39869443(-) taacaatggtt >hg38_chr12:39869445-39869455(-) atacagtggat >hg38_chr12:39875970-39875980(+) GAACAATATGA >hg38_chr12:39985620-39985630(+) AAACAATGTAC >hg38_chr12:39993526-39993536(+) GAACAATGAGC >hg38_chr12:40018594-40018604(+) GAACAATAAAT >hg38_chr12:40069920-40069930(-) TAACAATGACA >hg38_chr12:40072427-40072437(+) caacaatgtct >hg38_chr12:40138235-40138245(+) GAACAATGGGA >hg38_chr12:40177681-40177691(-) CAACAATGGTG >hg38_chr12:40195655-40195665(-) GGACAGTGGCG >hg38_chr12:40196661-40196671(+) GGACAATAGAT >hg38_chr12:40199616-40199626(+) GAATAATGGTC >hg38_chr12:40217472-40217482(+) aaacaataggt >hg38_chr12:40241842-40241852(+) TCACAATGTTT >hg38_chr12:40254707-40254717(-) CCACAATAGGA >hg38_chr12:40258693-40258703(+) acgcaatggta >hg38_chr12:40263447-40263457(-) CAACAATGGAC >hg38_chr12:40272551-40272561(-) CCACAATAACG >hg38_chr12:40272566-40272576(-) TAACAATAGCA >hg38_chr12:40336329-40336339(-) AGACAAAGGGT >hg38_chr12:40336402-40336412(-) agacaataaat >hg38_chr12:40375227-40375237(+) atacaatggtg >hg38_chr12:40378048-40378058(+) acacaatgcaa >hg38_chr12:40399486-40399496(+) TTACAATAGCT >hg38_chr12:40399671-40399681(-) TGACAAAGGTA >hg38_chr12:40405980-40405990(+) TAACAATGCTG >hg38_chr12:40406569-40406579(+) AAACAATATTA >hg38_chr12:40424078-40424088(-) taataatggca >hg38_chr12:40454005-40454015(+) TGACAATGGTG >hg38_chr12:40475826-40475836(-) ATACAATGGCA >hg38_chr12:40548307-40548317(-) AAACAAAGGTA >hg38_chr12:40657565-40657575(+) ggacaaaggac >hg38_chr12:40687902-40687912(-) GTATAATGTAA >hg38_chr12:40697911-40697921(-) AAACAATGTGC >hg38_chr12:40735053-40735063(-) CCACAATAGTT >hg38_chr12:40735079-40735089(-) CAACAATGATG >hg38_chr12:40749264-40749274(-) CTACAATGACT >hg38_chr12:40749305-40749315(-) GGACAATAGAG >hg38_chr12:40758105-40758115(-) CTACAATGTGG >hg38_chr12:40792136-40792146(+) GAACAATAGGG >hg38_chr12:40826624-40826634(-) TGACAATAGGT >hg38_chr12:40928772-40928782(-) GCACAATGACT >hg38_chr12:40929036-40929046(-) AGACAATGGGC >hg38_chr12:40976644-40976654(-) GCACAAAGGAA >hg38_chr12:40978596-40978606(+) AAACAATGGAC >hg38_chr12:40980665-40980675(+) TAACAATGTCA >hg38_chr12:40985550-40985560(-) aaacaatggag >hg38_chr12:40985574-40985584(-) aaacaataact >hg38_chr12:40998371-40998381(-) GCACAATGAAA >hg38_chr12:41135579-41135589(-) AAACAATAGTG >hg38_chr12:41201369-41201379(-) tgacaatggag >hg38_chr12:41258076-41258086(+) acacaATGAGC >hg38_chr12:41260340-41260350(+) AAACAAAGGGT >hg38_chr12:41264301-41264311(-) AAACAATGTCA >hg38_chr12:41277143-41277153(+) GAACAATGAAA >hg38_chr12:41287974-41287984(-) AAACAAAGGGG >hg38_chr12:41304771-41304781(+) caacaatagaa >hg38_chr12:41310572-41310582(-) TGATAATGGAA >hg38_chr12:41334546-41334556(+) GGACAATGCAG >hg38_chr12:41355898-41355908(+) GTACAATGACA >hg38_chr12:41439578-41439588(-) ATACAGTGGTC >hg38_chr12:41439604-41439614(-) TAACAAAGGCT >hg38_chr12:41465918-41465928(-) TGATAATGGCA >hg38_chr12:41465965-41465975(-) AGACAGTGGTA >hg38_chr12:41467044-41467054(+) ACACAATGAAT >hg38_chr12:41480193-41480203(-) AGACAATAAAC >hg38_chr12:41494927-41494937(-) ACACAATGAAT >hg38_chr12:41496624-41496634(+) GTACAATACAT >hg38_chr12:41542274-41542284(+) TTACAATAGGG >hg38_chr12:41552817-41552827(+) TCACAATGAGA >hg38_chr12:41607866-41607876(+) TAACAATAGAA >hg38_chr12:41646756-41646766(+) CAACAATAGTC >hg38_chr12:41646763-41646773(-) AAACAATGACT >hg38_chr12:41708447-41708457(+) caataatggac >hg38_chr12:41720389-41720399(+) taacaatcgct >hg38_chr12:41811939-41811949(-) acacaatggaa >hg38_chr12:41920069-41920079(-) CAATAATGGAT >hg38_chr12:41925538-41925548(+) caacaatagaa >hg38_chr12:41933898-41933908(+) acacaatgtga >hg38_chr12:41968025-41968035(+) GCACAATGAAG >hg38_chr12:42019948-42019958(-) tgacaatgctg >hg38_chr12:42090718-42090728(+) GTACTATGGGA >hg38_chr12:42102386-42102396(-) atacaatgctt >hg38_chr12:42135320-42135330(-) ttacaatagca >hg38_chr12:42135602-42135612(+) atacaatggac >hg38_chr12:42145759-42145769(+) ACACAAAGGAA >hg38_chr12:42181154-42181164(-) AAACAAAGGAC >hg38_chr12:42181555-42181565(-) ATACAATAGAC >hg38_chr12:42181741-42181751(+) aaacaataaac >hg38_chr12:42201440-42201450(-) gaacaatggat >hg38_chr12:42237981-42237991(-) CCACAATGGAg >hg38_chr12:42240665-42240675(-) tcacaatgcct >hg38_chr12:42271131-42271141(+) AAACAAAGGCC >hg38_chr12:42331738-42331748(-) GAACAATAGAA >hg38_chr12:42334172-42334182(-) GAACTATGGAA >hg38_chr12:42334213-42334223(-) TAATAATGTAT >hg38_chr12:42356794-42356804(+) aaacaatgaca >hg38_chr12:42356832-42356842(+) taacaatgcct >hg38_chr12:42370525-42370535(-) AGACAAAGGGA >hg38_chr12:42385315-42385325(-) AAACAATGATA >hg38_chr12:42385354-42385364(-) CAACAATGGGA >hg38_chr12:42403102-42403112(-) CAACAATAGAT >hg38_chr12:42405297-42405307(-) AAACAATAGTT >hg38_chr12:42409368-42409378(-) TGACAATATAA >hg38_chr12:42409704-42409714(-) GGACAATGTTC >hg38_chr12:42410058-42410068(-) TTACAATGAGT >hg38_chr12:42440993-42441003(-) GAACAAAGGCT >hg38_chr12:42472553-42472563(+) AAACAATGGCT >hg38_chr12:42472569-42472579(+) GAACAATATAA >hg38_chr12:42478234-42478244(-) AGACAATAAAT >hg38_chr12:42484444-42484454(+) TAACAATGATG >hg38_chr12:42507351-42507361(+) AAACAATGACT >hg38_chr12:42510962-42510972(-) TTACAATGGCA >hg38_chr12:42510998-42511008(+) TGACAATGGTA >hg38_chr12:42511788-42511798(+) GAACAAAGGTT >hg38_chr12:42533414-42533424(-) GGACAATGAAA >hg38_chr12:42558316-42558326(-) TAATAATGGAC >hg38_chr12:42561274-42561284(+) ACACAATAGAA >hg38_chr12:42563851-42563861(+) GTACAATGGGT >hg38_chr12:42563881-42563891(+) GGATAATGGGC >hg38_chr12:42565521-42565531(+) CAACAATGGGA >hg38_chr12:42565990-42566000(+) GGACAATGGAA >hg38_chr12:42575173-42575183(+) TAACAATGAAA >hg38_chr12:42588078-42588088(+) GGATAATGGAG >hg38_chr12:42612401-42612411(-) AGACAATGCTG >hg38_chr12:42614148-42614158(-) ctacaatgtaa >hg38_chr12:42705991-42706001(-) GTACAATACAT >hg38_chr12:42744080-42744090(-) AAACAATGATC >hg38_chr12:42744963-42744973(+) AAACAATGAAC >hg38_chr12:42744974-42744984(-) GAACAATGGGT >hg38_chr12:42745022-42745032(-) GCACAATGCAC >hg38_chr12:42757880-42757890(+) AGACAATGTCA >hg38_chr12:42786471-42786481(-) ACACAAAGGAA >hg38_chr12:42799345-42799355(+) TGACAATGAGC >hg38_chr12:42819902-42819912(-) AGACAAAGGAC >hg38_chr12:42885728-42885738(+) ttacaatgcta >hg38_chr12:42885734-42885744(-) gaacaatagca >hg38_chr12:42893260-42893270(-) AAACAATGTCT >hg38_chr12:42899486-42899496(-) taacAATGATA >hg38_chr12:42977414-42977424(-) TTACAATAACC >hg38_chr12:42982320-42982330(-) CTACAATGCCC >hg38_chr12:42996701-42996711(+) TTACAATGGCC >hg38_chr12:43006654-43006664(-) tgacaatagga >hg38_chr12:43006668-43006678(+) atacaataagc >hg38_chr12:43064220-43064230(-) TGACAATGTAA >hg38_chr12:43065428-43065438(-) GCACAATGAAA >hg38_chr12:43065708-43065718(-) GCACAATAGAA >hg38_chr12:43065716-43065726(-) GCACAATGGCA >hg38_chr12:43065724-43065734(-) atataATGGCA >hg38_chr12:43079701-43079711(-) TGACAATAGAA >hg38_chr12:43080432-43080442(-) TAACAAAGGAA >hg38_chr12:43097640-43097650(-) TAACAAAGGGT >hg38_chr12:43108849-43108859(-) ACACAATGGAA >hg38_chr12:43120443-43120453(-) TGACAATGCTG >hg38_chr12:43154779-43154789(+) TTACAATGTAT >hg38_chr12:43154800-43154810(-) TAACAATAGCA >hg38_chr12:43164980-43164990(-) taacaatagga >hg38_chr12:43185646-43185656(+) CTATAATGGAT >hg38_chr12:43185665-43185675(-) AAACAATGGAA >hg38_chr12:43204571-43204581(-) ttacaatgctc >hg38_chr12:43204750-43204760(+) gaacaaaggcc >hg38_chr12:43204785-43204795(-) ttataatggta >hg38_chr12:43213464-43213474(+) TTACAATATAC >hg38_chr12:43213475-43213485(+) ACACAATATAC >hg38_chr12:43234482-43234492(-) aaacaaaggat >hg38_chr12:43235656-43235666(+) ATACAATGTGT >hg38_chr12:43282813-43282823(+) CTATAATGGAG >hg38_chr12:43293483-43293493(+) AAACAATGTCA >hg38_chr12:43293498-43293508(-) TAACAATGTTA >hg38_chr12:43293506-43293516(+) TTACAATGCAG >hg38_chr12:43339373-43339383(-) tcacaatgcaa >hg38_chr12:43370506-43370516(-) TAACAATAGAT >hg38_chr12:43380125-43380135(-) ttacaataatc >hg38_chr12:43397232-43397242(-) CGACAATGGAC >hg38_chr12:43408085-43408095(+) GAACAATGCAA >hg38_chr12:43463403-43463413(+) gtataatgtat >hg38_chr12:43463460-43463470(+) GAACAAAGGGC >hg38_chr12:43488706-43488716(+) AAACAATGTGC >hg38_chr12:43488885-43488895(-) TTACAATGTAG >hg38_chr12:43511634-43511644(+) GAACAATAATT >hg38_chr12:43511650-43511660(+) GTACAATAGGA >hg38_chr12:43530551-43530561(-) ATATAATGATA >hg38_chr12:43544932-43544942(-) ccacaatgcac >hg38_chr12:43578093-43578103(-) TAACAATGCCA >hg38_chr12:43593128-43593138(+) GGACAAAGGGA >hg38_chr12:43666637-43666647(-) AGACAATGACA >hg38_chr12:43672711-43672721(-) ACACAATAGAA >hg38_chr12:43681890-43681900(-) TAACAATGCCA >hg38_chr12:43706310-43706320(-) agacagtggac >hg38_chr12:43764728-43764738(-) tcacaatgccc >hg38_chr12:43798181-43798191(+) ATACAATATGT >hg38_chr12:43798765-43798775(+) GAACAGTGGTT >hg38_chr12:43803040-43803050(+) Atacaaaggtg >hg38_chr12:43823887-43823897(-) AAACAATAGGA >hg38_chr12:43829268-43829278(+) gtacaatgcat >hg38_chr12:43829331-43829341(-) agacaatagat >hg38_chr12:43836771-43836781(+) GAACAATGAAg >hg38_chr12:43859118-43859128(+) CAACAAAGGCG >hg38_chr12:43914593-43914603(+) GAACAATGGGT >hg38_chr12:43924309-43924319(-) AAACAATGAAT >hg38_chr12:43941894-43941904(+) CCACAATGTTT >hg38_chr12:44018141-44018151(-) AGACAAAGGCA >hg38_chr12:44019340-44019350(-) CTACAATGGGT >hg38_chr12:44064750-44064760(+) CTATaatggag >hg38_chr12:44089512-44089522(+) AAACAATGGCC >hg38_chr12:44126197-44126207(-) tcacaatagca >hg38_chr12:44200824-44200834(+) GCACAATGCGG >hg38_chr12:44201178-44201188(+) agacaatagaa >hg38_chr12:44289920-44289930(-) ctacaatgaca >hg38_chr12:44290447-44290457(+) tcacaatgcca >hg38_chr12:44292963-44292973(-) aaacaatggac >hg38_chr12:44293001-44293011(-) caacaatgaat >hg38_chr12:44293342-44293352(+) ttataatgggc >hg38_chr12:44299621-44299631(+) TTACAACGGAC >hg38_chr12:44300281-44300291(+) ATACAATAGCA >hg38_chr12:44300299-44300309(-) TAACACTGGTT >hg38_chr12:44300461-44300471(-) ttacaatgcct >hg38_chr12:44300508-44300518(-) atacagtggag >hg38_chr12:44325006-44325016(-) ttactatggaa >hg38_chr12:44349295-44349305(+) ATACAATGAAT >hg38_chr12:44349306-44349316(-) AAACAATAGAA >hg38_chr12:44349321-44349331(+) AAACAATACGT >hg38_chr12:44369976-44369986(-) GAACAATAGAG >hg38_chr12:44370249-44370259(+) TTACAATGACT >hg38_chr12:44405369-44405379(-) taacaaagggc >hg38_chr12:44422221-44422231(+) ATacaatagct >hg38_chr12:44516362-44516372(+) GAACAATATAT >hg38_chr12:44516407-44516417(-) ACACAATGCAA >hg38_chr12:44517799-44517809(-) GTATAATGATA >hg38_chr12:44517821-44517831(+) GCACAATGCCC >hg38_chr12:44531750-44531760(-) GGATAATGGGG >hg38_chr12:44543648-44543658(+) caacaatgagt >hg38_chr12:44543695-44543705(-) gaataatggct >hg38_chr12:44555638-44555648(-) ATACAGTGGGC >hg38_chr12:44581956-44581966(+) ACACAATAGAA >hg38_chr12:44582004-44582014(-) ATACAATTGTA >hg38_chr12:44584846-44584856(+) TAACAATATAG >hg38_chr12:44584855-44584865(+) AGACAATGGAT >hg38_chr12:44597957-44597967(-) gaacaatggga >hg38_chr12:44618738-44618748(-) CTACAATATAT >hg38_chr12:44618774-44618784(+) aaacaataaca >hg38_chr12:44618786-44618796(+) taacaataatg >hg38_chr12:44645383-44645393(+) ctataatggaa >hg38_chr12:44645443-44645453(+) aaacaaaggac >hg38_chr12:44656716-44656726(-) GGACAATGACA >hg38_chr12:44675048-44675058(+) TTACAATGGTC >hg38_chr12:44675087-44675097(-) AGACAATGAAT >hg38_chr12:44676821-44676831(+) GAACAAAGGCA >hg38_chr12:44701802-44701812(+) acacaatagtt >hg38_chr12:44720604-44720614(+) GGACAATGTAA >hg38_chr12:44776101-44776111(+) TCACAATAGCA >hg38_chr12:44781235-44781245(+) atacaataatg >hg38_chr12:44781238-44781248(+) caataatggaa >hg38_chr12:44804222-44804232(+) GTACAAAGGAA >hg38_chr12:44858312-44858322(-) AGACAAAGGGA >hg38_chr12:44858326-44858336(+) ATACAATGTGA >hg38_chr12:44858377-44858387(-) CTACAAAGGAA >hg38_chr12:44873807-44873817(+) TGACAATGTAG >hg38_chr12:44875246-44875256(-) GCATAATGGGA >hg38_chr12:44921536-44921546(+) gcacaatggaa >hg38_chr12:44947348-44947358(-) tgacaatggag >hg38_chr12:44962152-44962162(+) acacaaaggag >hg38_chr12:45010048-45010058(+) TCACAATGTAG >hg38_chr12:45011048-45011058(-) tcacagtggta >hg38_chr12:45032134-45032144(-) AGACAATAGAT >hg38_chr12:45032164-45032174(-) GAACAATGTTT >hg38_chr12:45034973-45034983(-) CCACAATGGGT >hg38_chr12:45042182-45042192(-) ctacaatgtgt >hg38_chr12:45089957-45089967(-) CAACAATAGGC >hg38_chr12:45098088-45098098(-) atacaatggac >hg38_chr12:45102313-45102323(-) ACACAATAAAT >hg38_chr12:45115856-45115866(-) GAACAATGGTT >hg38_chr12:45115932-45115942(-) CCACAATGCAT >hg38_chr12:45127986-45127996(-) GAACAATAGAA >hg38_chr12:45232751-45232761(-) GAACAAAGGGG >hg38_chr12:45260433-45260443(+) aaacaatgttg >hg38_chr12:45280340-45280350(+) GTACAATGACT >hg38_chr12:45297418-45297428(+) AGACAATGGCT >hg38_chr12:45331415-45331425(+) AAACAAAGGGT >hg38_chr12:45333787-45333797(-) GTACAATGGAA >hg38_chr12:45336043-45336053(-) atacaatagtg >hg38_chr12:45354257-45354267(+) atacaaaggat >hg38_chr12:45354278-45354288(-) gcacaatgcta >hg38_chr12:45381501-45381511(-) TCACAATGCTG >hg38_chr12:45381543-45381553(+) AAACACTGGAT >hg38_chr12:45430363-45430373(-) CCACAATGAGG >hg38_chr12:45436443-45436453(+) taacaatgggg >hg38_chr12:45436472-45436482(-) TCATAATGACG >hg38_chr12:45502569-45502579(-) TAACAATAGTA >hg38_chr12:45532235-45532245(+) TCACAATGCTT >hg38_chr12:45550515-45550525(-) aaacaatgtaa >hg38_chr12:45567438-45567448(-) CTACAATGACA >hg38_chr12:45576659-45576669(+) CAACAATGGAG >hg38_chr12:45638583-45638593(-) atacaatagaa >hg38_chr12:45645950-45645960(-) ACACAATAGAG >hg38_chr12:45663545-45663555(-) GTACAATAGGA >hg38_chr12:45725830-45725840(-) TTACAATAGAT >hg38_chr12:45727582-45727592(+) GTATAATGGTT >hg38_chr12:45728953-45728963(-) GAACAATAGAC >hg38_chr12:45731577-45731587(-) TAACAATGTCA >hg38_chr12:45732485-45732495(-) ACACAATAGAT >hg38_chr12:45781773-45781783(-) atacaataagc >hg38_chr12:45781793-45781803(+) tcacaatgcac >hg38_chr12:45799206-45799216(+) aaacaatgtct >hg38_chr12:45799593-45799603(+) atacaataaac >hg38_chr12:45833544-45833554(-) caacaatgtca >hg38_chr12:45846689-45846699(-) atataatggag >hg38_chr12:45852775-45852785(+) CAACAATGGTT >hg38_chr12:45871325-45871335(+) agataatggat >hg38_chr12:45871646-45871656(-) agacaatagaa >hg38_chr12:45887517-45887527(+) ACACAATAGAA >hg38_chr12:45893465-45893475(-) TCACAATGGCC >hg38_chr12:45936687-45936697(-) tgacaaaggac >hg38_chr12:45944655-45944665(+) GAACTATGGAT >hg38_chr12:45962358-45962368(+) tgacaatgttg >hg38_chr12:45978326-45978336(+) GTACAATGACA >hg38_chr12:45987427-45987437(-) gaacaatgcct >hg38_chr12:45990976-45990986(+) GGACAATGAAA >hg38_chr12:46010482-46010492(-) caacaatgcca >hg38_chr12:46014062-46014072(-) acacaatgtaa >hg38_chr12:46111586-46111596(-) tgacaatgtgc >hg38_chr12:46125674-46125684(-) ATATAATGGCA >hg38_chr12:46135977-46135987(-) atacaatgtga >hg38_chr12:46150124-46150134(-) aaacaatgctt >hg38_chr12:46150176-46150186(+) ctacaataggg >hg38_chr12:46150584-46150594(-) AAACAATATAA >hg38_chr12:46150623-46150633(+) TTACAATGAGG >hg38_chr12:46152542-46152552(-) AAACAAAGGCA >hg38_chr12:46152676-46152686(+) AAACAATAGCA >hg38_chr12:46183451-46183461(+) AGATAATGGTT >hg38_chr12:46200193-46200203(+) AGACAATGCCA >hg38_chr12:46208383-46208393(-) AAACAAAGGTT >hg38_chr12:46208422-46208432(+) AGACAATGGTT >hg38_chr12:46208845-46208855(-) GGACAATGAGA >hg38_chr12:46220131-46220141(-) TAACAATGAGT >hg38_chr12:46221287-46221297(+) TGACAATGACA >hg38_chr12:46235071-46235081(+) CCACAATGGGT >hg38_chr12:46267369-46267379(+) AGACAAAGGAC >hg38_chr12:46304371-46304381(+) aaacaaagggg >hg38_chr12:46320094-46320104(-) AAACAATGCCT >hg38_chr12:46363074-46363084(+) GGACAATGAGA >hg38_chr12:46368280-46368290(-) TGACAATAGTG >hg38_chr12:46368296-46368306(+) AAACAATGTCT >hg38_chr12:46378824-46378834(-) AGACAAAGGAG >hg38_chr12:46390998-46391008(+) TAACAATGTGA >hg38_chr12:46403739-46403749(+) TGACAATGTCT >hg38_chr12:46403906-46403916(-) GCACAATGCAA >hg38_chr12:46430477-46430487(+) GAACAATACTA >hg38_chr12:46445846-46445856(+) TGACAATAGCC >hg38_chr12:46445892-46445902(+) CTACAATGTGG >hg38_chr12:46459360-46459370(-) TAACAAAGGAA >hg38_chr12:46549957-46549967(+) ggacaaaggga >hg38_chr12:46556943-46556953(-) ACATAATGGAA >hg38_chr12:46556963-46556973(+) AAACTATGGCT >hg38_chr12:46631558-46631568(+) TTACAATAAAC >hg38_chr12:46661304-46661314(+) CAACAATGAGG >hg38_chr12:46669966-46669976(-) agacaatggga >hg38_chr12:46715817-46715827(+) aaataatggaa >hg38_chr12:46726502-46726512(+) acacaatggct >hg38_chr12:46726530-46726540(+) caacaatagtg >hg38_chr12:46726821-46726831(+) acacaatggga >hg38_chr12:46762378-46762388(+) gaacaatggac >hg38_chr12:46779210-46779220(-) GAACAGTGGGA >hg38_chr12:46794790-46794800(+) agataatggca >hg38_chr12:46825518-46825528(-) GAACACTGGAA >hg38_chr12:46827116-46827126(+) GAACAATAGGC >hg38_chr12:46828854-46828864(+) ACACAGTGGAA >hg38_chr12:46829063-46829073(-) taacaatgctg >hg38_chr12:46886551-46886561(+) CTACAATGCTG >hg38_chr12:46898718-46898728(+) ctacaatggga >hg38_chr12:46899163-46899173(-) acacaataaac >hg38_chr12:46920916-46920926(-) ATACTATGGAA >hg38_chr12:46984047-46984057(-) gaacagtggtc >hg38_chr12:47039228-47039238(+) taacagtggat >hg38_chr12:47087025-47087035(-) GTATAATGGAG >hg38_chr12:47095473-47095483(-) agataatggat >hg38_chr12:47123675-47123685(+) TTACAATGAGT >hg38_chr12:47149289-47149299(-) ACACAATGAAG >hg38_chr12:47155976-47155986(+) ACATAATGGGG >hg38_chr12:47209261-47209271(+) taacaataggc >hg38_chr12:47238565-47238575(-) gaacaatgcgg >hg38_chr12:47273647-47273657(+) GAACAATAGCA >hg38_chr12:47294981-47294991(-) aaacaatggtg >hg38_chr12:47298642-47298652(+) ggacaatgaag >hg38_chr12:47316860-47316870(-) ACACAATGTTC >hg38_chr12:47360670-47360680(+) GGACAATAGGA >hg38_chr12:47372433-47372443(-) TGACAATGCTA >hg38_chr12:47375106-47375116(+) ttacaatagtc >hg38_chr12:47379301-47379311(-) acacaatggaa >hg38_chr12:47409818-47409828(+) gaacaatgcct >hg38_chr12:47459729-47459739(-) gaacaatggaa >hg38_chr12:47459749-47459759(+) agacaatatat >hg38_chr12:47460001-47460011(-) CAACAATGCCT >hg38_chr12:47473719-47473729(-) AGACAATGGGT >hg38_chr12:47556485-47556495(+) ccacaatggaa >hg38_chr12:47557431-47557441(-) GAACAATAACG >hg38_chr12:47557850-47557860(-) GGACAATGAAA >hg38_chr12:47576149-47576159(+) GGACAATGCCT >hg38_chr12:47687208-47687218(+) TAACAAAGGTC >hg38_chr12:47687844-47687854(-) GTACAATGTAT >hg38_chr12:47690397-47690407(-) GAACAAAGGTC >hg38_chr12:47694940-47694950(-) ttacaaaggta >hg38_chr12:47695196-47695206(+) acataatggta >hg38_chr12:47699774-47699784(+) acacaatggaa >hg38_chr12:47700983-47700993(+) gcacaaaggga >hg38_chr12:47735082-47735092(+) TAACAATGACC >hg38_chr12:47769017-47769027(-) acataatggaa >hg38_chr12:47819955-47819965(+) TGACAATGGAA >hg38_chr12:47820964-47820974(-) GCACAATGGCG >hg38_chr12:47837796-47837806(+) GTACAATGACA >hg38_chr12:47957500-47957510(+) agacaatgggg >hg38_chr12:47988535-47988545(+) TCACAATGGGT >hg38_chr12:48015278-48015288(+) GGACAAAGGCA >hg38_chr12:48017646-48017656(-) AGACAATAGTG >hg38_chr12:48021882-48021892(+) TCACAATGGAC >hg38_chr12:48022135-48022145(+) TCACAATGCAC >hg38_chr12:48023537-48023547(+) ACACAATGAAT >hg38_chr12:48043378-48043388(+) agacaatagtg >hg38_chr12:48047491-48047501(+) CAACAATGTAT >hg38_chr12:48121726-48121736(-) CTACAATAGCT >hg38_chr12:48143204-48143214(+) tcacaatagac >hg38_chr12:48157837-48157847(+) CCACAATGGTT >hg38_chr12:48164306-48164316(-) CCACAATGTAA >hg38_chr12:48219693-48219703(-) CAACAATGTTT >hg38_chr12:48269253-48269263(+) atataatggca >hg38_chr12:48292227-48292237(+) taacaatgggg >hg38_chr12:48310464-48310474(-) ATATAATGGGG >hg38_chr12:48444497-48444507(+) TTACAAAGGAC >hg38_chr12:48444512-48444522(+) TCACAAAGGAC >hg38_chr12:48487642-48487652(+) AAACAAAGGGC >hg38_chr12:48546264-48546274(-) gtacaatagtc >hg38_chr12:48546282-48546292(+) acacaatggag >hg38_chr12:48572507-48572517(+) GAACAATACAC >hg38_chr12:48572514-48572524(+) ACACAATGATC >hg38_chr12:48618692-48618702(-) GGACAAAGGTG >hg38_chr12:48681953-48681963(-) GGACAAAGGCG >hg38_chr12:48709346-48709356(+) aaacaatgtat >hg38_chr12:48709396-48709406(-) atacaatgtat >hg38_chr12:48723228-48723238(+) taacaaaggcc >hg38_chr12:48777616-48777626(-) TGACAATGTCA >hg38_chr12:48796043-48796053(-) TAACTATGGGC >hg38_chr12:48818384-48818394(-) AAACAAAGGGC >hg38_chr12:48818655-48818665(-) CAACAATAGTG >hg38_chr12:48852744-48852754(-) taacaataact >hg38_chr12:48863677-48863687(-) AGACAATGAGC >hg38_chr12:48877017-48877027(+) caacaatgccc >hg38_chr12:48883762-48883772(-) GAACAAAGGAG >hg38_chr12:48957927-48957937(-) CAACAATGGTG >hg38_chr12:48965600-48965610(-) AGACAGTGGTA >hg38_chr12:48965610-48965620(-) GGATAATGGGA >hg38_chr12:48978352-48978362(-) AGACAATGGGC >hg38_chr12:49069241-49069251(-) ACACAAAGGAT >hg38_chr12:49098037-49098047(+) ACACAATGTAG >hg38_chr12:49171064-49171074(-) atacaatatgc >hg38_chr12:49187063-49187073(-) GCACAATGGGC >hg38_chr12:49187450-49187460(-) GCACAATGAGA >hg38_chr12:49188739-49188749(-) GAACAAAGGCG >hg38_chr12:49189888-49189898(-) GGACAATGTAC >hg38_chr12:49202008-49202018(-) ACACAATGAGA >hg38_chr12:49202042-49202052(-) ACACAATGACA >hg38_chr12:49215087-49215097(+) AAACAATGAAA >hg38_chr12:49227930-49227940(+) GAACAATGGGC >hg38_chr12:49245106-49245116(+) gtacagtggtc >hg38_chr12:49280044-49280054(-) gcacaatgtgc >hg38_chr12:49308152-49308162(+) taacaatagta >hg38_chr12:49308185-49308195(+) caacaatagat >hg38_chr12:49367827-49367837(+) AAACAATGGAG >hg38_chr12:49393724-49393734(-) ggacaaaggag >hg38_chr12:49443178-49443188(-) tcacaatagcc >hg38_chr12:49474739-49474749(+) aaataatggca >hg38_chr12:49474755-49474765(+) atacaatgaaa >hg38_chr12:49482783-49482793(-) aaacaaaGGGT >hg38_chr12:49644757-49644767(-) ACACAAAGGGA >hg38_chr12:49693079-49693089(-) tgacaatggac >hg38_chr12:49721070-49721080(-) agacaatgaaa >hg38_chr12:49746428-49746438(+) TAACAATGTTA >hg38_chr12:49746451-49746461(+) Taacaatggtt >hg38_chr12:49829330-49829340(+) ATACAAAGGAT >hg38_chr12:49830454-49830464(+) tcacaatgtgt >hg38_chr12:49853964-49853974(+) GAACAATTGCG >hg38_chr12:49872071-49872081(+) atacaataaat >hg38_chr12:49894920-49894930(-) TAACAATAGGA >hg38_chr12:49963061-49963071(-) TGACAATGGCC >hg38_chr12:50021863-50021873(+) GGACAATGTGA >hg38_chr12:50051288-50051298(+) CTACAATAGCC >hg38_chr12:50053351-50053361(-) AAACAATGTGT >hg38_chr12:50101815-50101825(-) TGACAAAGGAA >hg38_chr12:50186132-50186142(-) TGATAATGGTA >hg38_chr12:50198782-50198792(+) GGACAATGGGC >hg38_chr12:50214298-50214308(+) TAACAATAACA >hg38_chr12:50220564-50220574(-) ACACAATGTAG >hg38_chr12:50239720-50239730(+) gtacgatggct >hg38_chr12:50249520-50249530(+) GTATAATGTAC >hg38_chr12:50254594-50254604(+) GAACAAAGGTC >hg38_chr12:50259760-50259770(+) AGACAATAGCA >hg38_chr12:50281516-50281526(+) ATACAATGAGG >hg38_chr12:50363325-50363335(-) GAACAATGTGC >hg38_chr12:50401965-50401975(-) GAACAATATGA >hg38_chr12:50401976-50401986(-) TCACAATGTAA >hg38_chr12:50442336-50442346(-) TGACAATGCCT >hg38_chr12:50475245-50475255(-) gaataatggta >hg38_chr12:50475265-50475275(-) taacaaaggac >hg38_chr12:50478242-50478252(+) AGACAATGTTT >hg38_chr12:50490560-50490570(+) tcacaaaggaa >hg38_chr12:50505721-50505731(+) TAACAATGCGC >hg38_chr12:50539064-50539074(-) AGACAAAGACG >hg38_chr12:50574808-50574818(-) AAACAAAGGTT >hg38_chr12:50586212-50586222(+) TTACAAAGGAG >hg38_chr12:50604031-50604041(-) AAACAATGCAA >hg38_chr12:50621534-50621544(-) gcacaatagac >hg38_chr12:50622178-50622188(+) TTACAATAAAA >hg38_chr12:50638023-50638033(-) TAACAATAAAA >hg38_chr12:50638063-50638073(+) ACATAATGGTG >hg38_chr12:50655225-50655235(-) taacaatatac >hg38_chr12:50655260-50655270(+) atacaatatgc >hg38_chr12:50680568-50680578(-) AGACAATGGCC >hg38_chr12:50691175-50691185(-) TAACAATGAGG >hg38_chr12:50691209-50691219(+) GCACAATGCTC >hg38_chr12:50706609-50706619(-) CAACAATGTCA >hg38_chr12:50734788-50734798(+) tgacaatgtct >hg38_chr12:50745562-50745572(-) GAACAATGTGC >hg38_chr12:50800110-50800120(+) gaataatggca >hg38_chr12:50800135-50800145(-) tcacaatgttc >hg38_chr12:50800168-50800178(+) aaactatggag >hg38_chr12:50827374-50827384(-) tgacaatggca >hg38_chr12:50827380-50827390(-) ccacaatgaca >hg38_chr12:50866880-50866890(+) taacaatcgct >hg38_chr12:50935346-50935356(+) GAACAAAGGGC >hg38_chr12:51038466-51038476(-) aAACAATGctg >hg38_chr12:51083590-51083600(+) TAACAATAGGA >hg38_chr12:51132977-51132987(-) caacaatgaca >hg38_chr12:51133607-51133617(-) AAACAAAggct >hg38_chr12:51412747-51412757(+) gaacaatagaa >hg38_chr12:51419044-51419054(-) AAACAATGAAA >hg38_chr12:51419973-51419983(+) GAACAATGAAA >hg38_chr12:51421441-51421451(+) GCACAATAGGC >hg38_chr12:51435195-51435205(+) atacaatgtct >hg38_chr12:51460864-51460874(+) GAACAATAAGT >hg38_chr12:51460996-51461006(+) GCACAATAGCT >hg38_chr12:51471917-51471927(-) caacaaaggac >hg38_chr12:51601116-51601126(+) atacaatagtc >hg38_chr12:51604303-51604313(-) caacaatggtg >hg38_chr12:51609273-51609283(-) taacaacggat >hg38_chr12:51635429-51635439(+) gcacaatgtct >hg38_chr12:51643948-51643958(-) CAACAATGACC >hg38_chr12:51643985-51643995(-) GAACAAAGGTC >hg38_chr12:51677502-51677512(-) AAACAATGAAC >hg38_chr12:51684018-51684028(-) ACACAATGTTT >hg38_chr12:51684634-51684644(-) TAATAATGGCT >hg38_chr12:51686122-51686132(+) GAACAGTGACG >hg38_chr12:51708703-51708713(-) ATACAATTGTA >hg38_chr12:51771109-51771119(+) GTACAAAGGTT >hg38_chr12:51771128-51771138(-) GGACAATGTGA >hg38_chr12:51773713-51773723(-) gaacaatgctg >hg38_chr12:51773722-51773732(+) tcacaatagaa >hg38_chr12:51805020-51805030(-) AAACAATAAAT >hg38_chr12:51805049-51805059(-) ccACAATGGAA >hg38_chr12:51828017-51828027(-) GAAcaaagggc >hg38_chr12:51829189-51829199(-) CGACAATGGGA >hg38_chr12:51838271-51838281(-) AGACAATGGAG >hg38_chr12:51856044-51856054(+) GGACAATGGCT >hg38_chr12:51863967-51863977(-) TAACAAAGGGC >hg38_chr12:51870165-51870175(+) gcacaatcgaa >hg38_chr12:52010335-52010345(-) ACACAATGGTC >hg38_chr12:52025512-52025522(-) cgacaatgtag >hg38_chr12:52041940-52041950(-) GGACAATAGCA >hg38_chr12:52041947-52041957(-) GTACAAAGGAC >hg38_chr12:52051209-52051219(+) CGTCAATGGAA >hg38_chr12:52061904-52061914(+) TCACAATGGCT >hg38_chr12:52092290-52092300(-) TGACAAAGGAT >hg38_chr12:52125490-52125500(-) GAACAATGGCG >hg38_chr12:52203406-52203416(-) AGACAATGGCA >hg38_chr12:52261026-52261036(-) GAACAATGCAG >hg38_chr12:52261046-52261056(+) AAACAATGTGA >hg38_chr12:52261102-52261112(+) CCACAATGTTA >hg38_chr12:52272979-52272989(-) ttacaaaggtg >hg38_chr12:52273043-52273053(+) acacaatggaa >hg38_chr12:52280427-52280437(+) CAACAATAGTT >hg38_chr12:52354677-52354687(+) TATCAATGGCG >hg38_chr12:52453511-52453521(-) CTACAATGCAG >hg38_chr12:52463274-52463284(-) gtacaatgtgg >hg38_chr12:52480266-52480276(+) gaacaatggtt >hg38_chr12:52493520-52493530(+) AAACAAAGGAG >hg38_chr12:52496782-52496792(-) GAACAAAGGCA >hg38_chr12:52622901-52622911(+) ggacaataaaa >hg38_chr12:52623295-52623305(+) aaactatggag >hg38_chr12:52624636-52624646(+) aaacaatatac >hg38_chr12:52672504-52672514(-) GAACAATGAGA >hg38_chr12:52700600-52700610(+) CAACAATGAGT >hg38_chr12:52708169-52708179(-) TGACAATGTTG >hg38_chr12:52862261-52862271(-) GAACAATGGAT >hg38_chr12:52906472-52906482(-) TGATAATGGGA >hg38_chr12:52981020-52981030(-) GAACAATGGTC >hg38_chr12:53050139-53050149(-) GAACAATGCTG >hg38_chr12:53164056-53164066(+) aaacaatgcag >hg38_chr12:53175371-53175381(+) GGACAAAGGGA >hg38_chr12:53183128-53183138(-) ACACAAAGGAA >hg38_chr12:53234304-53234314(-) AGACAAAGGGA >hg38_chr12:53244541-53244551(+) GCACAATAGAG >hg38_chr12:53299884-53299894(+) GCACAATGGCA >hg38_chr12:53321396-53321406(-) GCACAATAACG >hg38_chr12:53325794-53325804(-) CCACAATAGGG >hg38_chr12:53338055-53338065(+) AGACAATGGCT >hg38_chr12:53390800-53390810(-) TAACAATGACG >hg38_chr12:53397889-53397899(+) ACACAATGCAT >hg38_chr12:53397928-53397938(-) TAACAAAGGGG >hg38_chr12:53441401-53441411(-) acactatggta >hg38_chr12:53451920-53451930(-) AGACAACGGCT >hg38_chr12:53453828-53453838(+) GAACAATGTTA >hg38_chr12:53523737-53523747(-) gaacaatgttg >hg38_chr12:53567324-53567334(+) ATACACTGGGC >hg38_chr12:53567370-53567380(+) TTACAATGCTG >hg38_chr12:53592247-53592257(+) ATACAAAGGTA >hg38_chr12:53626808-53626818(+) gtacaatggga >hg38_chr12:53626999-53627009(-) gaacaatgctt >hg38_chr12:53659128-53659138(-) GCACAATCGTA >hg38_chr12:53694700-53694710(+) GAACAATGCAC >hg38_chr12:53801057-53801067(+) aaACAATGAAT >hg38_chr12:53831380-53831390(+) Taataatggct >hg38_chr12:53831418-53831428(-) gaacaatgcct >hg38_chr12:53857290-53857300(-) aAACAATGCTT >hg38_chr12:53881813-53881823(+) atacaaagggt >hg38_chr12:53917096-53917106(-) ggacaatgaat >hg38_chr12:53917134-53917144(-) tcacaatagac >hg38_chr12:53975712-53975722(+) CGACAATGTCT >hg38_chr12:53995453-53995463(-) AGACAATACGA >hg38_chr12:53997547-53997557(+) GCACAATGCCA >hg38_chr12:54058436-54058446(-) ATACAATGCAC >hg38_chr12:54189036-54189046(-) AAACAATGTAG >hg38_chr12:54217220-54217230(-) CCACAATGGCC >hg38_chr12:54217933-54217943(+) TAACAATGCTG >hg38_chr12:54285779-54285789(-) GTACAATAGCA >hg38_chr12:54301574-54301584(+) GGACAAAGGAT >hg38_chr12:54325032-54325042(+) GTACAATAATT >hg38_chr12:54353595-54353605(+) CCACAATGTTC >hg38_chr12:54387508-54387518(+) TAACAATAGTT >hg38_chr12:54431984-54431994(-) AAACAAAGGGG >hg38_chr12:54432051-54432061(-) AAACAATAGAT >hg38_chr12:54451452-54451462(-) CGACAAAGGAG >hg38_chr12:54452798-54452808(+) TCACAATGGCA >hg38_chr12:54464728-54464738(-) TAACAATGATT >hg38_chr12:54464778-54464788(-) TAACAATGAGA >hg38_chr12:54464806-54464816(+) TTACAAAGGGT >hg38_chr12:54509752-54509762(+) ACACAAAGGCA >hg38_chr12:54509770-54509780(+) TGACAATGGAG >hg38_chr12:54564930-54564940(-) ttacaatgcag >hg38_chr12:54565827-54565837(-) GAACAATGGCG >hg38_chr12:54623578-54623588(-) GAACAATGGAA >hg38_chr12:54623609-54623619(-) AAACAATGGAT >hg38_chr12:54669843-54669853(-) aaacaatgagg >hg38_chr12:54683657-54683667(-) AGACAATAGCC >hg38_chr12:54691308-54691318(+) GAACAATGAGA >hg38_chr12:54691396-54691406(+) ctacaatgggg >hg38_chr12:54722541-54722551(-) taacaatgaag >hg38_chr12:54759894-54759904(-) caacaatgtag >hg38_chr12:54825472-54825482(-) gaacaatagac >hg38_chr12:54832631-54832641(+) taacaatcgga >hg38_chr12:54872169-54872179(+) TAACAATAGTA >hg38_chr12:54876764-54876774(+) tgacaatgact >hg38_chr12:54890517-54890527(-) agacaaaggca >hg38_chr12:54911278-54911288(+) gcacaatgctg >hg38_chr12:54926286-54926296(+) tgacaatgcaa >hg38_chr12:54933067-54933077(+) taactatggaa >hg38_chr12:54941804-54941814(-) GAATAATGGAT >hg38_chr12:54941817-54941827(+) AAACAATGCAG >hg38_chr12:54993268-54993278(-) gcacaatgcat >hg38_chr12:54993328-54993338(-) ttataatggag >hg38_chr12:54993328-54993338(-) ttataatggag >hg38_chr12:54993378-54993388(-) taacaatattc >hg38_chr12:55018067-55018077(-) acacaatagga >hg38_chr12:55039881-55039891(-) GGACAATGAGA >hg38_chr12:55039924-55039934(-) TTACAATGGGC >hg38_chr12:55053637-55053647(-) TTACAATAGAG >hg38_chr12:55057703-55057713(-) GGACAATAGGG >hg38_chr12:55062134-55062144(-) taacaatgaag >hg38_chr12:55106657-55106667(+) TCACAATGGCA >hg38_chr12:55112693-55112703(-) GAACAATGAAA >hg38_chr12:55143524-55143534(+) GCACAATGGTA >hg38_chr12:55177340-55177350(-) taacaatgatg >hg38_chr12:55177348-55177358(+) ttacaataata >hg38_chr12:55280381-55280391(+) gaataatggta >hg38_chr12:55280389-55280399(+) gtacaatgtag >hg38_chr12:55280781-55280791(+) AGACAATATAC >hg38_chr12:55290868-55290878(+) tgacaatgatg >hg38_chr12:55290877-55290887(+) tgacaatgatg >hg38_chr12:55290886-55290896(+) tgacaatgaca >hg38_chr12:55298674-55298684(-) caacaatgaga >hg38_chr12:55309741-55309751(-) CAACAATGCTT >hg38_chr12:55385661-55385671(-) TAACAATGTCT >hg38_chr12:55420626-55420636(+) agacaatggta >hg38_chr12:55420644-55420654(+) agacaatgtta >hg38_chr12:55429041-55429051(-) tgacaataata >hg38_chr12:55429047-55429057(-) taacaatgaca >hg38_chr12:55429092-55429102(-) GAACAATGTGA >hg38_chr12:55477513-55477523(+) taaCAATAGAA >hg38_chr12:55718169-55718179(+) AAACAAAGGCT >hg38_chr12:55721268-55721278(-) AGACAAAGGCA >hg38_chr12:55746999-55747009(+) ctacaatggtg >hg38_chr12:55754473-55754483(+) CAACAATGTCC >hg38_chr12:55755959-55755969(-) GAACAGTGGAT >hg38_chr12:55773628-55773638(+) ACACAAAGGCA >hg38_chr12:55773657-55773667(+) ACACAAAGGAA >hg38_chr12:55791008-55791018(+) aaacaataaaa >hg38_chr12:55817433-55817443(+) ATACAATGATG >hg38_chr12:55850153-55850163(+) GCACAATGAGC >hg38_chr12:55914254-55914264(-) TGATAATGGGC >hg38_chr12:55940407-55940417(-) ACACAATGCAG >hg38_chr12:55966156-55966166(+) TGACAAAGGGA >hg38_chr12:55966826-55966836(-) AAACAATGTTG >hg38_chr12:55997070-55997080(+) GTATAATGGAC >hg38_chr12:56119501-56119511(+) GAACAATATGA >hg38_chr12:56157944-56157954(-) AAACAATAGGT >hg38_chr12:56161953-56161963(-) TAATAATGGCC >hg38_chr12:56163061-56163071(+) CAACAATGAGG >hg38_chr12:56206671-56206681(+) TGACAATAGAA >hg38_chr12:56257464-56257474(-) AAACTATGGGA >hg38_chr12:56338837-56338847(+) TTACAATGCAG >hg38_chr12:56360239-56360249(+) CGACACTGGCT >hg38_chr12:56462725-56462735(-) ACACAATGGAG >hg38_chr12:56488427-56488437(+) GGACAATGCCC >hg38_chr12:56565742-56565752(-) GGACAAAGGCA >hg38_chr12:56648647-56648657(+) gaacaatgcct >hg38_chr12:56676424-56676434(+) TGATAATGGAG >hg38_chr12:56684399-56684409(+) GAACAAAGGCC >hg38_chr12:56723523-56723533(-) GGACAATAGGG >hg38_chr12:56757889-56757899(-) TAACAATAGGA >hg38_chr12:56772240-56772250(-) caacaatgcat >hg38_chr12:56877497-56877507(-) GCACAATGTGC >hg38_chr12:56880550-56880560(-) TCATAATGGtt >hg38_chr12:57019972-57019982(+) taacaatggag >hg38_chr12:57063074-57063084(+) CCACAATGTAC >hg38_chr12:57086587-57086597(-) agacaaagacg >hg38_chr12:57090028-57090038(+) GAACAATTGCG >hg38_chr12:57102840-57102850(-) GGACAATGCCT >hg38_chr12:57102867-57102877(-) CAACAATGCCA >hg38_chr12:57240130-57240140(-) TAATAATGGCA >hg38_chr12:57271188-57271198(-) GAACAAAGGTG >hg38_chr12:57293963-57293973(+) acataatgggc >hg38_chr12:57294454-57294464(+) CCACAATAGAT >hg38_chr12:57294461-57294471(+) AGATAATGGCA >hg38_chr12:57308991-57309001(+) TAATAATGGAA >hg38_chr12:57431050-57431060(-) CGACAACGCCG >hg38_chr12:57461336-57461346(-) AGATAATGGTG >hg38_chr12:57462574-57462584(-) AAACAATGTGA >hg38_chr12:57553522-57553532(-) CCACAATGAAC >hg38_chr12:57553577-57553587(+) TCACTATGGAA >hg38_chr12:57726320-57726330(+) AAACAATGGAG >hg38_chr12:57751960-57751970(+) GGACAATCGCG >hg38_chr12:57754459-57754469(-) GAACAATACAC >hg38_chr12:57793853-57793863(-) AAACAATGCTC >hg38_chr12:57833584-57833594(+) CTACAATGGCC >hg38_chr12:57834681-57834691(-) ACACAATGCTG >hg38_chr12:57837278-57837288(+) AAACAATGGGG >hg38_chr12:57869838-57869848(-) GTACAATGAAA >hg38_chr12:57888687-57888697(-) GAACAAAGGCT >hg38_chr12:57905421-57905431(-) CGACAATCGTT >hg38_chr12:58093767-58093777(-) CCACAATGGCC >hg38_chr12:58140116-58140126(+) AAACAATGGAC >hg38_chr12:58140149-58140159(+) AAACAATGAAC >hg38_chr12:58170055-58170065(+) AGACAATGCAT >hg38_chr12:58184440-58184450(-) GAATAATGGAG >hg38_chr12:58224128-58224138(-) taacaaaggtt >hg38_chr12:58280666-58280676(+) gtacaatagat >hg38_chr12:58285264-58285274(-) GAACAATGTTT >hg38_chr12:58286454-58286464(+) ACACAATGCCC >hg38_chr12:58286513-58286523(-) GTACAATAGCA >hg38_chr12:58309826-58309836(-) gaacaatgctg >hg38_chr12:58311107-58311117(-) TCACAATGTCT >hg38_chr12:58374165-58374175(-) ACACAATGGAT >hg38_chr12:58386255-58386265(+) GAACAATGCTg >hg38_chr12:58414556-58414566(-) ttacaATGCTT >hg38_chr12:58423857-58423867(+) caacaATAGCC >hg38_chr12:58481989-58481999(-) TGACAATGAAA >hg38_chr12:58487419-58487429(+) GAACAATGAGA >hg38_chr12:58502489-58502499(+) GGACAAAGGCA >hg38_chr12:58503048-58503058(+) ATACAAAGGAA >hg38_chr12:58507843-58507853(+) AGACAATGATT >hg38_chr12:58529355-58529365(-) gaacaatagac >hg38_chr12:58567327-58567337(+) ttacaaaggta >hg38_chr12:58633139-58633149(+) ggacaatgcaa >hg38_chr12:58670353-58670363(-) caacaatgtat >hg38_chr12:58686074-58686084(+) ggacaataata >hg38_chr12:58737303-58737313(+) acacaatgaga >hg38_chr12:58737326-58737336(-) gcataatggca >hg38_chr12:58745969-58745979(+) ACACAATGGTT >hg38_chr12:58763887-58763897(+) TTACAAAGGTA >hg38_chr12:58766917-58766927(-) GAACAATAGCA >hg38_chr12:58774901-58774911(-) gaacaatagaa >hg38_chr12:58788518-58788528(-) gtaccatggaa >hg38_chr12:58812286-58812296(+) TCACAATAGTG >hg38_chr12:58814301-58814311(+) AGACAATGCAA >hg38_chr12:58834274-58834284(+) ATACAATGGGC >hg38_chr12:58861106-58861116(-) agacaatgggg >hg38_chr12:58869773-58869783(+) AAACAATGAGT >hg38_chr12:58870949-58870959(+) AGACAATGGCA >hg38_chr12:58882796-58882806(-) AAATAATGGTT >hg38_chr12:58885226-58885236(+) GAACAATGGTA >hg38_chr12:58886871-58886881(-) GAACAATGAAA >hg38_chr12:58889718-58889728(+) CCACAATGGCA >hg38_chr12:58907547-58907557(+) CCACAATGCAA >hg38_chr12:58910800-58910810(+) CTACAATGCTG >hg38_chr12:58925372-58925382(+) TTACAATGAAT >hg38_chr12:59071197-59071207(+) AAACAATAGAA >hg38_chr12:59084362-59084372(-) GCATAATGGCC >hg38_chr12:59086759-59086769(+) TCACAATAATA >hg38_chr12:59086795-59086805(-) CAACAATGATC >hg38_chr12:59098155-59098165(-) AGACAATGGCC >hg38_chr12:59167384-59167394(-) aaacaatgcaa >hg38_chr12:59167399-59167409(+) agacaatgaat >hg38_chr12:59167418-59167428(-) tcacaatgaaa >hg38_chr12:59204561-59204571(+) taacaattgta >hg38_chr12:59206868-59206878(-) gtacaatgttg >hg38_chr12:59237923-59237933(+) TTACtatggac >hg38_chr12:59322456-59322466(-) GTACAATGgta >hg38_chr12:59373264-59373274(+) CTACAATATAA >hg38_chr12:59373756-59373766(-) acacaatgagc >hg38_chr12:59428801-59428811(+) ATACAATGGCC >hg38_chr12:59431640-59431650(+) TAACAATATGA >hg38_chr12:59433488-59433498(+) TTACAATGTAG >hg38_chr12:59435717-59435727(-) ATACAATGATC >hg38_chr12:59464666-59464676(+) ctacaatgaag >hg38_chr12:59477533-59477543(-) aaacaataaac >hg38_chr12:59477559-59477569(+) aaacaataaac >hg38_chr12:59482261-59482271(+) acacaatgtgt >hg38_chr12:59500385-59500395(+) ccacaatgaag >hg38_chr12:59500407-59500417(+) atacaatgatc >hg38_chr12:59514614-59514624(+) agacaatggtt >hg38_chr12:59514659-59514669(+) agacaatgact >hg38_chr12:59514683-59514693(+) aaacaatagag >hg38_chr12:59567050-59567060(+) taacaaagggc >hg38_chr12:59594066-59594076(-) TAACAGTGGGA >hg38_chr12:59599710-59599720(-) GAACAAAGGGC >hg38_chr12:59619249-59619259(-) ATACAATGCAT >hg38_chr12:59619317-59619327(-) aaataatgggc >hg38_chr12:59620191-59620201(-) GAACAATGGTT >hg38_chr12:59625814-59625824(+) AGACAATAGCA >hg38_chr12:59630054-59630064(+) GAACAAAGGAG >hg38_chr12:59633379-59633389(-) ATACAATGTGG >hg38_chr12:59633384-59633394(-) ATACAATACAA >hg38_chr12:59657706-59657716(+) GGACAATATAT >hg38_chr12:59672523-59672533(-) aaacaatgagc >hg38_chr12:59695648-59695658(-) GAACAAAGGCA >hg38_chr12:59706065-59706075(+) atacaatagct >hg38_chr12:59719056-59719066(-) GCACAATGACC >hg38_chr12:59719114-59719124(+) ACACAATAGGT >hg38_chr12:59745326-59745336(-) TGACAATGACC >hg38_chr12:59745552-59745562(+) ACACAATGAAT >hg38_chr12:59762042-59762052(+) ATACAATGAGT >hg38_chr12:59843141-59843151(+) ATACAATGTTC >hg38_chr12:59843978-59843988(-) AAACAATAGAG >hg38_chr12:59880574-59880584(+) ACACAATAGGA >hg38_chr12:59880587-59880597(+) GGACAATGTAT >hg38_chr12:59890153-59890163(-) ttacaataaat >hg38_chr12:59979914-59979924(+) AAACAATGATA >hg38_chr12:59979920-59979930(+) TGATAATGGGC >hg38_chr12:60036015-60036025(+) TGACAATAGTA >hg38_chr12:60036043-60036053(-) GTACAATGACA >hg38_chr12:60040179-60040189(+) ACACAATGCTA >hg38_chr12:60042928-60042938(+) ATACAATAAGG >hg38_chr12:60043084-60043094(+) AGACAAAGGAA >hg38_chr12:60044271-60044281(-) AAACAATAAAT >hg38_chr12:60053733-60053743(-) ttacaataggg >hg38_chr12:60071860-60071870(-) gaataatggtg >hg38_chr12:60123562-60123572(+) TGATAATGGCA >hg38_chr12:60138571-60138581(+) tcacaatgttc >hg38_chr12:60138582-60138592(-) acacaataggg >hg38_chr12:60142685-60142695(+) TAACAATGTCT >hg38_chr12:60154151-60154161(+) AAACAATGTGT >hg38_chr12:60156205-60156215(-) caacaatgtgc >hg38_chr12:60161328-60161338(+) GCACAATGCTT >hg38_chr12:60161608-60161618(-) GGACAATAGCC >hg38_chr12:60161653-60161663(-) TGACAATGAAA >hg38_chr12:60161848-60161858(-) AAATAATGGTA >hg38_chr12:60161972-60161982(+) GGACACTGGTA >hg38_chr12:60192190-60192200(+) AGACAATGAAT >hg38_chr12:60197288-60197298(+) TAACAATGATG >hg38_chr12:60197765-60197775(+) CAACAATGAGC >hg38_chr12:60201109-60201119(-) ATACAATGCTA >hg38_chr12:60201114-60201124(-) ATACAATACAA >hg38_chr12:60201465-60201475(+) AAACAATGTCA >hg38_chr12:60219912-60219922(-) gaacaataccg >hg38_chr12:60226370-60226380(+) agacaatgtgt >hg38_chr12:60231386-60231396(+) tcacaatgtga >hg38_chr12:60258852-60258862(-) TTATAATGGAT >hg38_chr12:60258903-60258913(-) TAACAATGGTT >hg38_chr12:60277240-60277250(+) TGATAATGGTT >hg38_chr12:60277273-60277283(+) CTATAATGGGA >hg38_chr12:60328090-60328100(+) ATACAATGAAA >hg38_chr12:60344594-60344604(-) ccacaatgcat >hg38_chr12:60344663-60344673(-) taataatgata >hg38_chr12:60368424-60368434(+) caacaatggac >hg38_chr12:60386336-60386346(+) atacaatgctg >hg38_chr12:60428766-60428776(-) gaacaatgttg >hg38_chr12:60475958-60475968(+) ttacaatggta >hg38_chr12:60482177-60482187(+) ggacaatataa >hg38_chr12:60484036-60484046(+) GGACAATGCTG >hg38_chr12:60489052-60489062(+) TCACAATGTCA >hg38_chr12:60489064-60489074(+) AAACaataaca >hg38_chr12:60489070-60489080(+) taacagtggca >hg38_chr12:60501582-60501592(-) GCACAATAGCT >hg38_chr12:60535626-60535636(+) GCACAATGGCT >hg38_chr12:60535643-60535653(+) TAATAATGGGT >hg38_chr12:60535674-60535684(-) GGACAATGTCA >hg38_chr12:60580642-60580652(-) AAACAATAGCC >hg38_chr12:60580655-60580665(-) ATATAATGGAG >hg38_chr12:60594210-60594220(+) atactatgggc >hg38_chr12:60625902-60625912(+) caacaatgaat >hg38_chr12:60625960-60625970(+) taacaataatg >hg38_chr12:60814660-60814670(-) CTACAATAGAG >hg38_chr12:60814714-60814724(-) aaacaatgctg >hg38_chr12:60835010-60835020(+) taacaaaggca >hg38_chr12:60882815-60882825(-) CTACAAAGGGA >hg38_chr12:60898416-60898426(+) tatcaatggac >hg38_chr12:60902838-60902848(+) acacaaaggat >hg38_chr12:60929548-60929558(+) TGACAATGCCT >hg38_chr12:60929559-60929569(-) GAACACTGGAA >hg38_chr12:60980798-60980808(+) TCACAATGCCT >hg38_chr12:61189308-61189318(+) TAACAATGCCA >hg38_chr12:61247847-61247857(+) ggacaatgcct >hg38_chr12:61333887-61333897(+) acacaaaggtt >hg38_chr12:61367587-61367597(-) TAACAATGGAA >hg38_chr12:61452188-61452198(+) GCACAATGGGT >hg38_chr12:61511424-61511434(+) agataatgggg >hg38_chr12:61514033-61514043(+) ATACAATGTTC >hg38_chr12:61523801-61523811(-) gaacaatgcaa >hg38_chr12:61700001-61700011(+) tcacaATGTAT >hg38_chr12:61720409-61720419(-) CTACAATGGCT >hg38_chr12:61720428-61720438(+) ACATAATGGCT >hg38_chr12:61870003-61870013(-) GTACAATGGTA >hg38_chr12:61894338-61894348(+) AAACAAAGGTC >hg38_chr12:61933807-61933817(-) gcacaatgcct >hg38_chr12:62030811-62030821(+) acacaatgaaa >hg38_chr12:62102503-62102513(-) CAACAATGTGG >hg38_chr12:62102545-62102555(+) GTACAATGATT >hg38_chr12:62122520-62122530(-) cgataatgata >hg38_chr12:62132027-62132037(+) AAACAATGAAC >hg38_chr12:62205171-62205181(+) gcacaatagca >hg38_chr12:62216238-62216248(+) taacaatagtg >hg38_chr12:62242069-62242079(+) tgacaaaggaa >hg38_chr12:62242087-62242097(+) agacaatagca >hg38_chr12:62243010-62243020(+) TAACAATGTGT >hg38_chr12:62250452-62250462(-) gaacaataaaa >hg38_chr12:62250538-62250548(-) ttacaatgaat >hg38_chr12:62258591-62258601(+) Aaacaatacta >hg38_chr12:62271052-62271062(+) AAACAATGCGC >hg38_chr12:62282546-62282556(-) tcacaatgcct >hg38_chr12:62285213-62285223(-) gtacaatatac >hg38_chr12:62287471-62287481(-) caacaaaggac >hg38_chr12:62287506-62287516(-) taacaatggga >hg38_chr12:62307824-62307834(+) ttacaatgtac >hg38_chr12:62371440-62371450(+) TTACAATGTGT >hg38_chr12:62383558-62383568(+) ctacaaaggac >hg38_chr12:62400821-62400831(+) taataatgata >hg38_chr12:62402403-62402413(+) ttactatggcc >hg38_chr12:62407135-62407145(+) ttactatggac >hg38_chr12:62478244-62478254(+) agacaataata >hg38_chr12:62478777-62478787(+) AGACAGTGGAT >hg38_chr12:62484567-62484577(-) ATACAATGGAA >hg38_chr12:62491106-62491116(-) caacaatgcat >hg38_chr12:62496548-62496558(-) ACATAATGGTA >hg38_chr12:62545720-62545730(-) ATACAATAAGA >hg38_chr12:62563121-62563131(-) ATACAATGTGA >hg38_chr12:62571752-62571762(+) GAACAATGGAG >hg38_chr12:62575882-62575892(+) ACACAATGGGT >hg38_chr12:62578114-62578124(+) CCACAATGGTA >hg38_chr12:62586970-62586980(+) ACACAATAAAA >hg38_chr12:62586992-62587002(+) TTACAATAGGA >hg38_chr12:62587264-62587274(-) TTACAATGGTA >hg38_chr12:62589021-62589031(-) taacaatgtaa >hg38_chr12:62592359-62592369(-) TAACAATAAAT >hg38_chr12:62603796-62603806(+) GGACAATGGCT >hg38_chr12:62603813-62603823(-) AAACAAAGGGG >hg38_chr12:62606283-62606293(-) AAACAATAGCG >hg38_chr12:62617952-62617962(+) CTACAATGATG >hg38_chr12:62619133-62619143(-) ccacaatagca >hg38_chr12:62619175-62619185(-) aaacaaaggaa >hg38_chr12:62629648-62629658(-) GCACAATGGTC >hg38_chr12:62630458-62630468(-) AAACAAAGGTG >hg38_chr12:62635890-62635900(+) ACACAATGGAG >hg38_chr12:62652995-62653005(-) taacaataaag >hg38_chr12:62653591-62653601(-) ATACAATAGAG >hg38_chr12:62653881-62653891(-) taacaatggcc >hg38_chr12:62656112-62656122(-) GGACAATCGAG >hg38_chr12:62672758-62672768(+) TTACAATGAAC >hg38_chr12:62672786-62672796(-) GGACAATAAGA >hg38_chr12:62673592-62673602(+) GGACAATGAGC >hg38_chr12:62684538-62684548(+) CCACAATGATG >hg38_chr12:62703266-62703276(-) GAACAATGGAC >hg38_chr12:62709237-62709247(+) aaacaatggct >hg38_chr12:62710922-62710932(-) atataatagcg >hg38_chr12:62715205-62715215(+) ACACAATGCCA >hg38_chr12:62715247-62715257(+) ACACAAAGGGA >hg38_chr12:62717861-62717871(+) TAACAATGAGC >hg38_chr12:62729029-62729039(+) AGACAAAGGAa >hg38_chr12:62758003-62758013(-) TTACAATGACT >hg38_chr12:62835436-62835446(-) gcacaatagga >hg38_chr12:62837547-62837557(+) ggacaatggtt >hg38_chr12:62940767-62940777(-) aaacaatgtat >hg38_chr12:62940802-62940812(-) aaacaatgttt >hg38_chr12:62957504-62957514(+) AAACAAAGGTG >hg38_chr12:62995269-62995279(-) GAACAATAGAG >hg38_chr12:63000473-63000483(-) TTACAAAGGAT >hg38_chr12:63062154-63062164(-) taacaataact >hg38_chr12:63083246-63083256(-) CAACAATGTAT >hg38_chr12:63102705-63102715(+) ccacaatgaca >hg38_chr12:63106110-63106120(-) gaacaatggat >hg38_chr12:63136361-63136371(+) aaactatggcc >hg38_chr12:63155463-63155473(+) taacaatgctg >hg38_chr12:63163061-63163071(-) aaacaatgggg >hg38_chr12:63168599-63168609(+) GAACAATGGTG >hg38_chr12:63223890-63223900(-) ttacaataacc >hg38_chr12:63239165-63239175(+) ctacaatgtgc >hg38_chr12:63317731-63317741(-) gaacaatgcaa >hg38_chr12:63319201-63319211(+) GTACAATGGAG >hg38_chr12:63319280-63319290(-) TTACAATAGAA >hg38_chr12:63321460-63321470(+) ccacaatgcac >hg38_chr12:63383102-63383112(+) TCACAATGCCA >hg38_chr12:63383148-63383158(-) ACATAATGGTC >hg38_chr12:63387047-63387057(+) GTACAATGATC >hg38_chr12:63423653-63423663(+) GGACAATGACA >hg38_chr12:63423662-63423672(-) ATACAATAGTG >hg38_chr12:63456387-63456397(+) TTACACTGGAA >hg38_chr12:63456453-63456463(+) GAACAATGAGG >hg38_chr12:63523027-63523037(-) taaccatggac >hg38_chr12:63773939-63773949(+) acacaatgggg >hg38_chr12:63786070-63786080(+) TTACAATGGTT >hg38_chr12:63801061-63801071(-) gtacaaaggga >hg38_chr12:63806691-63806701(-) caacaatgaga >hg38_chr12:63813035-63813045(+) TAACAATGAAG >hg38_chr12:63836570-63836580(-) GCACAATATAA >hg38_chr12:63836869-63836879(+) ATACAATTGAA >hg38_chr12:63844927-63844937(-) GCACAATGACA >hg38_chr12:63845812-63845822(-) GAACAGTGGCG >hg38_chr12:63849007-63849017(+) AAACAGTGGAT >hg38_chr12:63869314-63869324(+) taacaataatg >hg38_chr12:63878293-63878303(-) gtacaatagag >hg38_chr12:63878301-63878311(-) ctacaatggta >hg38_chr12:63883094-63883104(-) GAATAATGGGG >hg38_chr12:63893300-63893310(-) TGATAATGGAC >hg38_chr12:63898383-63898393(-) TAACAATATGA >hg38_chr12:63909460-63909470(-) ATACAATTGAA >hg38_chr12:63914079-63914089(+) GAACAATGACA >hg38_chr12:63914943-63914953(-) ATACAATATTC >hg38_chr12:63938590-63938600(+) TAACAATGATG >hg38_chr12:63961649-63961659(-) caacaatgcta >hg38_chr12:63974116-63974126(+) GTACAATAGTG >hg38_chr12:63974164-63974174(+) CTACAATGTTG >hg38_chr12:63978914-63978924(-) gcacaatgggg >hg38_chr12:63982989-63982999(+) GAACAATGCGT >hg38_chr12:64021033-64021043(-) gaacaatgcag >hg38_chr12:64021051-64021061(-) ttacaatgggg >hg38_chr12:64027737-64027747(+) TAACAAAGGCC >hg38_chr12:64039272-64039282(-) GCACAATAGCT >hg38_chr12:64061571-64061581(-) ttataatgggt >hg38_chr12:64072844-64072854(+) ACACAAAGGCA >hg38_chr12:64077556-64077566(-) acacaatgtaa >hg38_chr12:64077590-64077600(-) gtataatgtaa >hg38_chr12:64083876-64083886(+) TGACAAAGGAA >hg38_chr12:64083909-64083919(-) AGACAATGGAT >hg38_chr12:64084558-64084568(+) AAACGATGGAT >hg38_chr12:64086060-64086070(-) TGACAATGGTA >hg38_chr12:64099758-64099768(+) GTACAATGCTT >hg38_chr12:64105266-64105276(-) acacaatgcag >hg38_chr12:64111194-64111204(+) TTATAATGGCT >hg38_chr12:64124918-64124928(+) tgacaatgata >hg38_chr12:64124971-64124981(-) acacaaaggag >hg38_chr12:64147834-64147844(-) AGACAAAGGCA >hg38_chr12:64161859-64161869(+) atacaatggaa >hg38_chr12:64219619-64219629(+) tcacaatatac >hg38_chr12:64237601-64237611(+) TCACAATGGaa >hg38_chr12:64279528-64279538(+) TCATAATGGAA >hg38_chr12:64279659-64279669(+) ATACAATGCTC >hg38_chr12:64280027-64280037(+) TAACAATACTA >hg38_chr12:64299587-64299597(-) ACACAATGCAT >hg38_chr12:64299614-64299624(+) ATACAAAGATA >hg38_chr12:64299996-64300006(+) gaacaatgcag >hg38_chr12:64346765-64346775(-) CAACAATAGAA >hg38_chr12:64346798-64346808(+) aaacaatgttc >hg38_chr12:64383939-64383949(+) TCACAATGCAT >hg38_chr12:64410087-64410097(+) AGACAAAGGGT >hg38_chr12:64410721-64410731(-) TTATAATGGAT >hg38_chr12:64418330-64418340(-) CCACAATGTGT >hg38_chr12:64435822-64435832(-) GGACAATAAAT >hg38_chr12:64451150-64451160(-) gcacaatggag >hg38_chr12:64482385-64482395(-) TAACAATGAAG >hg38_chr12:64545016-64545026(+) GAACAATAGCT >hg38_chr12:64549826-64549836(+) AAACAATAAAT >hg38_chr12:64561226-64561236(-) AAACAATAGTG >hg38_chr12:64584373-64584383(+) AAACAATGAGG >hg38_chr12:64619148-64619158(-) AGACAATGGAG >hg38_chr12:64624244-64624254(+) TTACAATGCAT >hg38_chr12:64638867-64638877(-) acactatggcg >hg38_chr12:64646244-64646254(-) ctacaatgcag >hg38_chr12:64651271-64651281(+) AGACAATAAAA >hg38_chr12:64651289-64651299(+) TGACAATGGTG >hg38_chr12:64691583-64691593(-) GAACAATAACT >hg38_chr12:64696839-64696849(-) TGACAATGTCA >hg38_chr12:64696863-64696873(-) GAACAATAAAG >hg38_chr12:64840364-64840374(-) ACACAAAGGCA >hg38_chr12:64891179-64891189(-) TAACAATGGGA >hg38_chr12:64894097-64894107(-) GAACAAAGGGT >hg38_chr12:64923926-64923936(-) TGACAATGGTT >hg38_chr12:64955885-64955895(-) ggACAATGACC >hg38_chr12:65001635-65001645(+) ttacaATGACC >hg38_chr12:65001649-65001659(+) TAACAATGACC >hg38_chr12:65024437-65024447(-) ACACAATGAAA >hg38_chr12:65024450-65024460(+) CCACAATACGT >hg38_chr12:65054475-65054485(-) ACACAATGACA >hg38_chr12:65080702-65080712(+) ACACAATAAAC >hg38_chr12:65103376-65103386(+) GAACAATGTCT >hg38_chr12:65111850-65111860(-) GGACAAAGGGA >hg38_chr12:65161694-65161704(+) caacaaaggac >hg38_chr12:65188694-65188704(-) caacaatgctc >hg38_chr12:65188716-65188726(-) ctataatggtg >hg38_chr12:65201191-65201201(-) ttacaataatc >hg38_chr12:65201240-65201250(-) gaacaaaggtg >hg38_chr12:65210455-65210465(+) taataatggat >hg38_chr12:65243349-65243359(+) GAACAATGTCA >hg38_chr12:65243743-65243753(+) TCACAATGACA >hg38_chr12:65247804-65247814(-) GCACAATAGGG >hg38_chr12:65261952-65261962(+) GGACGATGGTT >hg38_chr12:65267993-65268003(-) AAACAATAAGA >hg38_chr12:65275149-65275159(-) CAACAATGTCG >hg38_chr12:65290692-65290702(-) ACACAAAGGAA >hg38_chr12:65290727-65290737(+) GGACAATGCCC >hg38_chr12:65299249-65299259(-) TAACAAtggta >hg38_chr12:65309449-65309459(-) acacaatgcct >hg38_chr12:65313962-65313972(-) TCACAATGCAC >hg38_chr12:65324340-65324350(+) TAACAAAGGAG >hg38_chr12:65325956-65325966(-) TAACAATGGCA >hg38_chr12:65335263-65335273(+) TTACAATGTGA >hg38_chr12:65335309-65335319(+) TAACAGTGGAT >hg38_chr12:65411168-65411178(+) TAACAGTGGCA >hg38_chr12:65416401-65416411(+) TAACAATGTAT >hg38_chr12:65438831-65438841(+) acacaaaggaa >hg38_chr12:65438849-65438859(+) taataatgggc >hg38_chr12:65460253-65460263(+) AAACAATGCTC >hg38_chr12:65649175-65649185(+) AAACAATACGT >hg38_chr12:65673377-65673387(-) GTACAATTGAC >hg38_chr12:65675020-65675030(-) CTACAATGGTA >hg38_chr12:65675860-65675870(-) AAACAATGATA >hg38_chr12:65685621-65685631(+) AAACAATGGAG >hg38_chr12:65695074-65695084(-) AAACAAAGGCA >hg38_chr12:65722175-65722185(-) ATACAATGGGC >hg38_chr12:65746866-65746876(+) GAACAATGCCA >hg38_chr12:65781968-65781978(-) GAACAATAGAG >hg38_chr12:65823664-65823674(-) aaacaatgtgc >hg38_chr12:65830721-65830731(+) GGACAATGCAT >hg38_chr12:65857136-65857146(+) TTACAATAACA >hg38_chr12:65877113-65877123(-) GAACAATGAAT >hg38_chr12:65877442-65877452(+) ACATAATGGCT >hg38_chr12:65895295-65895305(-) GCACAATGTGC >hg38_chr12:65895776-65895786(+) GGATAATGGTG >hg38_chr12:65906253-65906263(+) TAACAATGGCT >hg38_chr12:65919685-65919695(+) TTACAATGTCT >hg38_chr12:65930068-65930078(-) TTACAATATAT >hg38_chr12:65936365-65936375(+) ATACAAAGGAA >hg38_chr12:65936378-65936388(-) GGACAATGGCA >hg38_chr12:65936567-65936577(+) TCACAGTGGTA >hg38_chr12:65948780-65948790(-) AGACAATGTCT >hg38_chr12:65955601-65955611(-) CAACAATGGGG >hg38_chr12:66010757-66010767(+) gcacaaaggga >hg38_chr12:66011307-66011317(-) agacaatgcct >hg38_chr12:66016118-66016128(+) AAACAAAGGAA >hg38_chr12:66062112-66062122(-) AAACAATGAGT >hg38_chr12:66066603-66066613(+) atacaatagtc >hg38_chr12:66107635-66107645(+) agacaatggac >hg38_chr12:66111005-66111015(+) acacaatgtgt >hg38_chr12:66116614-66116624(+) GTACAATGATA >hg38_chr12:66127358-66127368(+) ctacaatgtgc >hg38_chr12:66138265-66138275(+) TGACAATGGTG >hg38_chr12:66138907-66138917(+) ATACAATGAAT >hg38_chr12:66204457-66204467(-) AGACAAAGGGA >hg38_chr12:66232898-66232908(+) GAACAATGGAA >hg38_chr12:66262356-66262366(-) ACACAATAAAT >hg38_chr12:66262409-66262419(-) TGACAATGAGG >hg38_chr12:66273278-66273288(-) ggataatgggt >hg38_chr12:66296008-66296018(+) GCACAATGCTA >hg38_chr12:66296195-66296205(-) acacaATAGTG >hg38_chr12:66296510-66296520(+) gtacaatgcta >hg38_chr12:66296894-66296904(+) ATACAATGTTT >hg38_chr12:66296925-66296935(-) GCACAATGTGA >hg38_chr12:66315360-66315370(-) CCACAATGAGC >hg38_chr12:66315369-66315379(+) GGACAATGCTA >hg38_chr12:66318263-66318273(-) aaacaatgtga >hg38_chr12:66344146-66344156(-) acacaatgatc >hg38_chr12:66440891-66440901(+) ATACAATATAA >hg38_chr12:66442260-66442270(-) gaacaatataa >hg38_chr12:66447147-66447157(-) AGACAATGGCT >hg38_chr12:66452678-66452688(+) TTACAAAGGGG >hg38_chr12:66497225-66497235(-) ttataatggta >hg38_chr12:66499141-66499151(-) GGACAATAAAT >hg38_chr12:66571593-66571603(+) GCACAATGCCC >hg38_chr12:66597724-66597734(+) AGACAATGGGT >hg38_chr12:66601133-66601143(+) GAACAATAGGA >hg38_chr12:66602785-66602795(-) AAACAATGAGG >hg38_chr12:66607582-66607592(+) ACACAATGAAG >hg38_chr12:66644821-66644831(+) ATACAATACTA >hg38_chr12:66644826-66644836(+) ATACTATGGTA >hg38_chr12:66679142-66679152(+) TCACAATGGCT >hg38_chr12:66699681-66699691(+) atataatggaa >hg38_chr12:66726852-66726862(-) TGATAATGGGT >hg38_chr12:66746884-66746894(-) GGACAATAGAT >hg38_chr12:66752159-66752169(+) GTATAATGTAA >hg38_chr12:66752175-66752185(-) TAACAATAATA >hg38_chr12:66774164-66774174(-) acacaatgagt >hg38_chr12:66800028-66800038(+) ATACAATGCAT >hg38_chr12:66800055-66800065(+) TAACAATGATT >hg38_chr12:66803996-66804006(-) TAACAATAAAC >hg38_chr12:66804773-66804783(-) AAACAATAGCT >hg38_chr12:66836621-66836631(+) ACACAATAAAA >hg38_chr12:66837334-66837344(+) gaacaatgaag >hg38_chr12:66845835-66845845(+) GCACAAAGGAC >hg38_chr12:66845976-66845986(-) GGACAATGATG >hg38_chr12:66845998-66846008(-) GCACAATGTGT >hg38_chr12:66877658-66877668(+) TTATAATGGGA >hg38_chr12:66878055-66878065(+) TTACAAAGGGA >hg38_chr12:66915470-66915480(+) TGACAAAGGCG >hg38_chr12:66941038-66941048(+) TCACAATGCTA >hg38_chr12:67014626-67014636(-) atataatggac >hg38_chr12:67034794-67034804(-) tcataatggag >hg38_chr12:67034816-67034826(-) aaacaatggtg >hg38_chr12:67042984-67042994(+) TGACAAAGGAT >hg38_chr12:67077749-67077759(+) aaacaataaca >hg38_chr12:67080987-67080997(-) TGACAAAGGAC >hg38_chr12:67120548-67120558(+) ACACAATGCAA >hg38_chr12:67219976-67219986(-) gaacaatggag >hg38_chr12:67223972-67223982(+) ATACTATGGGG >hg38_chr12:67262927-67262937(-) TGACAATGTAG >hg38_chr12:67356090-67356100(-) CCACAATGGGA >hg38_chr12:67388921-67388931(+) AGACAATAAAT >hg38_chr12:67397254-67397264(-) taacaatggaa >hg38_chr12:67426314-67426324(+) ATACAATATCA >hg38_chr12:67496954-67496964(-) GAACAATAAAA >hg38_chr12:67520197-67520207(-) AGACAAAGGAA >hg38_chr12:67535360-67535370(+) TCACAATGCCA >hg38_chr12:67546931-67546941(-) GCACAATAGGA >hg38_chr12:67547011-67547021(+) AGACAATGGCA >hg38_chr12:67584211-67584221(+) TAACAATGGTA >hg38_chr12:67625298-67625308(+) ccacaatggac >hg38_chr12:67638017-67638027(+) GCACAATGTAC >hg38_chr12:67638031-67638041(+) CTACAATGATA >hg38_chr12:67655704-67655714(-) GCACAATGACT >hg38_chr12:67676913-67676923(-) GAACAATGGTA >hg38_chr12:67682684-67682694(-) TCACAATGACC >hg38_chr12:67688853-67688863(-) ctataatggaa >hg38_chr12:67689063-67689073(-) gaacaatatca >hg38_chr12:67689270-67689280(-) aaacaatatta >hg38_chr12:67698120-67698130(+) ACACAATGAAA >hg38_chr12:67700239-67700249(-) GAACAATGTAT >hg38_chr12:67700251-67700261(+) GCACAATGtaa >hg38_chr12:67700817-67700827(-) atacaatatag >hg38_chr12:67733894-67733904(-) TCATAATGGGC >hg38_chr12:67740875-67740885(+) AAACAATAGAG >hg38_chr12:67740933-67740943(-) GAACAATGCAT >hg38_chr12:67760590-67760600(+) AAACAATGAGA >hg38_chr12:67780847-67780857(-) aaacaatagca >hg38_chr12:67795121-67795131(-) ccacaatgcac >hg38_chr12:67803731-67803741(+) TGACAATATAT >hg38_chr12:67830083-67830093(-) CTATAATGGAC >hg38_chr12:67909802-67909812(+) AAACAATGTTT >hg38_chr12:67921510-67921520(-) GAACAAAGGGC >hg38_chr12:67935127-67935137(+) TGACAATAGTG >hg38_chr12:67943528-67943538(+) ATACAGTGGAG >hg38_chr12:67964920-67964930(+) agacaatgcct >hg38_chr12:67964934-67964944(+) acataatGGAA >hg38_chr12:67996497-67996507(+) GTACAATGAGT >hg38_chr12:67998197-67998207(-) caacaatgtca >hg38_chr12:67998225-67998235(+) aaacaatgtaa >hg38_chr12:68073832-68073842(-) AAACAATGTAA >hg38_chr12:68074433-68074443(+) TGACAAAGGGA >hg38_chr12:68080805-68080815(+) AAACAATGCTC >hg38_chr12:68123404-68123414(-) caacaatgaac >hg38_chr12:68123426-68123436(-) agacaataata >hg38_chr12:68147772-68147782(-) acacaatagtg >hg38_chr12:68147880-68147890(-) aaacaatagat >hg38_chr12:68158226-68158236(-) GGATAATGGAA >hg38_chr12:68159940-68159950(-) GCATAATGGGT >hg38_chr12:68169221-68169231(+) ctataatggga >hg38_chr12:68213034-68213044(-) gaacaataaaa >hg38_chr12:68271691-68271701(-) CGACAATGGGT >hg38_chr12:68324558-68324568(-) AGACAATAACA >hg38_chr12:68328143-68328153(+) aaacaaaggga >hg38_chr12:68395277-68395287(-) TGACAATGTCT >hg38_chr12:68400213-68400223(+) AGACAATAAGA >hg38_chr12:68418519-68418529(-) AAACAATAGCC >hg38_chr12:68423358-68423368(+) aaacaatgaga >hg38_chr12:68423431-68423441(-) gcacaatgccc >hg38_chr12:68428387-68428397(-) taacaaaggca >hg38_chr12:68429749-68429759(+) CGACAATGATT >hg38_chr12:68447671-68447681(+) GCACAATAGAA >hg38_chr12:68479589-68479599(+) ACACAATGGGT >hg38_chr12:68538947-68538957(-) AGACAAAGGGA >hg38_chr12:68565268-68565278(+) AAACAAAGGAA >hg38_chr12:68600174-68600184(-) ATATAATGGGG >hg38_chr12:68608096-68608106(+) caacaatgtat >hg38_chr12:68610475-68610485(+) GCACAAAGGAC >hg38_chr12:68617309-68617319(-) GCACAATGTAG >hg38_chr12:68630309-68630319(-) ctacaatagca >hg38_chr12:68645989-68645999(+) atacaataata >hg38_chr12:68675723-68675733(-) GGACAATGACC >hg38_chr12:68686595-68686605(+) GAACAAAGGAC >hg38_chr12:68712742-68712752(+) ccacaatagat >hg38_chr12:68717644-68717654(-) atataatggtt >hg38_chr12:68722203-68722213(-) GCACAATAGAA >hg38_chr12:68781329-68781339(-) AAACAAAGGAT >hg38_chr12:68831525-68831535(+) ggacaacggtg >hg38_chr12:68839717-68839727(-) GGACAATGCAA >hg38_chr12:68854330-68854340(+) taacaatgaat >hg38_chr12:68924705-68924715(+) TCACAATAGGC >hg38_chr12:68944132-68944142(+) AGACAATAGCC >hg38_chr12:68966411-68966421(-) AAATAATGGTC >hg38_chr12:69062504-69062514(+) gtataatggag >hg38_chr12:69068690-69068700(-) GCACAATAGGG >hg38_chr12:69090824-69090834(+) GCATAATGGTG >hg38_chr12:69091475-69091485(-) AAACAATGTGT >hg38_chr12:69091648-69091658(-) AAACAAAGACG >hg38_chr12:69096855-69096865(+) TAACAATAGAG >hg38_chr12:69098173-69098183(+) TAACAATAGAG >hg38_chr12:69099679-69099689(+) ttacaatgcaa >hg38_chr12:69099702-69099712(-) aaacaacggaa >hg38_chr12:69105853-69105863(+) caacaatagag >hg38_chr12:69162012-69162022(+) AAACAATGTGG >hg38_chr12:69179175-69179185(+) GCATAATGGAA >hg38_chr12:69179201-69179211(-) ATACAATACTA >hg38_chr12:69221476-69221486(+) TCACAATGGCT >hg38_chr12:69252553-69252563(-) atacaatagaa >hg38_chr12:69259911-69259921(-) AGACAATGTCT >hg38_chr12:69264703-69264713(-) GTACAATAATC >hg38_chr12:69268262-69268272(+) TAACAATAGTA >hg38_chr12:69283775-69283785(-) ttacaatacgg >hg38_chr12:69283823-69283833(+) gaacaatatac >hg38_chr12:69296029-69296039(+) acacaataggg >hg38_chr12:69302923-69302933(+) agacaatgaag >hg38_chr12:69302956-69302966(+) acacaatgcaa >hg38_chr12:69302969-69302979(+) atacaatatag >hg38_chr12:69314641-69314651(-) gaacaaaggca >hg38_chr12:69328697-69328707(+) tcacaatgctt >hg38_chr12:69368369-69368379(-) GAACAATGAAA >hg38_chr12:69387673-69387683(+) aaacaatgtgt >hg38_chr12:69416233-69416243(-) GAACAATGGAT >hg38_chr12:69460068-69460078(+) AAACAATGAAA >hg38_chr12:69482552-69482562(-) acacaatgcct >hg38_chr12:69492852-69492862(-) GGACAATGGCT >hg38_chr12:69498301-69498311(+) gcacaatgact >hg38_chr12:69500806-69500816(+) ATACAAAGGTA >hg38_chr12:69510030-69510040(-) ACACAATAGAA >hg38_chr12:69511658-69511668(+) TTACAAAGGAA >hg38_chr12:69537555-69537565(-) ttacaaaggaa >hg38_chr12:69550668-69550678(-) ATACAATATCT >hg38_chr12:69559535-69559545(-) CTACAAAGGTA >hg38_chr12:69560393-69560403(-) ttacaatgctg >hg38_chr12:69570268-69570278(+) CAACAATGCAT >hg38_chr12:69576638-69576648(+) GGACAATGATG >hg38_chr12:69576657-69576667(+) GAACAATAGAG >hg38_chr12:69580109-69580119(+) acacaaaggaa >hg38_chr12:69597492-69597502(-) TCATAATGGTT >hg38_chr12:69610926-69610936(-) TTATAATGGGA >hg38_chr12:69689750-69689760(+) GAACAATGCTT >hg38_chr12:69740093-69740103(-) TTACAATGGAA >hg38_chr12:69740649-69740659(-) GTACAATAGGA >hg38_chr12:69884773-69884783(-) aaacaatggtg >hg38_chr12:69895020-69895030(+) CAACAGTGGCG >hg38_chr12:69895056-69895066(-) CCACAATGGGG >hg38_chr12:69896741-69896751(+) GAACAAAGGGA >hg38_chr12:69896960-69896970(+) TAACAATAGCA >hg38_chr12:69908412-69908422(+) aaacaatgctt >hg38_chr12:69932254-69932264(-) AGACAATGATC >hg38_chr12:69954756-69954766(+) TTACAATACGA >hg38_chr12:69957687-69957697(+) ATACAATGCCT >hg38_chr12:69957694-69957704(-) TCACAATAGGC >hg38_chr12:69966655-69966665(+) GGACAATGACT >hg38_chr12:70034385-70034395(+) gtataatgata >hg38_chr12:70053151-70053161(+) acacaataccg >hg38_chr12:70053364-70053374(-) TCACAATGTGT >hg38_chr12:70079177-70079187(-) TTACAATGGAA >hg38_chr12:70091393-70091403(-) ggacaatagtg >hg38_chr12:70193394-70193404(+) TAACAATGAAC >hg38_chr12:70193401-70193411(-) AAACAATGTTC >hg38_chr12:70204475-70204485(+) CCACAATGGAT >hg38_chr12:70241085-70241095(+) gaacaaagggg >hg38_chr12:70242126-70242136(+) ACACAATGTCT >hg38_chr12:70249110-70249120(+) ATACAATGTGT >hg38_chr12:70263264-70263274(-) aaataatggct >hg38_chr12:70270323-70270333(+) AAACAATACGT >hg38_chr12:70271114-70271124(-) tgacaatggca >hg38_chr12:70288862-70288872(-) GAACAATGAGA >hg38_chr12:70288923-70288933(+) TCATAATGGAA >hg38_chr12:70343799-70343809(+) gcacaatgcta >hg38_chr12:70343805-70343815(-) TAACaatagca >hg38_chr12:70360822-70360832(+) acataatggct >hg38_chr12:70404092-70404102(+) TGACAATGTAA >hg38_chr12:70526863-70526873(+) TAACAATGATT >hg38_chr12:70578407-70578417(-) GCACAATAATA >hg38_chr12:70607015-70607025(-) CCACAATGTGC >hg38_chr12:70610144-70610154(+) GAACAATGCGC >hg38_chr12:70625778-70625788(-) TAACAATGACA >hg38_chr12:70625789-70625799(-) aaacaatgtaG >hg38_chr12:70646055-70646065(+) TAACAATGAAA >hg38_chr12:70658062-70658072(-) ataccatggta >hg38_chr12:70664085-70664095(+) TTACAATAAAA >hg38_chr12:70664511-70664521(-) GGACAATGGGG >hg38_chr12:70664757-70664767(+) AGACAATGCAA >hg38_chr12:70664821-70664831(+) ATACAATAGCT >hg38_chr12:70665013-70665023(+) TAACAATGAGA >hg38_chr12:70689442-70689452(-) TTACAAAGGGT >hg38_chr12:70691662-70691672(-) aaacaatagca >hg38_chr12:70698985-70698995(-) ATATAATGTAT >hg38_chr12:70699016-70699026(+) GAACAATAGGA >hg38_chr12:70706066-70706076(+) TAACAAAGGAG >hg38_chr12:70717055-70717065(+) AAACAACGGCT >hg38_chr12:70719866-70719876(+) TTACAATGGCA >hg38_chr12:70719911-70719921(+) AAACAATGCTC >hg38_chr12:70725424-70725434(+) GCACAATAGAA >hg38_chr12:70797592-70797602(+) caacaatggaa >hg38_chr12:70855884-70855894(+) ATACAATTGAA >hg38_chr12:70906719-70906729(-) AAACAATGTGT >hg38_chr12:70907509-70907519(-) AGACAATGGAT >hg38_chr12:70913045-70913055(+) TAACAATAAAC >hg38_chr12:70956365-70956375(+) aaacaataggg >hg38_chr12:70987895-70987905(-) tcacaatgctg >hg38_chr12:70994494-70994504(-) TAACTATGGAT >hg38_chr12:71035314-71035324(+) GAACAATGGTC >hg38_chr12:71075902-71075912(+) AAACAATGGTA >hg38_chr12:71158198-71158208(-) ATACAATGTCC >hg38_chr12:71193848-71193858(-) GAACAATGCAA >hg38_chr12:71201363-71201373(+) GTACAATAGCC >hg38_chr12:71215135-71215145(+) CCACAATGTAG >hg38_chr12:71288269-71288279(-) aaactatggag >hg38_chr12:71288282-71288292(-) gaacaatgtag >hg38_chr12:71288295-71288305(-) aaacaatggac >hg38_chr12:71343575-71343585(+) TAACAACGGAA >hg38_chr12:71346875-71346885(+) taacaatagcc >hg38_chr12:71355252-71355262(+) ttacaatgggt >hg38_chr12:71390851-71390861(-) GCACAATGTAG >hg38_chr12:71455464-71455474(-) AAACAATGTTT >hg38_chr12:71515933-71515943(+) CAACAATGTTT >hg38_chr12:71515996-71516006(+) ggacaataata >hg38_chr12:71538950-71538960(-) AGACAATGCCT >hg38_chr12:71556628-71556638(+) TAACAATAGAA >hg38_chr12:71579893-71579903(-) TAACAATAAAA >hg38_chr12:71581338-71581348(+) CAACAATGCCT >hg38_chr12:71587944-71587954(-) acataatggtg >hg38_chr12:71591779-71591789(-) AAACAATGAGC >hg38_chr12:71614129-71614139(+) CTACAATGTAT >hg38_chr12:71638912-71638922(+) AAACAATGATG >hg38_chr12:71660381-71660391(+) AAACAATGAGC >hg38_chr12:71663916-71663926(+) AAACAAAGGCT >hg38_chr12:71664423-71664433(-) GGACAATCGAG >hg38_chr12:71665210-71665220(+) gaacaatgcct >hg38_chr12:71699092-71699102(+) CTACAATGCAG >hg38_chr12:71709796-71709806(+) aaacaatagaa >hg38_chr12:71749768-71749778(-) gaacaatggtt >hg38_chr12:71750002-71750012(-) gaacaatgaga >hg38_chr12:71788404-71788414(+) CAACAATAGAC >hg38_chr12:71788815-71788825(-) TTACAATGAAA >hg38_chr12:71848825-71848835(+) GAACTATGGCT >hg38_chr12:71870102-71870112(-) AGACAATGCAC >hg38_chr12:71892217-71892227(-) ATACAATAGTA >hg38_chr12:71940526-71940536(-) ATACAATCGTA >hg38_chr12:71948431-71948441(+) TGACAATGGAA >hg38_chr12:71981578-71981588(+) TAACAATATCC >hg38_chr12:72008515-72008525(+) tcacaatggca >hg38_chr12:72025735-72025745(+) taaCAATGAGC >hg38_chr12:72029739-72029749(+) GGACAATGGAA >hg38_chr12:72035224-72035234(+) TAACAATGGGA >hg38_chr12:72062667-72062677(-) GGACAAAGGAT >hg38_chr12:72072678-72072688(+) GAACAAAGGCC >hg38_chr12:72101744-72101754(-) TAACAAAGGGA >hg38_chr12:72111466-72111476(-) GTACAATGAGC >hg38_chr12:72144756-72144766(+) gaacaatagcc >hg38_chr12:72144781-72144791(+) taacaatgcta >hg38_chr12:72211939-72211949(+) ttacaatatat >hg38_chr12:72234419-72234429(-) TCACAATGTGT >hg38_chr12:72236825-72236835(+) TAACAATGACA >hg38_chr12:72277571-72277581(-) AAACAATGAGC >hg38_chr12:72279163-72279173(+) AAACAATGCAG >hg38_chr12:72325987-72325997(+) AAACAATGATC >hg38_chr12:72326018-72326028(-) TAACAATGCCA >hg38_chr12:72345402-72345412(-) agacaatggtg >hg38_chr12:72375275-72375285(-) gaacaataaaa >hg38_chr12:72375301-72375311(+) gtacaatgcct >hg38_chr12:72384490-72384500(-) GTACAATGATC >hg38_chr12:72384497-72384507(+) GTACAATGCTG >hg38_chr12:72396311-72396321(-) ggataatggga >hg38_chr12:72418648-72418658(+) TGACAatagaa >hg38_chr12:72418692-72418702(-) aaacaatgttt >hg38_chr12:72460359-72460369(-) GTATAATGGCT >hg38_chr12:72460375-72460385(-) CTACAATGAGT >hg38_chr12:72488578-72488588(+) caacaatggaa >hg38_chr12:72488617-72488627(-) gtacaatattt >hg38_chr12:72493451-72493461(+) TCACAAAGGTA >hg38_chr12:72499185-72499195(-) CAACAATGAGA >hg38_chr12:72499205-72499215(-) GGACAATGGGC >hg38_chr12:72505413-72505423(+) GCACAAAGGAA >hg38_chr12:72507748-72507758(+) agacaatgggg >hg38_chr12:72559320-72559330(-) taacaatgggg >hg38_chr12:72559934-72559944(-) aaacaatggtg >hg38_chr12:72608438-72608448(-) TTACAATAACA >hg38_chr12:72621251-72621261(+) TAATAATGTAC >hg38_chr12:72621268-72621278(-) TCACAATGGCA >hg38_chr12:72632828-72632838(+) TCACAATGAAT >hg38_chr12:72634031-72634041(-) CCACAATGTGC >hg38_chr12:72659180-72659190(-) GAACAATGTAA >hg38_chr12:72672444-72672454(-) TGACAATAGTG >hg38_chr12:72729679-72729689(-) gaacagtggga >hg38_chr12:72752623-72752633(+) TCACAATGGCT >hg38_chr12:72752642-72752652(-) TAACAATGCCA >hg38_chr12:72830137-72830147(-) taacaataggt >hg38_chr12:72872241-72872251(+) ATACAATAGAT >hg38_chr12:72872252-72872262(-) GTACAATATTC >hg38_chr12:72888880-72888890(+) TGACAATAGGA >hg38_chr12:72925888-72925898(+) ttataatggag >hg38_chr12:72981490-72981500(-) TAACAATAACC >hg38_chr12:72989959-72989969(+) ttacactggat >hg38_chr12:73064299-73064309(+) TTACTATGGAA >hg38_chr12:73064339-73064349(+) TAACAATGCTT >hg38_chr12:73534597-73534607(+) ACACAATAGTC >hg38_chr12:73598721-73598731(+) TAACAATACAA >hg38_chr12:73729877-73729887(+) TAACAAAGGAC >hg38_chr12:73768814-73768824(+) agacaatggct >hg38_chr12:73768846-73768856(-) aaacaaaggca >hg38_chr12:73954450-73954460(+) atacaatgcag >hg38_chr12:73973629-73973639(-) GCACAATGAGG >hg38_chr12:74018947-74018957(+) ccacaatgagc >hg38_chr12:74050975-74050985(+) ATATAATGGTA >hg38_chr12:74052013-74052023(-) taACAATAGGA >hg38_chr12:74338146-74338156(+) GGACAATGACA >hg38_chr12:74338164-74338174(+) GTACAATGGCT >hg38_chr12:74341772-74341782(+) TAACAATAAAA >hg38_chr12:74365702-74365712(-) agacaatgggc >hg38_chr12:74376427-74376437(-) TGATAATGGGC >hg38_chr12:74408899-74408909(-) atacaattgaa >hg38_chr12:74408915-74408925(+) taacaATGTCT >hg38_chr12:74516192-74516202(+) GAACAATGGTA >hg38_chr12:74519023-74519033(+) GGACAATAATA >hg38_chr12:74538307-74538317(+) AGACAAAGGAG >hg38_chr12:74541304-74541314(-) AAACAAAGGAC >hg38_chr12:74601268-74601278(-) aaacaaagggg >hg38_chr12:74604357-74604367(+) agacaatgaga >hg38_chr12:74633123-74633133(-) caacaatggag >hg38_chr12:74646393-74646403(-) GAACAATGAAT >hg38_chr12:74646414-74646424(-) AAACAATGGTG >hg38_chr12:74646445-74646455(+) TTACAATAGCT >hg38_chr12:74657614-74657624(+) ATACACTGGAT >hg38_chr12:74737645-74737655(+) TAATAATGGCA >hg38_chr12:74737702-74737712(+) GGACAATGTGG >hg38_chr12:74761340-74761350(-) TAACAATGTCA >hg38_chr12:74779020-74779030(+) GCACAATGTTG >hg38_chr12:74820511-74820521(+) tcacaatgaca >hg38_chr12:74820548-74820558(-) tgacaaaggtc >hg38_chr12:74823562-74823572(-) agataatggaa >hg38_chr12:74823596-74823606(-) agacaatggag >hg38_chr12:74839426-74839436(-) ATACAATATAC >hg38_chr12:74892293-74892303(+) ATACAATGAAA >hg38_chr12:74896411-74896421(-) ctacaatagtg >hg38_chr12:74903721-74903731(-) tcacaatgatt >hg38_chr12:74911962-74911972(-) TTATAATGGGA >hg38_chr12:74916719-74916729(-) AGACAATGGTT >hg38_chr12:74967957-74967967(+) agacaatgaga >hg38_chr12:74967981-74967991(+) acacaataaat >hg38_chr12:74971631-74971641(+) ataccatggaa >hg38_chr12:74971641-74971651(+) atacaatgcca >hg38_chr12:74999022-74999032(-) AGACTATGGTA >hg38_chr12:75010050-75010060(+) AGACAATGACC >hg38_chr12:75046782-75046792(+) AAACAATGGAA >hg38_chr12:75091531-75091541(+) AAACAATAGTA >hg38_chr12:75116622-75116632(-) ATACAATATCA >hg38_chr12:75117076-75117086(-) CCACAATGCAA >hg38_chr12:75278213-75278223(-) GCACAATGTAG >hg38_chr12:75289349-75289359(-) GGACAATGAAC >hg38_chr12:75289407-75289417(+) gcacaatgcct >hg38_chr12:75301472-75301482(+) agacaataaca >hg38_chr12:75301702-75301712(-) ATATAATGTAA >hg38_chr12:75302052-75302062(+) AAACAATGCAC >hg38_chr12:75336010-75336020(+) taacaatgaat >hg38_chr12:75349432-75349442(+) ttacaatgtct >hg38_chr12:75362361-75362371(+) ggacaatgtga >hg38_chr12:75376838-75376848(-) agacaaagggc >hg38_chr12:75378915-75378925(-) TAACAATGCAA >hg38_chr12:75389970-75389980(-) AAACAATAGAC >hg38_chr12:75432866-75432876(-) gtaCAATAATA >hg38_chr12:75436412-75436422(+) ttataatggca >hg38_chr12:75480897-75480907(+) CTACAATAGCC >hg38_chr12:75515137-75515147(-) agacaatgctg >hg38_chr12:75515644-75515654(+) CTACAATAGTG >hg38_chr12:75525215-75525225(-) ATACCATGGAA >hg38_chr12:75527129-75527139(+) AAATAATGGCT >hg38_chr12:75545624-75545634(-) TAACAATGACT >hg38_chr12:75552578-75552588(+) gaacaatggta >hg38_chr12:75609479-75609489(+) agataatggga >hg38_chr12:75621737-75621747(-) tcacaatgtga >hg38_chr12:75621748-75621758(-) ttataatggta >hg38_chr12:75632672-75632682(-) ACACAAAGGCA >hg38_chr12:75635712-75635722(+) AGACAATGCTG >hg38_chr12:75646899-75646909(-) AGACAATGCGA >hg38_chr12:75649050-75649060(+) GAACAATGATT >hg38_chr12:75649060-75649070(+) TAACAAAGGAA >hg38_chr12:75659580-75659590(-) ccacaatgtgt >hg38_chr12:75685337-75685347(+) CAACAATGGAA >hg38_chr12:75690840-75690850(-) aaacaatgaat >hg38_chr12:75695193-75695203(+) agacaatgcct >hg38_chr12:75704422-75704432(+) TAACAATAGGC >hg38_chr12:75711089-75711099(+) ACACAAAGGGA >hg38_chr12:75712197-75712207(-) ATACAAAGGAA >hg38_chr12:75722816-75722826(-) GGACAATGGGG >hg38_chr12:75793483-75793493(-) gaacaatatga >hg38_chr12:75798875-75798885(+) gaacaatgcag >hg38_chr12:75820234-75820244(+) GCACAATGTGC >hg38_chr12:75837913-75837923(-) AGATAATGGCT >hg38_chr12:75849221-75849231(-) GTACAATGGCA >hg38_chr12:75862395-75862405(+) TCACAATGTAC >hg38_chr12:75862755-75862765(+) gtataatgaat >hg38_chr12:75863383-75863393(-) taacaatagag >hg38_chr12:75889464-75889474(+) GAACAAAGGAA >hg38_chr12:75900382-75900392(-) GAACAATTGTA >hg38_chr12:75900779-75900789(+) AGACAAAGGGC >hg38_chr12:75900830-75900840(+) GAACAATGAAC >hg38_chr12:75959305-75959315(+) TAACAAAGGCT >hg38_chr12:75959805-75959815(-) TCACAATGTGT >hg38_chr12:75959991-75960001(+) ATACAATAGAT >hg38_chr12:75966002-75966012(-) ACACAATGTAC >hg38_chr12:75966040-75966050(+) AGACAATGAAA >hg38_chr12:75986620-75986630(+) TGACAATGTAC >hg38_chr12:76006682-76006692(-) CAACAAAGGAC >hg38_chr12:76025684-76025694(+) AGACAATAGTT >hg38_chr12:76026954-76026964(-) GGACAAAGGAA >hg38_chr12:76028631-76028641(+) AGATAATGGAA >hg38_chr12:76030048-76030058(+) AGACAATAGAA >hg38_chr12:76032640-76032650(+) AAACAATGCCT >hg38_chr12:76032937-76032947(-) ACACAAAGGAT >hg38_chr12:76032987-76032997(+) GAACAAAGGAC >hg38_chr12:76055632-76055642(-) TCACAATGGTT >hg38_chr12:76066849-76066859(+) taacaatagaa >hg38_chr12:76080173-76080183(+) ttataatggag >hg38_chr12:76086232-76086242(+) CAACAATGATG >hg38_chr12:76091072-76091082(-) AAACAATGTCC >hg38_chr12:76117445-76117455(+) taacaatgccc >hg38_chr12:76143636-76143646(+) GTACAATAGCA >hg38_chr12:76143645-76143655(-) TTACAATGATG >hg38_chr12:76177871-76177881(-) CAACAATAGCA >hg38_chr12:76249800-76249810(-) AAACAAAGGAA >hg38_chr12:76266445-76266455(-) caacaatgact >hg38_chr12:76266498-76266508(-) aaacaatatat >hg38_chr12:76271959-76271969(+) GGACAATAGGA >hg38_chr12:76280516-76280526(+) GGACAATGGCT >hg38_chr12:76285522-76285532(+) TTACAATAAAC >hg38_chr12:76334886-76334896(-) gaacaatgcct >hg38_chr12:76344237-76344247(-) acacaatagat >hg38_chr12:76348571-76348581(-) GTACAACGGGC >hg38_chr12:76354713-76354723(+) GAACAATAGGA >hg38_chr12:76384010-76384020(+) CTATAATGGGC >hg38_chr12:76399457-76399467(+) TAACAATATAT >hg38_chr12:76399890-76399900(+) TAACAATAACA >hg38_chr12:76411293-76411303(+) TTACAAAGGTA >hg38_chr12:76421070-76421080(-) GAACAATAGAA >hg38_chr12:76421411-76421421(+) GGACTATGGTT >hg38_chr12:76431241-76431251(+) gcacaatagat >hg38_chr12:76431666-76431676(+) ttacaataaaa >hg38_chr12:76432906-76432916(+) acactatggtc >hg38_chr12:76486268-76486278(+) GAACAAAGGAC >hg38_chr12:76507133-76507143(+) AGACAATGTTC >hg38_chr12:76507145-76507155(-) GAACAATAGAG >hg38_chr12:76518951-76518961(-) agacaatggga >hg38_chr12:76531227-76531237(-) ATACAATGACT >hg38_chr12:76535606-76535616(+) ttacaataacc >hg38_chr12:76547311-76547321(+) TTACAATAGTA >hg38_chr12:76559254-76559264(+) GAACAAAGGAG >hg38_chr12:76568328-76568338(-) TAACAATGTGC >hg38_chr12:76568693-76568703(-) taacaataatt >hg38_chr12:76568717-76568727(+) tgataatggta >hg38_chr12:76570750-76570760(-) TTACAATGGAG >hg38_chr12:76570759-76570769(+) AAACTATGGta >hg38_chr12:76621705-76621715(+) GAACAAAGGGT >hg38_chr12:76634376-76634386(+) AGACAAAGGGC >hg38_chr12:76657438-76657448(-) gaacaaaggca >hg38_chr12:76672001-76672011(-) taacaATGGGC >hg38_chr12:76689773-76689783(+) ctacaatgaac >hg38_chr12:76691634-76691644(-) CAACAATGAAa >hg38_chr12:76707121-76707131(+) GGACAATGTAT >hg38_chr12:76718778-76718788(+) ATACAATTGAA >hg38_chr12:76762739-76762749(+) TTACAAAGGAG >hg38_chr12:76781423-76781433(-) tcacaatgaca >hg38_chr12:76795380-76795390(-) CTACAATGTAC >hg38_chr12:76817914-76817924(-) CTACAATAATA >hg38_chr12:76817970-76817980(-) GTACAATAATT >hg38_chr12:76846763-76846773(+) TGACAAAGGTC >hg38_chr12:76866491-76866501(+) AGACAAAGGAC >hg38_chr12:76866511-76866521(+) GTACAATAGTA >hg38_chr12:76869731-76869741(+) CGACAATAGGA >hg38_chr12:76877616-76877626(+) CTACAATGCAG >hg38_chr12:76877665-76877675(+) TGACAATGGAC >hg38_chr12:76878369-76878379(+) AAACAATGGGG >hg38_chr12:76920134-76920144(-) GAACAATGGAG >hg38_chr12:76920826-76920836(-) agacaaaggca >hg38_chr12:76942429-76942439(-) GCACAATGCTT >hg38_chr12:76954793-76954803(-) gcacaatggaa >hg38_chr12:76962336-76962346(-) ACACAATGTCA >hg38_chr12:77035397-77035407(+) gtacaatgcag >hg38_chr12:77037500-77037510(+) caacaatggca >hg38_chr12:77037558-77037568(+) gtacaatacat >hg38_chr12:77052365-77052375(+) ggacaatggaa >hg38_chr12:77053439-77053449(-) gtacactggag >hg38_chr12:77087630-77087640(-) atacaatcgca >hg38_chr12:77101985-77101995(+) GGACAATATCG >hg38_chr12:77116070-77116080(-) CAACAATGGCT >hg38_chr12:77120441-77120451(-) aaataatgggc >hg38_chr12:77120897-77120907(+) CTACAATGGGC >hg38_chr12:77123284-77123294(+) gaacaatgaag >hg38_chr12:77163904-77163914(+) ACATAATGGGT >hg38_chr12:77163924-77163934(-) GAACAATGAGT >hg38_chr12:77214686-77214696(-) ACACAATAGTT >hg38_chr12:77214946-77214956(-) GGATAATGGAT >hg38_chr12:77238527-77238537(-) aaacaatatag >hg38_chr12:77251467-77251477(-) AGACAATGGGG >hg38_chr12:77251513-77251523(+) TCACAATAGGG >hg38_chr12:77255223-77255233(+) TTACAATAGAA >hg38_chr12:77255262-77255272(-) TGACAATAGCA >hg38_chr12:77275212-77275222(+) TAACAATAACT >hg38_chr12:77284951-77284961(+) AAACAAAGGAG >hg38_chr12:77284984-77284994(+) ACACAATGGAT >hg38_chr12:77319429-77319439(-) TAACAATGAAA >hg38_chr12:77342939-77342949(+) TGACAATAGGG >hg38_chr12:77344841-77344851(+) TTACAATAGGA >hg38_chr12:77384508-77384518(+) ACACAATACGA >hg38_chr12:77392943-77392953(+) ATACTATGGCA >hg38_chr12:77392973-77392983(-) GCACAATGAGG >hg38_chr12:77402753-77402763(+) TAACAATAGAA >hg38_chr12:77402791-77402801(-) GAACAATGCCA >hg38_chr12:77411998-77412008(-) CTACAATGTGA >hg38_chr12:77470241-77470251(-) CTATAATGGTC >hg38_chr12:77470511-77470521(+) TAATAATGGCA >hg38_chr12:77478329-77478339(-) TGACAATGGAG >hg38_chr12:77504355-77504365(-) GCACAATGGGA >hg38_chr12:77510332-77510342(+) ACACAATGGAA >hg38_chr12:77518726-77518736(-) AAACAGTGGAT >hg38_chr12:77601927-77601937(+) GAACAATGCCT >hg38_chr12:77608325-77608335(+) AAACAATGAAG >hg38_chr12:77615574-77615584(-) acacaatggga >hg38_chr12:77626958-77626968(+) aaacaataaaa >hg38_chr12:77626976-77626986(+) ggacaaaggat >hg38_chr12:77639359-77639369(+) gaacaatgaag >hg38_chr12:77652380-77652390(-) GTACAATAAAG >hg38_chr12:77680553-77680563(-) taacattggcg >hg38_chr12:77702573-77702583(-) aaacaaaggaa >hg38_chr12:77730569-77730579(-) gaacaatgcaa >hg38_chr12:77731173-77731183(+) gaacaatgaag >hg38_chr12:77750725-77750735(+) ATACAATAGCA >hg38_chr12:77760465-77760475(-) AAACAATAGCC >hg38_chr12:77764220-77764230(-) ATACAATGTAC >hg38_chr12:77764234-77764244(-) AAACAATGCAG >hg38_chr12:77775793-77775803(+) TTACAATGAGA >hg38_chr12:77777404-77777414(-) tcacaatgcct >hg38_chr12:77811721-77811731(+) AGACAATGGCA >hg38_chr12:77811745-77811755(+) AAACAAAGGGA >hg38_chr12:77823029-77823039(+) ggacaatagac >hg38_chr12:77888732-77888742(-) ACACAATGCTT >hg38_chr12:77939709-77939719(-) TTACAATGTAG >hg38_chr12:77943680-77943690(+) TAACACTGGGA >hg38_chr12:77947925-77947935(+) GAACAAAGGTG >hg38_chr12:77993216-77993226(-) AAACAATATAT >hg38_chr12:77993224-77993234(-) TGACAATGAAA >hg38_chr12:77995553-77995563(-) ACACAAAGGAT >hg38_chr12:77997996-77998006(-) AAACAATAACA >hg38_chr12:78018893-78018903(+) CCACAATAGAT >hg38_chr12:78035598-78035608(+) ACACAATGTGC >hg38_chr12:78040403-78040413(+) AAACAATGAAT >hg38_chr12:78062967-78062977(+) GCACAATGGCA >hg38_chr12:78063017-78063027(-) TAACAATACAA >hg38_chr12:78063606-78063616(+) AAACAAAGGCC >hg38_chr12:78063634-78063644(+) ATACAATGTGC >hg38_chr12:78067251-78067261(-) TTACTATGGAG >hg38_chr12:78088398-78088408(+) AAACAATGTTA >hg38_chr12:78098780-78098790(+) ACACAAAGGAC >hg38_chr12:78098793-78098803(+) TGACAATGTCT >hg38_chr12:78099103-78099113(+) atacaataatt >hg38_chr12:78099164-78099174(+) ttacaatagtt >hg38_chr12:78118371-78118381(+) TAACAATAGCA >hg38_chr12:78121385-78121395(+) CGACAATGTCA >hg38_chr12:78129005-78129015(-) aaacaatgaca >hg38_chr12:78146483-78146493(+) ATACAATAGCA >hg38_chr12:78161897-78161907(+) TAACAATATAC >hg38_chr12:78161969-78161979(+) gtacaaaggga >hg38_chr12:78183574-78183584(-) ACACAATagtg >hg38_chr12:78185845-78185855(+) GGACAATAAAA >hg38_chr12:78194994-78195004(-) TAACAATAATT >hg38_chr12:78209739-78209749(-) GAACAATAGTG >hg38_chr12:78212898-78212908(-) GAACAATAAAC >hg38_chr12:78241603-78241613(+) acacaatagtc >hg38_chr12:78245570-78245580(+) AAACTATGGCA >hg38_chr12:78286575-78286585(+) TCACAATGGTG >hg38_chr12:78316895-78316905(-) GAACAATGCTT >hg38_chr12:78316909-78316919(+) TAACTATGGCA >hg38_chr12:78331891-78331901(+) AAACAATGATC >hg38_chr12:78331954-78331964(+) GCACAATGCTG >hg38_chr12:78376118-78376128(+) ccacaatgtgc >hg38_chr12:78389535-78389545(+) agacaatagga >hg38_chr12:78397308-78397318(+) TCACAATGAGA >hg38_chr12:78406020-78406030(-) TTACAATAAGA >hg38_chr12:78406036-78406046(-) TTACAATGATA >hg38_chr12:78418203-78418213(+) TCACAATGGTG >hg38_chr12:78427794-78427804(-) CGATAATGATA >hg38_chr12:78445751-78445761(-) GGACAATGCCA >hg38_chr12:78445781-78445791(-) TGACAATAATA >hg38_chr12:78476926-78476936(-) gcacaaaggat >hg38_chr12:78504852-78504862(+) GTACAATAATT >hg38_chr12:78531063-78531073(-) ACACAATGTGA >hg38_chr12:78531097-78531107(+) agacaatgaat >hg38_chr12:78534428-78534438(-) aaacaatgtga >hg38_chr12:78537082-78537092(-) ATACAATGAGA >hg38_chr12:78562062-78562072(+) GCACAATGACA >hg38_chr12:78562589-78562599(-) gcacaatagcc >hg38_chr12:78562809-78562819(-) agataatggtc >hg38_chr12:78562851-78562861(+) gcacaatgccc >hg38_chr12:78591837-78591847(+) TAACAATGTAG >hg38_chr12:78623141-78623151(+) GTACAATGATA >hg38_chr12:78628138-78628148(-) CTACAATGCTG >hg38_chr12:78640393-78640403(-) GAACAATAACT >hg38_chr12:78647695-78647705(+) TAACAATGAAA >hg38_chr12:78666622-78666632(+) ttacaatagga >hg38_chr12:78747665-78747675(+) atacaaaggat >hg38_chr12:78800803-78800813(-) GAACAATAATC >hg38_chr12:78808350-78808360(-) CAACAATAGGA >hg38_chr12:78853922-78853932(+) caacaatgctc >hg38_chr12:78853954-78853964(+) tcacaatgccc >hg38_chr12:78872541-78872551(-) acacaaaggaa >hg38_chr12:78880245-78880255(-) gaacaaaggat >hg38_chr12:78931695-78931705(-) GAACAATAGCA >hg38_chr12:78963891-78963901(+) tcacaatagca >hg38_chr12:78994315-78994325(-) GAACAATAATA >hg38_chr12:78994372-78994382(-) TTACAAAGGGA >hg38_chr12:78994402-78994412(-) GAACAATAGGC >hg38_chr12:79016451-79016461(-) CAACAATGTTT >hg38_chr12:79016459-79016469(-) TAACAATGCAA >hg38_chr12:79054981-79054991(+) TAACAAAGGAG >hg38_chr12:79055240-79055250(-) AAACAATGAAC >hg38_chr12:79055542-79055552(-) TTACAAAGGAG >hg38_chr12:79057204-79057214(-) GTACAATGGAG >hg38_chr12:79058043-79058053(-) GAACAATGGAT >hg38_chr12:79111132-79111142(+) CTACAATGAAA >hg38_chr12:79112928-79112938(+) AGACAAAGGAA >hg38_chr12:79113210-79113220(-) GCACAATATAC >hg38_chr12:79166997-79167007(+) aaacaatgaag >hg38_chr12:79167376-79167386(-) AGACAATGCTT >hg38_chr12:79196734-79196744(-) GTACAATGCAT >hg38_chr12:79237535-79237545(+) AAACAATAGTC >hg38_chr12:79237599-79237609(+) AAACAATAGGG >hg38_chr12:79247689-79247699(+) caacaatgGCA >hg38_chr12:79263980-79263990(-) AGACAATAGAA >hg38_chr12:79289116-79289126(+) GAACAATGTAA >hg38_chr12:79304204-79304214(+) acacaataggc >hg38_chr12:79304249-79304259(-) ATACAATAAAC >hg38_chr12:79332263-79332273(-) ACACAATGCAA >hg38_chr12:79351506-79351516(-) CCACAATAGCC >hg38_chr12:79365455-79365465(+) gaacaatatag >hg38_chr12:79369582-79369592(+) CTACAATAGGA >hg38_chr12:79369597-79369607(+) ATACAATAGAA >hg38_chr12:79371390-79371400(+) TCACAATGAAT >hg38_chr12:79408192-79408202(-) CGACAATGAAC >hg38_chr12:79411899-79411909(-) aaacaatgttg >hg38_chr12:79411959-79411969(-) caacaatagga >hg38_chr12:79419442-79419452(+) TAACAAAGGAT >hg38_chr12:79419459-79419469(+) GTACAATGCAG >hg38_chr12:79419478-79419488(+) AGATAATGGGA >hg38_chr12:79522398-79522408(+) TAACAATGCCA >hg38_chr12:79547527-79547537(+) TGACAATGAGG >hg38_chr12:79556910-79556920(-) TAATAATGGCT >hg38_chr12:79577105-79577115(+) taacaataaaa >hg38_chr12:79584938-79584948(-) TAACAATAATG >hg38_chr12:79586579-79586589(+) TAACAATAGTG >hg38_chr12:79630975-79630985(+) ggacaatgacc >hg38_chr12:79635958-79635968(-) CCACAATGTCT >hg38_chr12:79651005-79651015(-) ATACAATGAAA >hg38_chr12:79651043-79651053(+) GTACAATAGGA >hg38_chr12:79659536-79659546(-) GGACAATGTAT >hg38_chr12:79660014-79660024(+) GAACAATACAC >hg38_chr12:79662554-79662564(+) CAATAATGGAC >hg38_chr12:79670154-79670164(-) AAACAATAGAA >hg38_chr12:79670198-79670208(+) TAACAATGACA >hg38_chr12:79679392-79679402(+) TGACACTGGTA >hg38_chr12:79685345-79685355(+) GCATAATGGGA >hg38_chr12:79689112-79689122(-) GGACAATAGGG >hg38_chr12:79717287-79717297(+) AAACCATGGTA >hg38_chr12:79726199-79726209(-) AGACAATGAAA >hg38_chr12:79728980-79728990(+) atacaatagat >hg38_chr12:79738278-79738288(-) GTACAATAAAT >hg38_chr12:79739136-79739146(+) TGACAATGATG >hg38_chr12:79755718-79755728(-) aaacagtggga >hg38_chr12:79784528-79784538(+) TGACAATGAAG >hg38_chr12:79794354-79794364(+) ggacaatgcga >hg38_chr12:79798213-79798223(+) ACACAAAGGCA >hg38_chr12:79798241-79798251(+) ATACAATAGTA >hg38_chr12:79803634-79803644(+) CAACAATGTAT >hg38_chr12:79805201-79805211(+) TAATAATGGGA >hg38_chr12:79805214-79805224(+) AAACAATGAGA >hg38_chr12:79819013-79819023(+) TTACAATGGGT >hg38_chr12:79824804-79824814(+) ACACAATGCTG >hg38_chr12:79841470-79841480(-) taacaataaaa >hg38_chr12:79844920-79844930(-) atacaatgagg >hg38_chr12:79874807-79874817(-) gaacaatgagt >hg38_chr12:79874851-79874861(+) ccacaataggg >hg38_chr12:79874892-79874902(-) gaacaaaggct >hg38_chr12:79886628-79886638(+) tcacaatatat >hg38_chr12:79887129-79887139(-) GCACAAAGGAA >hg38_chr12:79887138-79887148(+) GCACAATGCCT >hg38_chr12:79904791-79904801(+) ttacaaaggcc >hg38_chr12:79925651-79925661(+) TGACAATGTGG >hg38_chr12:79932054-79932064(+) TGACAATGAAA >hg38_chr12:79932860-79932870(+) CAACAATAGTA >hg38_chr12:79933540-79933550(-) TAACAATGAAA >hg38_chr12:79978431-79978441(-) ATACAATAAAA >hg38_chr12:79984965-79984975(-) ATACAATGAAT >hg38_chr12:79988029-79988039(-) ACACAATGTAC >hg38_chr12:79988622-79988632(-) ctacaatgcct >hg38_chr12:80012922-80012932(-) taacaatggac >hg38_chr12:80030272-80030282(-) AGATAATGGGC >hg38_chr12:80051592-80051602(-) taacaatgctg >hg38_chr12:80051650-80051660(-) ccacaatgaga >hg38_chr12:80064076-80064086(-) TTACAATGTAA >hg38_chr12:80064127-80064137(-) GAACAATGTTT >hg38_chr12:80100775-80100785(-) GCACAATGTCT >hg38_chr12:80101241-80101251(+) ATACAATGGTG >hg38_chr12:80150635-80150645(-) TCACAATGAAT >hg38_chr12:80150667-80150677(-) GAACAATAACT >hg38_chr12:80195188-80195198(+) CAACAATGAAA >hg38_chr12:80203506-80203516(-) gaataatggtg >hg38_chr12:80206355-80206365(-) GAACAATAACA >hg38_chr12:80206370-80206380(-) GAACAATGGCA >hg38_chr12:80211572-80211582(+) GAACAAAGGGA >hg38_chr12:80212068-80212078(+) CAACAATGAGA >hg38_chr12:80215946-80215956(+) AGACAATGAAC >hg38_chr12:80215961-80215971(-) ACACAATGGTT >hg38_chr12:80294227-80294237(-) TAACAATAGCA >hg38_chr12:80320480-80320490(+) TGACAATGATA >hg38_chr12:80349513-80349523(+) AAACAATGACC >hg38_chr12:80352619-80352629(-) gcacaaaggga >hg38_chr12:80354497-80354507(-) AGACAATGCAT >hg38_chr12:80359550-80359560(+) AAACAATGTGT >hg38_chr12:80380824-80380834(-) aaacaatgctg >hg38_chr12:80380850-80380860(+) caacaatagtt >hg38_chr12:80425196-80425206(+) GGACAATGCCT >hg38_chr12:80442989-80442999(-) AAACAATATAG >hg38_chr12:80469164-80469174(+) gaacaatggac >hg38_chr12:80469990-80470000(+) gaacaatgggt >hg38_chr12:80473542-80473552(-) AGACAATAGTT >hg38_chr12:80497995-80498005(+) ATACAATGAGA >hg38_chr12:80498024-80498034(+) AGATAATGGCA >hg38_chr12:80509484-80509494(+) TAACAATGAAC >hg38_chr12:80509536-80509546(-) GAATAATGGAA >hg38_chr12:80510957-80510967(-) ATACAATGTTG >hg38_chr12:80528981-80528991(+) TGACAATAGGA >hg38_chr12:80528990-80529000(+) GAACAATAACA >hg38_chr12:80539307-80539317(+) TAATAATAGCG >hg38_chr12:80544296-80544306(-) Caacaatagct >hg38_chr12:80544348-80544358(-) TTACAATGTTG >hg38_chr12:80567103-80567113(+) AAACAATAGTT >hg38_chr12:80598190-80598200(-) GCACAATAGTG >hg38_chr12:80602113-80602123(-) GTACAATAATC >hg38_chr12:80620807-80620817(+) TAACAATAACT >hg38_chr12:80627489-80627499(-) GTACAATGCCT >hg38_chr12:80632961-80632971(+) TCACAATGAAT >hg38_chr12:80632971-80632981(+) TAACAATAATG >hg38_chr12:80634522-80634532(+) ACACAATGGGG >hg38_chr12:80656843-80656853(+) AAACAATAAAA >hg38_chr12:80714576-80714586(+) ctacaatgggc >hg38_chr12:80749760-80749770(+) GAATAATGGAG >hg38_chr12:80749770-80749780(-) AGACAATGAAC >hg38_chr12:80758288-80758298(+) atacaatgcac >hg38_chr12:80763464-80763474(-) ATACAATGGTT >hg38_chr12:80767064-80767074(-) gaacaatgagc >hg38_chr12:80768982-80768992(+) AAACAATGAAA >hg38_chr12:80781521-80781531(-) atacaatgggc >hg38_chr12:80781530-80781540(-) agacaatggat >hg38_chr12:80794226-80794236(-) gcacaatgtag >hg38_chr12:80802399-80802409(-) tcacaatagct >hg38_chr12:80802408-80802418(+) gaacaatgctg >hg38_chr12:80820074-80820084(+) atacaattgat >hg38_chr12:80820140-80820150(+) CAACAATGTCG >hg38_chr12:80847059-80847069(+) AAACAATGGCT >hg38_chr12:80847102-80847112(-) TGACAATGAGG >hg38_chr12:80872128-80872138(+) GAACAATAATC >hg38_chr12:80872156-80872166(-) TCACAATGGCA >hg38_chr12:80895085-80895095(-) TCACAATGGTC >hg38_chr12:80895522-80895532(+) TAACAATATGA >hg38_chr12:80903304-80903314(-) agacaataata >hg38_chr12:80903573-80903583(-) agataatgggg >hg38_chr12:80903623-80903633(-) acacaatagca >hg38_chr12:80907132-80907142(-) gcacaatgcta >hg38_chr12:80907396-80907406(-) TGACAATGAAA >hg38_chr12:80910019-80910029(+) gaacaatggta >hg38_chr12:80910064-80910074(+) caacaatgttt >hg38_chr12:80910381-80910391(-) gtacaataagg >hg38_chr12:80913258-80913268(+) CAACAAAGGAC >hg38_chr12:80917417-80917427(+) TCACAATAGCT >hg38_chr12:80925845-80925855(-) agataatggac >hg38_chr12:80951630-80951640(+) GAACAATGAAC >hg38_chr12:80988819-80988829(-) taacaatggac >hg38_chr12:81010484-81010494(-) tcacaatgtct >hg38_chr12:81011165-81011175(-) acacaatagtt >hg38_chr12:81011176-81011186(+) gtacaatgtat >hg38_chr12:81011223-81011233(+) aaacaatagaa >hg38_chr12:81019217-81019227(+) ccacaatgaaa >hg38_chr12:81019698-81019708(+) ggacaatgcta >hg38_chr12:81020853-81020863(+) gaacaatgagg >hg38_chr12:81086551-81086561(+) GAACAATGGAA >hg38_chr12:81089697-81089707(+) tgataatggtg >hg38_chr12:81112640-81112650(-) ACACAATGCTT >hg38_chr12:81141431-81141441(+) AAACAATGATG >hg38_chr12:81148246-81148256(-) TAACAATAGGT >hg38_chr12:81170300-81170310(+) ATACAATGAAT >hg38_chr12:81170385-81170395(-) TAACAATGGTA >hg38_chr12:81183865-81183875(+) caacaatgaat >hg38_chr12:81205665-81205675(-) GAACAATGGAA >hg38_chr12:81206262-81206272(+) AGATAATGGAT >hg38_chr12:81207371-81207381(+) aaacaatgtgg >hg38_chr12:81208685-81208695(-) GAACAATGGGA >hg38_chr12:81223204-81223214(-) CAACAATGGAT >hg38_chr12:81223581-81223591(-) ctacaatgagg >hg38_chr12:81230877-81230887(+) GTACAATGGTC >hg38_chr12:81246424-81246434(-) TGAcaatgagt >hg38_chr12:81258070-81258080(-) GAACAATGAGA >hg38_chr12:81304168-81304178(-) GTACTATGGGG >hg38_chr12:81381827-81381837(-) CGACAATGCCT >hg38_chr12:81392970-81392980(-) tgacaatggtg >hg38_chr12:81402148-81402158(+) AAACAAAGGGT >hg38_chr12:81412965-81412975(-) GAACAAAGGTT >hg38_chr12:81417613-81417623(-) GAACAATGGGT >hg38_chr12:81431357-81431367(+) TAACAATAATA >hg38_chr12:81431387-81431397(-) ATACAATAAAG >hg38_chr12:81431433-81431443(+) GGACAATGGCC >hg38_chr12:81440199-81440209(+) ATACAATGAAT >hg38_chr12:81440208-81440218(-) TGACAATGCAT >hg38_chr12:81440221-81440231(-) TGACAATACGA >hg38_chr12:81488452-81488462(+) agacaaaggac >hg38_chr12:81488498-81488508(-) TGATAATGGAT >hg38_chr12:81490840-81490850(+) TCACAATGGAC >hg38_chr12:81627813-81627823(-) ATACAATGTTT >hg38_chr12:81694232-81694242(+) agacaatggaa >hg38_chr12:81757959-81757969(-) AGACAATGGCT >hg38_chr12:81758697-81758707(-) TGACAATGGTC >hg38_chr12:81759647-81759657(+) ACACAATGGGC >hg38_chr12:81782180-81782190(+) TAACAATAGAA >hg38_chr12:81801106-81801116(-) TTACAGTGGCG >hg38_chr12:81990631-81990641(+) ttacaatggtg >hg38_chr12:82070210-82070220(-) agacaatgggg >hg38_chr12:82146841-82146851(+) agacaatgggg >hg38_chr12:82182846-82182856(+) TTACTATGGCT >hg38_chr12:82182857-82182867(+) AGACAAAGGAA >hg38_chr12:82267171-82267181(-) CAACAATGAAA >hg38_chr12:82321751-82321761(-) GCACAATAGGC >hg38_chr12:82344206-82344216(-) acacaatgcat >hg38_chr12:82382214-82382224(-) TCACAATGACA >hg38_chr12:82456230-82456240(-) TAATAATGTAC >hg38_chr12:82476040-82476050(+) atacaattgac >hg38_chr12:82490107-82490117(+) ctacaaaggaa >hg38_chr12:82507119-82507129(+) gaacaataggg >hg38_chr12:82581196-82581206(+) agacaatgtag >hg38_chr12:82595562-82595572(-) GGACAATGTGA >hg38_chr12:82625707-82625717(+) AGACAATGGCA >hg38_chr12:82636470-82636480(+) agacaataaaa >hg38_chr12:82636924-82636934(+) tcacaatgcaa >hg38_chr12:82665536-82665546(-) TAACAATGGGC >hg38_chr12:82695142-82695152(-) AAACAATGTTG >hg38_chr12:82708784-82708794(-) aaacaatgtaa >hg38_chr12:82731159-82731169(-) AGACAATGCAC >hg38_chr12:82740318-82740328(-) gaacaatgcct >hg38_chr12:82746036-82746046(-) AAACAATGCTC >hg38_chr12:82746457-82746467(+) GTATAATGGGG >hg38_chr12:82747680-82747690(+) TAACAATATCG >hg38_chr12:82756527-82756537(+) GGACAAAGGAA >hg38_chr12:82779100-82779110(+) aaacaatagtg >hg38_chr12:82781810-82781820(-) GGACAATGCCC >hg38_chr12:82782751-82782761(+) GTACAATGTGG >hg38_chr12:82788504-82788514(+) TAACAATGCTG >hg38_chr12:82789377-82789387(+) CTATAATGGTT >hg38_chr12:82789400-82789410(+) AAACAATATAA >hg38_chr12:82789644-82789654(+) GAACAAAGGCA >hg38_chr12:82794249-82794259(-) ggacaaaggat >hg38_chr12:82842293-82842303(-) ACACAATAAAA >hg38_chr12:82858064-82858074(+) AAACAATGAAT >hg38_chr12:82863106-82863116(-) GGACAATGCCA >hg38_chr12:82878075-82878085(-) gaacaatgaaC >hg38_chr12:82890410-82890420(-) GTACAATAAGA >hg38_chr12:82922889-82922899(-) gtacaatgtgg >hg38_chr12:82950561-82950571(-) tcacaatgaac >hg38_chr12:82950612-82950622(+) tcacaaagGTA >hg38_chr12:82961924-82961934(+) TCACAATAGTC >hg38_chr12:82981057-82981067(-) GAACAATGCAT >hg38_chr12:82989289-82989299(+) GAACAAAGGAA >hg38_chr12:82989306-82989316(+) GAACAATAACT >hg38_chr12:83041722-83041732(-) GCACAATGTCT >hg38_chr12:83063533-83063543(-) tcacaaaggta >hg38_chr12:83064177-83064187(+) TTACAATGGAT >hg38_chr12:83066944-83066954(-) taactatggcc >hg38_chr12:83092173-83092183(-) ACACAATGGCA >hg38_chr12:83092826-83092836(-) AGACAAAGGAC >hg38_chr12:83124105-83124115(+) AGACAATGAAG >hg38_chr12:83225811-83225821(-) tcacaatgcat >hg38_chr12:83318487-83318497(-) GGACAATGAAT >hg38_chr12:83417636-83417646(+) atacaatgggc >hg38_chr12:83449380-83449390(-) gaACAATGCTA >hg38_chr12:83559910-83559920(+) TAACAATGTCC >hg38_chr12:83629065-83629075(+) TTACAACGGTC >hg38_chr12:83640596-83640606(-) aaacaatgaca >hg38_chr12:83640923-83640933(-) taacaatggat >hg38_chr12:83640989-83640999(-) agacaaaggga >hg38_chr12:83644089-83644099(-) ttacaatatat >hg38_chr12:83644141-83644151(+) taacaatgcta >hg38_chr12:83661140-83661150(+) TGATAATGGTG >hg38_chr12:83745523-83745533(+) TGACAATGGCA >hg38_chr12:83745531-83745541(+) GCACAATGAGA >hg38_chr12:83780205-83780215(+) GCACAAAGGTC >hg38_chr12:83780232-83780242(-) TAACACTGGTT >hg38_chr12:83780813-83780823(+) ACACAGTGGTA >hg38_chr12:83790105-83790115(-) AGACAAAGGTT >hg38_chr12:83811872-83811882(+) taacaatacat >hg38_chr12:83812097-83812107(-) aaacaatgaac >hg38_chr12:83819804-83819814(-) CTACAATAATA >hg38_chr12:83823864-83823874(-) acacgatggga >hg38_chr12:83880969-83880979(-) agacaataaca >hg38_chr12:83920461-83920471(+) TTACAATAGAC >hg38_chr12:83954209-83954219(-) AAACAATGGCT >hg38_chr12:83959695-83959705(+) GGACAATAGAC >hg38_chr12:83972085-83972095(-) taacaatatga >hg38_chr12:83980168-83980178(+) ttacaataggg >hg38_chr12:84001129-84001139(+) aaataatggcc >hg38_chr12:84001163-84001173(-) ctacaatgaaa >hg38_chr12:84079093-84079103(+) TGACAATAGAG >hg38_chr12:84114221-84114231(+) GCACAATAGCT >hg38_chr12:84130421-84130431(+) AAACAATGAAA >hg38_chr12:84136293-84136303(+) TAACAATACAT >hg38_chr12:84152382-84152392(+) tgataatggta >hg38_chr12:84161516-84161526(+) AAACAATAGCT >hg38_chr12:84161531-84161541(-) GTACAATATTT >hg38_chr12:84189043-84189053(+) gaacaataaag >hg38_chr12:84200656-84200666(+) ttacaatggtt >hg38_chr12:84231183-84231193(-) agacagtggaa >hg38_chr12:84248759-84248769(-) GTACAATAGAA >hg38_chr12:84248775-84248785(+) ATACAATGAAT >hg38_chr12:84262637-84262647(-) TCATAATGGAA >hg38_chr12:84287429-84287439(+) AGACAAAGGAA >hg38_chr12:84290218-84290228(+) AAACAATGTCA >hg38_chr12:84290271-84290281(+) AAACAATAGTC >hg38_chr12:84295561-84295571(-) CAACAAAGGTA >hg38_chr12:84295584-84295594(-) GTACAATAGTT >hg38_chr12:84332268-84332278(+) ATACAATAGGT >hg38_chr12:84341437-84341447(-) AAACAAAGGCT >hg38_chr12:84341699-84341709(-) taacaatgtac >hg38_chr12:84409116-84409126(-) agataatggcc >hg38_chr12:84424267-84424277(-) AAACAAAGGTT >hg38_chr12:84424865-84424875(-) GTACAATGGGA >hg38_chr12:84454111-84454121(-) ggacaatagtt >hg38_chr12:84463687-84463697(-) AAATAATGGAA >hg38_chr12:84463740-84463750(-) TTACAATGGGG >hg38_chr12:84463906-84463916(-) ATACAAAGGGA >hg38_chr12:84463944-84463954(-) CAACAATGAAA >hg38_chr12:84498041-84498051(+) tgataatggag >hg38_chr12:84521556-84521566(-) acacaatggaa >hg38_chr12:84535713-84535723(-) aaacaaaggga >hg38_chr12:84562394-84562404(+) GCACAATGAAA >hg38_chr12:84562573-84562583(+) TAACAATGAGG >hg38_chr12:84599661-84599671(+) TAACAATAAAA >hg38_chr12:84599700-84599710(+) ACATAATGGCT >hg38_chr12:84602084-84602094(-) TTACAATAATC >hg38_chr12:84618539-84618549(+) ACACAATGTTC >hg38_chr12:84626655-84626665(+) TTACTATGGGA >hg38_chr12:84627055-84627065(-) AAATAATGGAT >hg38_chr12:84627064-84627074(-) GTACAATAGAA >hg38_chr12:84627072-84627082(-) AAACAAAGGTA >hg38_chr12:84640783-84640793(-) aaacaatggga >hg38_chr12:84640836-84640846(-) gcacaatagat >hg38_chr12:84676994-84677004(+) AGACAATATTA >hg38_chr12:84691496-84691506(-) AAACAATGTGA >hg38_chr12:84697355-84697365(-) CCACAATGGGA >hg38_chr12:84705049-84705059(-) TGACAATGGTG >hg38_chr12:84724159-84724169(+) gtacaatggtt >hg38_chr12:84724190-84724200(-) aaacaatggca >hg38_chr12:84732810-84732820(+) atacactggtc >hg38_chr12:84758171-84758181(-) tgataatggaa >hg38_chr12:84765945-84765955(-) aaacaaaggcc >hg38_chr12:84804873-84804883(+) AAACAATGTTT >hg38_chr12:84843048-84843058(-) gaacaatgcat >hg38_chr12:84855663-84855673(-) atataatggaa >hg38_chr12:84870511-84870521(+) CCACAATAGGC >hg38_chr12:84877009-84877019(-) TCACAATGAAA >hg38_chr12:84877986-84877996(+) GAACAATGGAG >hg38_chr12:84887372-84887382(+) AAATAATGGAT >hg38_chr12:84891227-84891237(-) GAACAATGTTC >hg38_chr12:84891298-84891308(-) ATACAATGCTA >hg38_chr12:84972593-84972603(-) gaacaatgagc >hg38_chr12:84986744-84986754(+) ATACAATAGCC >hg38_chr12:85016544-85016554(+) ttacaatgaag >hg38_chr12:85016598-85016608(+) atacactggac >hg38_chr12:85016605-85016615(+) ggacaaaggga >hg38_chr12:85049906-85049916(-) AGACAATGTGA >hg38_chr12:85076841-85076851(+) ACACAATGCTT >hg38_chr12:85080165-85080175(-) ATACAATAAAA >hg38_chr12:85104959-85104969(-) ccacaatgaga >hg38_chr12:85134247-85134257(-) GCATAATGGAG >hg38_chr12:85147918-85147928(+) cgacaataggt >hg38_chr12:85169624-85169634(-) aaacaataaga >hg38_chr12:85187964-85187974(-) GTACAATGAGA >hg38_chr12:85244590-85244600(+) TTACAATCGAC >hg38_chr12:85280366-85280376(+) GGACAATGAGT >hg38_chr12:85281409-85281419(-) ATACAATGATA >hg38_chr12:85311628-85311638(-) gaacaaagggg >hg38_chr12:85330046-85330056(-) AAACAATGAAC >hg38_chr12:85330056-85330066(-) TTACAATGACA >hg38_chr12:85363017-85363027(-) CAACAATGACT >hg38_chr12:85381602-85381612(-) gaacaataaaa >hg38_chr12:85383559-85383569(-) aaacaatgaga >hg38_chr12:85383597-85383607(+) gtacagtggaa >hg38_chr12:85387377-85387387(+) CTACAATGAGC >hg38_chr12:85457135-85457145(+) ggataatggga >hg38_chr12:85457173-85457183(+) AGATAATGGAG >hg38_chr12:85486832-85486842(+) GGACAATATTA >hg38_chr12:85492878-85492888(-) atataatggct >hg38_chr12:85523374-85523384(-) TAACAATAGAT >hg38_chr12:85541915-85541925(+) CAACAATGCAA >hg38_chr12:85559149-85559159(-) ATACACTGGTT >hg38_chr12:85559505-85559515(-) CTACAATAGAA >hg38_chr12:85568574-85568584(+) CAACAATGACC >hg38_chr12:85568624-85568634(+) GGACAATGGAA >hg38_chr12:85571087-85571097(-) CTACAATGAAT >hg38_chr12:85571901-85571911(-) taacaATGCTA >hg38_chr12:85571956-85571966(-) gtacaaaggag >hg38_chr12:85624035-85624045(+) AAACAATGAGA >hg38_chr12:85649355-85649365(-) ctacaatgaga >hg38_chr12:85698712-85698722(+) TGACAATGATG >hg38_chr12:85721101-85721111(+) TAACAATGAGT >hg38_chr12:85768156-85768166(-) ATACAATTGTA >hg38_chr12:85776012-85776022(-) AAACAATAGTT >hg38_chr12:85796163-85796173(-) AAACAATGTAT >hg38_chr12:85836516-85836526(-) ACACAATAGAG >hg38_chr12:85846767-85846777(+) TTACAATAGGC >hg38_chr12:85867846-85867856(-) GGACAATGGGA >hg38_chr12:85885158-85885168(+) gaactatggcc >hg38_chr12:85900925-85900935(+) taacaatagaa >hg38_chr12:85945757-85945767(+) ATATAATGGAA >hg38_chr12:85945776-85945786(-) TGACTATGGTA >hg38_chr12:85959024-85959034(+) atacaatacaa >hg38_chr12:85959029-85959039(+) atacaatacaa >hg38_chr12:85959034-85959044(+) atacaatacaa >hg38_chr12:85959039-85959049(+) atacaatacaa >hg38_chr12:85959044-85959054(+) atacaatacaa >hg38_chr12:85959049-85959059(+) atacaatacaa >hg38_chr12:85959054-85959064(+) atacaatacaa >hg38_chr12:85959059-85959069(+) atacaatacaa >hg38_chr12:85959064-85959074(+) atacaatacaa >hg38_chr12:85959069-85959079(+) atacaatacaa >hg38_chr12:85959074-85959084(+) atacaatacaa >hg38_chr12:85959079-85959089(+) atacaatacaT >hg38_chr12:85963635-85963645(+) GAACAATAGCC >hg38_chr12:86069706-86069716(+) taacaatggct >hg38_chr12:86089406-86089416(+) gtacaataaca >hg38_chr12:86326387-86326397(+) tcacaatgtat >hg38_chr12:86343942-86343952(+) aaacaatgaat >hg38_chr12:86485124-86485134(+) gaacaatagct >hg38_chr12:86498673-86498683(-) ccacaatagca >hg38_chr12:86536051-86536061(+) GTACAATGCAT >hg38_chr12:86652627-86652637(-) CAACAATAGTG >hg38_chr12:86652639-86652649(+) TGACAATGACA >hg38_chr12:86652654-86652664(+) AAACAATGGTC >hg38_chr12:86671384-86671394(+) atacaaaggga >hg38_chr12:86740150-86740160(+) AGACAATGTTG >hg38_chr12:86950609-86950619(+) ctacaatgttc >hg38_chr12:87054707-87054717(-) atataatgtat >hg38_chr12:87068949-87068959(+) TAACAATGAAA >hg38_chr12:87107544-87107554(+) ccacaatggtg >hg38_chr12:87108627-87108637(-) aaacaatggca >hg38_chr12:87108641-87108651(+) gaacaatggtg >hg38_chr12:87108658-87108668(-) cgacaatgagt >hg38_chr12:87182867-87182877(+) agacaatgggg >hg38_chr12:87230184-87230194(-) taataatggca >hg38_chr12:87248284-87248294(+) TGACAATGAAG >hg38_chr12:87261250-87261260(+) GCACAATGCTT >hg38_chr12:87315124-87315134(-) caacaatgagg >hg38_chr12:87368447-87368457(-) ATACAATGGAC >hg38_chr12:87384390-87384400(+) TAACAATAATA >hg38_chr12:87384402-87384412(-) GAACAATGAAG >hg38_chr12:87440155-87440165(+) aaacaataacc >hg38_chr12:87440166-87440176(-) gaacaatagct >hg38_chr12:87667427-87667437(-) atacactggac >hg38_chr12:87667432-87667442(-) gaacaatacac >hg38_chr12:87778126-87778136(+) caacaatgcaa >hg38_chr12:87806963-87806973(+) ggacaatggat >hg38_chr12:87807515-87807525(-) acacaatgtac >hg38_chr12:87816274-87816284(-) GCACAATAGGG >hg38_chr12:87837113-87837123(-) GAACATTGGCG >hg38_chr12:87847199-87847209(-) acacaatggac >hg38_chr12:87848079-87848089(+) TAACAATGTGA >hg38_chr12:87848100-87848110(-) TTACAATGTGA >hg38_chr12:87854153-87854163(-) caacaatggac >hg38_chr12:87870167-87870177(+) GAACAATGAGA >hg38_chr12:87871278-87871288(+) ATACAAAGGAA >hg38_chr12:87890620-87890630(-) GAATAATGGAC >hg38_chr12:87890634-87890644(-) GAATAATGGTT >hg38_chr12:87919523-87919533(+) caataatggga >hg38_chr12:87951171-87951181(+) GAATAATGGTG >hg38_chr12:87967789-87967799(+) GAACAATGGTA >hg38_chr12:87978103-87978113(-) TCACAATGCCA >hg38_chr12:87989398-87989408(+) CAACAATGGCA >hg38_chr12:88004140-88004150(-) caacaatagag >hg38_chr12:88039718-88039728(-) gcacaatgcat >hg38_chr12:88049565-88049575(-) GTACAATGGAA >hg38_chr12:88053108-88053118(-) gtaCAATGTAC >hg38_chr12:88113000-88113010(-) AGACAATGCTG >hg38_chr12:88132440-88132450(+) agataatggtg >hg38_chr12:88132484-88132494(-) acacaatataa >hg38_chr12:88132493-88132503(-) ctacaatgaac >hg38_chr12:88144289-88144299(+) tgacaATGGTA >hg38_chr12:88145199-88145209(-) ACACAATGGAT >hg38_chr12:88187328-88187338(+) ATAcaatgatt >hg38_chr12:88228094-88228104(-) taacaaagggt >hg38_chr12:88243998-88244008(+) TGACAATGGGA >hg38_chr12:88251119-88251129(-) caacaatgctt >hg38_chr12:88308988-88308998(+) GGACAATGCTC >hg38_chr12:88334449-88334459(+) GTACAATAGTG >hg38_chr12:88367313-88367323(-) GAACAATGTGT >hg38_chr12:88391723-88391733(-) TAACAATGTAA >hg38_chr12:88414439-88414449(-) ATACAATGGTG >hg38_chr12:88448708-88448718(-) taacaatggtc >hg38_chr12:88473455-88473465(-) aaataatggat >hg38_chr12:88473497-88473507(-) caacaatgcct >hg38_chr12:88513199-88513209(+) ctacaatagta >hg38_chr12:88513716-88513726(+) taacaataatc >hg38_chr12:88519424-88519434(-) TAACAATGTTA >hg38_chr12:88521099-88521109(-) AAACAATAGCA >hg38_chr12:88562301-88562311(+) ATATAATGGGG >hg38_chr12:88562326-88562336(+) GGACAATGTAC >hg38_chr12:88562369-88562379(+) ACACAATAGAA >hg38_chr12:88566623-88566633(-) GTACAATGACA >hg38_chr12:88571438-88571448(-) AGACAATGTGA >hg38_chr12:88573417-88573427(+) TCACAATAGAC >hg38_chr12:88573428-88573438(-) GAATAATGGTG >hg38_chr12:88578477-88578487(+) TCACAATGTTT >hg38_chr12:88579385-88579395(+) CAACAATGGTG >hg38_chr12:88596861-88596871(-) AAACAATAAAC >hg38_chr12:88623506-88623516(-) ACACAATGTCT >hg38_chr12:88625033-88625043(+) ATACAATGCCT >hg38_chr12:88638507-88638517(+) GTACAATAGCT >hg38_chr12:88652703-88652713(+) AAACAATAAAA >hg38_chr12:88690001-88690011(-) atataatggga >hg38_chr12:88719707-88719717(+) GCACAATAGCA >hg38_chr12:88726911-88726921(+) taacaatgaaa >hg38_chr12:88733478-88733488(-) TTACAATGTAT >hg38_chr12:88746981-88746991(-) TGACAATATAA >hg38_chr12:88778412-88778422(-) GCATAATGGAT >hg38_chr12:88782215-88782225(-) ATACAATGCCT >hg38_chr12:88802500-88802510(-) TGACAATAGGC >hg38_chr12:88815876-88815886(+) atacaatgacc >hg38_chr12:88815945-88815955(-) aaacagtggga >hg38_chr12:88827985-88827995(-) TTACAATGGAA >hg38_chr12:88832212-88832222(+) ggacaatgacc >hg38_chr12:88870005-88870015(-) TCACAATAGGA >hg38_chr12:88918331-88918341(-) gtacaatgttg >hg38_chr12:88921649-88921659(+) CAACAATGCCT >hg38_chr12:88925413-88925423(-) CTACAATGCAG >hg38_chr12:88926740-88926750(-) ggacaatagaa >hg38_chr12:88946447-88946457(-) GAACAATGCAG >hg38_chr12:88947635-88947645(+) GTACAATATAA >hg38_chr12:88948306-88948316(-) ACACAATAGTC >hg38_chr12:88952097-88952107(+) AGACAAAGGCA >hg38_chr12:88958422-88958432(+) TTACAATGGAG >hg38_chr12:88975933-88975943(-) ttacaatggaa >hg38_chr12:88975952-88975962(-) taacagtggtc >hg38_chr12:89042411-89042421(-) ttacaatggga >hg38_chr12:89042661-89042671(+) gTACAATACCA >hg38_chr12:89065183-89065193(-) aaacaaagggt >hg38_chr12:89081248-89081258(+) ACACAATGAGT >hg38_chr12:89119806-89119816(-) ttacaatgctg >hg38_chr12:89134393-89134403(-) AAACAATGACT >hg38_chr12:89134408-89134418(-) GAACAATGGAA >hg38_chr12:89140192-89140202(+) taacaatggca >hg38_chr12:89151720-89151730(+) caacaatagct >hg38_chr12:89156368-89156378(+) CTATAATGGTG >hg38_chr12:89164251-89164261(-) TAACAATGAGC >hg38_chr12:89216338-89216348(-) ttacaatgcat >hg38_chr12:89216361-89216371(-) taacaatagca >hg38_chr12:89226122-89226132(-) TAACAATGAAA >hg38_chr12:89229261-89229271(+) acacaatagca >hg38_chr12:89231022-89231032(-) AGACAATGAGT >hg38_chr12:89232040-89232050(+) ATACAATAGTC >hg38_chr12:89281522-89281532(-) AAACAATAAAA >hg38_chr12:89300864-89300874(+) ggacaatagtg >hg38_chr12:89315868-89315878(+) AAACAATGATT >hg38_chr12:89316062-89316072(-) TGACAATGCCA >hg38_chr12:89316125-89316135(-) GAACAATGGAG >hg38_chr12:89325616-89325626(+) GAACAATGAAA >hg38_chr12:89345982-89345992(+) GCACAAAGGAA >hg38_chr12:89348755-89348765(+) TTACAATAATC >hg38_chr12:89350026-89350036(-) TTACAAAGGAA >hg38_chr12:89353330-89353340(-) GAACAATGGCT >hg38_chr12:89353650-89353660(-) GGACAAAGGGA >hg38_chr12:89354060-89354070(-) TAACAATAGAG >hg38_chr12:89364593-89364603(-) AAACAATGGGA >hg38_chr12:89433731-89433741(+) GCACAAAGGAC >hg38_chr12:89499303-89499313(+) gtacaatagac >hg38_chr12:89499324-89499334(+) caacaatagcc >hg38_chr12:89508102-89508112(-) gcacaaaGGAT >hg38_chr12:89521972-89521982(+) TAACAAAGGGA >hg38_chr12:89526029-89526039(+) CAACAACGGCG >hg38_chr12:89544854-89544864(+) ggacaaaggga >hg38_chr12:89560617-89560627(+) aaacaatgcta >hg38_chr12:89576123-89576133(-) TGACAATGAAA >hg38_chr12:89591784-89591794(+) CCACAATGAAC >hg38_chr12:89645639-89645649(+) atacaaaggcc >hg38_chr12:89674676-89674686(+) ttacaatagag >hg38_chr12:89693255-89693265(+) TTACAAAGGCA >hg38_chr12:89693267-89693277(+) AAACAATGAAA >hg38_chr12:89705745-89705755(-) GAACTATGGAA >hg38_chr12:89711043-89711053(+) GTACAATAAAT >hg38_chr12:89750422-89750432(-) aaacaatgctt >hg38_chr12:89790388-89790398(-) GGACAATGAGT >hg38_chr12:89821045-89821055(-) acacaatagaa >hg38_chr12:89822338-89822348(-) ctacaatgaaa >hg38_chr12:89827751-89827761(+) gcacaacggat >hg38_chr12:89831521-89831531(+) GCACAATGGTG >hg38_chr12:89884216-89884226(-) AAACAATGGAC >hg38_chr12:89908994-89909004(+) GCACAAAGGAA >hg38_chr12:89909177-89909187(-) ctacaatgaga >hg38_chr12:89939118-89939128(-) gaacaatgagt >hg38_chr12:89948471-89948481(+) GAATAATGATA >hg38_chr12:89949587-89949597(+) TTACAATGATC >hg38_chr12:89951870-89951880(-) CAACAATGGTA >hg38_chr12:89955701-89955711(-) CAACAATGGAA >hg38_chr12:89955742-89955752(-) AAACTATGGCA >hg38_chr12:89966186-89966196(-) gaacaatgtct >hg38_chr12:89971787-89971797(-) AGACAATGAGt >hg38_chr12:90007028-90007038(-) GTACAATGGAT >hg38_chr12:90007110-90007120(+) acacaatgata >hg38_chr12:90080021-90080031(+) GGACAATGAga >hg38_chr12:90087022-90087032(-) atacaatgggg >hg38_chr12:90140339-90140349(-) caacaatagac >hg38_chr12:90167736-90167746(+) acacaatagaa >hg38_chr12:90179064-90179074(-) atacaatagat >hg38_chr12:90197213-90197223(+) AGATAATGGCT >hg38_chr12:90199206-90199216(-) ACACAAAGGTT >hg38_chr12:90204661-90204671(+) ttacaatacta >hg38_chr12:90204695-90204705(+) gtacaataaaa >hg38_chr12:90205475-90205485(-) atacaaagggt >hg38_chr12:90205499-90205509(+) gaacaataaga >hg38_chr12:90237215-90237225(+) AGACAATGCTT >hg38_chr12:90272320-90272330(+) TAACAATGAAA >hg38_chr12:90292782-90292792(-) AAATAATGGCT >hg38_chr12:90321334-90321344(-) CTACAATAGTt >hg38_chr12:90338501-90338511(+) AAACAATGCTA >hg38_chr12:90338532-90338542(-) AAACAATGCTT >hg38_chr12:90361266-90361276(+) ATACACTGGCA >hg38_chr12:90363202-90363212(-) CTACAATGATG >hg38_chr12:90363606-90363616(+) TAACAATGCCA >hg38_chr12:90413951-90413961(+) TCACAATAGAG >hg38_chr12:90485661-90485671(+) ACACAAAGGAA >hg38_chr12:90515611-90515621(-) ACACAAAGGAT >hg38_chr12:90532337-90532347(-) TGATAATGGCT >hg38_chr12:90535850-90535860(-) gaacaatggag >hg38_chr12:90543512-90543522(-) GAACAATAGCT >hg38_chr12:90553545-90553555(+) ACACAATGATT >hg38_chr12:90571377-90571387(+) taacagtggta >hg38_chr12:90572932-90572942(-) atacaataata >hg38_chr12:90600834-90600844(-) ACACAATGGGA >hg38_chr12:90631824-90631834(-) AGACAATAAAT >hg38_chr12:90631865-90631875(+) ggacaatgtgg >hg38_chr12:90644220-90644230(+) CTACAATGGTA >hg38_chr12:90699498-90699508(-) GAACAATAAAT >hg38_chr12:90719009-90719019(+) AGACAATATTA >hg38_chr12:90756958-90756968(-) CCACAATGAAA >hg38_chr12:90757498-90757508(+) ttacaatagtt >hg38_chr12:90762839-90762849(-) GCACAATGGTG >hg38_chr12:90804583-90804593(-) agacaatgcca >hg38_chr12:90816729-90816739(-) ACACAATAGAG >hg38_chr12:90817343-90817353(-) TTACTATGGGA >hg38_chr12:90817941-90817951(+) gaacaatgggt >hg38_chr12:90826235-90826245(+) GCACAATAGCA >hg38_chr12:90853833-90853843(-) TAACAATGATT >hg38_chr12:90853841-90853851(-) GCACAATGTAA >hg38_chr12:90854634-90854644(-) TAACAATGGGG >hg38_chr12:90868219-90868229(-) AAACAATGCAA >hg38_chr12:90898372-90898382(+) CTACAATAGAA >hg38_chr12:90898380-90898390(+) GAACAATAGGA >hg38_chr12:90916403-90916413(+) ACACAATGTGA >hg38_chr12:90917058-90917068(+) AAACAATGAAA >hg38_chr12:90940695-90940705(-) TAACAATGGGT >hg38_chr12:90984303-90984313(-) acacaatggtc >hg38_chr12:90994694-90994704(-) GTACAATGGGT >hg38_chr12:91009619-91009629(+) TAACAATAACT >hg38_chr12:91080400-91080410(+) TAACACTGGTC >hg38_chr12:91099070-91099080(-) TTACAAAGGTC >hg38_chr12:91111577-91111587(-) CCACAATGACA >hg38_chr12:91113700-91113710(-) gaacaatgaga >hg38_chr12:91127029-91127039(-) GAACAATGGCA >hg38_chr12:91129023-91129033(+) TAACAATGTAC >hg38_chr12:91129055-91129065(+) AAACAATGAAG >hg38_chr12:91130746-91130756(-) AAACAAAGGAG >hg38_chr12:91138961-91138971(-) CAACAATGGCA >hg38_chr12:91156182-91156192(+) gaacaatgaac >hg38_chr12:91181517-91181527(+) TGACAATGTCC >hg38_chr12:91189914-91189924(-) TAACATTGGTA >hg38_chr12:91190145-91190155(+) aaacaataacc >hg38_chr12:91190162-91190172(-) gaacaaaggaa >hg38_chr12:91217036-91217046(+) AGACAATGTGT >hg38_chr12:91230576-91230586(-) TAATAATGGGA >hg38_chr12:91240093-91240103(+) ATACAATGAAT >hg38_chr12:91241747-91241757(+) agacaatagcc >hg38_chr12:91254954-91254964(+) atacaatggaa >hg38_chr12:91254978-91254988(+) taacaataaat >hg38_chr12:91278906-91278916(-) GAACAATGGGG >hg38_chr12:91289751-91289761(+) AAACCATGGTA >hg38_chr12:91300823-91300833(-) tgactatggaa >hg38_chr12:91308951-91308961(+) TCACAATGTTG >hg38_chr12:91327473-91327483(+) AAACAATGCTC >hg38_chr12:91327487-91327497(-) AGACAATAAAT >hg38_chr12:91370128-91370138(+) taacaaaggaa >hg38_chr12:91378879-91378889(+) ACACAATAAAT >hg38_chr12:91381686-91381696(+) GAACAAAGGGA >hg38_chr12:91382385-91382395(+) TAACAATGCAG >hg38_chr12:91385105-91385115(-) GGACAATGGTA >hg38_chr12:91387242-91387252(-) GGACAATGGAG >hg38_chr12:91423202-91423212(+) ACACAATGAAG >hg38_chr12:91439639-91439649(-) CAACAATGCAA >hg38_chr12:91443055-91443065(-) AGACAATGAGG >hg38_chr12:91460303-91460313(-) taataatggtg >hg38_chr12:91483733-91483743(+) CCACAATGTCC >hg38_chr12:91506013-91506023(-) AGACAATGCGG >hg38_chr12:91506538-91506548(-) TTATAATGGAA >hg38_chr12:91512191-91512201(+) GCACAATGCCA >hg38_chr12:91534905-91534915(-) ggacaaaggta >hg38_chr12:91534960-91534970(-) taacaataata >hg38_chr12:91535599-91535609(-) tgacaatagtg >hg38_chr12:91548850-91548860(+) ccacaatgaca >hg38_chr12:91567195-91567205(+) TGACAATGGTC >hg38_chr12:91580531-91580541(+) gtacaatggat >hg38_chr12:91582966-91582976(+) GAACAATGACA >hg38_chr12:91610917-91610927(+) tatcaatggac >hg38_chr12:91648093-91648103(+) taacaatacaa >hg38_chr12:91663492-91663502(-) GAACAAAGGAG >hg38_chr12:91686132-91686142(-) CAACAATGTCC >hg38_chr12:91707213-91707223(+) gaacaatgtgg >hg38_chr12:91743965-91743975(-) AAACAATGAAC >hg38_chr12:91786439-91786449(-) gcacaacggga >hg38_chr12:91796360-91796370(+) CTACAATGAAG >hg38_chr12:91826126-91826136(+) agacaatggat >hg38_chr12:91844902-91844912(+) aaacaaaggta >hg38_chr12:91852270-91852280(+) ccacaatgaga >hg38_chr12:91852709-91852719(-) atacaatatgt >hg38_chr12:91855573-91855583(+) agacaaaggtc >hg38_chr12:91866748-91866758(+) CGACAAAGGCT >hg38_chr12:91866774-91866784(+) CCACAATGGAT >hg38_chr12:91873618-91873628(+) atacaaaggaa >hg38_chr12:91885110-91885120(-) ACACAAAGGAA >hg38_chr12:91890449-91890459(-) AAACAATGAAT >hg38_chr12:91890894-91890904(+) GAACAATGGTG >hg38_chr12:91892021-91892031(-) Gtacaatagtc >hg38_chr12:91897547-91897557(-) ACACAATGGAA >hg38_chr12:91902285-91902295(+) AGACAAAGGGC >hg38_chr12:91914446-91914456(-) ACACAATGGAG >hg38_chr12:91914514-91914524(+) ACACAATAGAA >hg38_chr12:91927040-91927050(-) AAACAATGACT >hg38_chr12:91935146-91935156(-) AAACAAAGGTA >hg38_chr12:91935357-91935367(-) TAACAATAACA >hg38_chr12:91984122-91984132(-) taacaaTGtgt >hg38_chr12:91985494-91985504(+) GAACAATAGGA >hg38_chr12:91986218-91986228(-) GAACAATGACA >hg38_chr12:91991672-91991682(+) taacactggaa >hg38_chr12:91993810-91993820(-) GAACAATGGCC >hg38_chr12:91994525-91994535(+) GGACAATGGAG >hg38_chr12:92021132-92021142(-) CTACAATGAAG >hg38_chr12:92021938-92021948(-) TGACAATGAAT >hg38_chr12:92039257-92039267(+) TGACAATAGCA >hg38_chr12:92062253-92062263(-) ggacaatgggt >hg38_chr12:92062276-92062286(+) agacaatgcta >hg38_chr12:92062502-92062512(+) TAACAATAATA >hg38_chr12:92072300-92072310(-) GAATAATGGAG >hg38_chr12:92072330-92072340(+) AGACAATGGCC >hg38_chr12:92089547-92089557(+) AAACAATGTTT >hg38_chr12:92105283-92105293(-) GAACAATggct >hg38_chr12:92108010-92108020(+) GGACAATGCCA >hg38_chr12:92108051-92108061(-) AGACAATAGAC >hg38_chr12:92137243-92137253(-) AAACAAAGGAC >hg38_chr12:92137820-92137830(+) AGACAAAGGAT >hg38_chr12:92138219-92138229(-) taataatggag >hg38_chr12:92138509-92138519(+) TCACAATGTAA >hg38_chr12:92138517-92138527(+) TAACAATAGTT >hg38_chr12:92142019-92142029(-) TTACAATAAAA >hg38_chr12:92145944-92145954(-) TCACAATGGTG >hg38_chr12:92176126-92176136(-) TAACAATAGGG >hg38_chr12:92176152-92176162(-) AAACAATAGCA >hg38_chr12:92204619-92204629(-) AAACAATAGGT >hg38_chr12:92204661-92204671(+) GGACAATATAC >hg38_chr12:92204680-92204690(+) TAACAATGTTG >hg38_chr12:92204698-92204708(-) CTACTATGGTA >hg38_chr12:92207993-92208003(-) TTACAAAGGAC >hg38_chr12:92248294-92248304(-) CAACAATGTGT >hg38_chr12:92256559-92256569(+) GAACAAAGGTG >hg38_chr12:92283340-92283350(+) TAACAATGAAG >hg38_chr12:92303661-92303671(-) GAACAATGGTG >hg38_chr12:92330120-92330130(-) GAACAATAGAG >hg38_chr12:92370510-92370520(-) TGACAATGGAT >hg38_chr12:92408247-92408257(-) acacaaagggt >hg38_chr12:92425438-92425448(+) TCACAATGATT >hg38_chr12:92438620-92438630(-) ttacaatgtgg >hg38_chr12:92452762-92452772(+) gaacaaaggtg >hg38_chr12:92466622-92466632(+) TGACAATGCCC >hg38_chr12:92473806-92473816(-) TAACAATAGCC >hg38_chr12:92505497-92505507(-) CAACAATAGCT >hg38_chr12:92539345-92539355(-) TCACAAAGGAT >hg38_chr12:92539402-92539412(+) ACACAATGGGT >hg38_chr12:92558329-92558339(-) AGACAATAGCT >hg38_chr12:92558340-92558350(-) GAACACTGGTA >hg38_chr12:92582690-92582700(-) AGACAATAGAT >hg38_chr12:92583117-92583127(-) CAACAATAGCC >hg38_chr12:92615841-92615851(-) CAACAATAGCT >hg38_chr12:92623287-92623297(+) agacaatgcct >hg38_chr12:92648866-92648876(-) GAACAATAAAG >hg38_chr12:92650158-92650168(-) TCACAATGAAG >hg38_chr12:92675992-92676002(-) ACACAATGACC >hg38_chr12:92690826-92690836(+) AAACAATGAGT >hg38_chr12:92735142-92735152(-) AGACAATGGAA >hg38_chr12:92754401-92754411(-) TTACAATGCAC >hg38_chr12:92754426-92754436(+) GAACAATGGGC >hg38_chr12:92755185-92755195(-) CAACAATGAGA >hg38_chr12:92755265-92755275(+) TAACAATGTGA >hg38_chr12:92767203-92767213(-) ATacaataact >hg38_chr12:92774679-92774689(-) TTACAATAAAA >hg38_chr12:92775581-92775591(-) GCACAATAGCA >hg38_chr12:92796702-92796712(+) TGACAATGATA >hg38_chr12:92801142-92801152(-) TGATAATGGTT >hg38_chr12:92802087-92802097(+) TCACAATAGGA >hg38_chr12:92803407-92803417(-) AAACAATAAAA >hg38_chr12:92804102-92804112(+) agacaataaga >hg38_chr12:92815295-92815305(+) TTACAATGCAG >hg38_chr12:92833276-92833286(-) GAACAGTGGAT >hg38_chr12:92866633-92866643(+) taacaatgcaa >hg38_chr12:92868191-92868201(-) AGACAATGTTG >hg38_chr12:92873813-92873823(-) gtacaatgata >hg38_chr12:92912420-92912430(+) gcacaatggga >hg38_chr12:92930334-92930344(+) GAATAATGGAA >hg38_chr12:92933779-92933789(-) tgataatggaa >hg38_chr12:92956146-92956156(+) GAACAATAATC >hg38_chr12:93006933-93006943(+) ATACTATGGGA >hg38_chr12:93016235-93016245(-) ATACAATCGCT >hg38_chr12:93026407-93026417(-) aaacaatgatg >hg38_chr12:93036346-93036356(-) CAACAATGACA >hg38_chr12:93055893-93055903(+) AAACAAAGGCA >hg38_chr12:93062075-93062085(+) GAACAAAGGGT >hg38_chr12:93138287-93138297(-) GGACAATGAGT >hg38_chr12:93143459-93143469(+) TAACAATGAAA >hg38_chr12:93143498-93143508(-) acacaaTGGGA >hg38_chr12:93155212-93155222(-) CCACAATGCAT >hg38_chr12:93192182-93192192(-) GGACAAAGGTA >hg38_chr12:93273916-93273926(-) AGACAAAGGTG >hg38_chr12:93289114-93289124(+) TAACAGTGGAG >hg38_chr12:93336396-93336406(+) TAACAATGGAC >hg38_chr12:93374258-93374268(-) TAACAATGTAG >hg38_chr12:93408261-93408271(+) TTACAATATTA >hg38_chr12:93420735-93420745(+) TGACAATGACA >hg38_chr12:93420741-93420751(+) TGACAATGGAC >hg38_chr12:93427540-93427550(+) gtacaatagga >hg38_chr12:93428516-93428526(-) ATACAAAGGCA >hg38_chr12:93433781-93433791(-) GAACTATGGAT >hg38_chr12:93467738-93467748(-) GAACAAAGGGG >hg38_chr12:93483337-93483347(-) taacaatgaaa >hg38_chr12:93499557-93499567(-) GTACAATAGTG >hg38_chr12:93564458-93564468(-) ttacaatgttc >hg38_chr12:93565056-93565066(+) gtacaaaggcc >hg38_chr12:93616656-93616666(+) AGACAATGGGC >hg38_chr12:93635249-93635259(-) ATACAATAGGC >hg38_chr12:93638056-93638066(-) ATACAAAGGGG >hg38_chr12:93638847-93638857(-) ggacaatgtag >hg38_chr12:93661093-93661103(-) GAACAATGAGT >hg38_chr12:93661136-93661146(-) GGACAAAGGTG >hg38_chr12:93725814-93725824(-) caacaatgaat >hg38_chr12:93741984-93741994(-) TAACAATGGCT >hg38_chr12:93757917-93757927(+) ggacaatggct >hg38_chr12:93759605-93759615(-) GGACAAAGGAA >hg38_chr12:93784591-93784601(-) GAACAAAGGGT >hg38_chr12:93785487-93785497(-) CTACAAAGGAA >hg38_chr12:93805792-93805802(+) GAACAATGTGT >hg38_chr12:93815762-93815772(-) ATACAATGCAT >hg38_chr12:93892530-93892540(-) AAACAAAGGAA >hg38_chr12:93894333-93894343(+) ctacaatgctc >hg38_chr12:93896592-93896602(-) gaacagtggtt >hg38_chr12:93938948-93938958(+) GTACAATGCTG >hg38_chr12:93942481-93942491(-) TCACAATGTGA >hg38_chr12:93948890-93948900(+) agacaatagag >hg38_chr12:93950660-93950670(+) gaacaatggaa >hg38_chr12:93971115-93971125(+) tgacaatagac >hg38_chr12:94025159-94025169(+) ATACAAAGGAA >hg38_chr12:94048068-94048078(-) TAATAATAGTA >hg38_chr12:94120555-94120565(-) CCACAATGCTA >hg38_chr12:94171339-94171349(+) TAACAAAGGCA >hg38_chr12:94181882-94181892(-) ACACAATAAAT >hg38_chr12:94185582-94185592(+) TGACAATGGCA >hg38_chr12:94188141-94188151(+) tgacaatgggt >hg38_chr12:94188197-94188207(+) agacaatggga >hg38_chr12:94228971-94228981(-) gtacaatggtt >hg38_chr12:94243120-94243130(-) CCACAATGGGG >hg38_chr12:94308105-94308115(+) CAACAATGTTT >hg38_chr12:94318870-94318880(-) caacaatgaaa >hg38_chr12:94368181-94368191(-) AGACAATGAAA >hg38_chr12:94373283-94373293(+) ACACAATGGAA >hg38_chr12:94403509-94403519(-) TAACAATAGCA >hg38_chr12:94403557-94403567(+) ATACAATGGCT >hg38_chr12:94404101-94404111(+) caacaatgcca >hg38_chr12:94404805-94404815(-) TGACAATGATT >hg38_chr12:94418728-94418738(+) agataatggta >hg38_chr12:94418979-94418989(-) caataatggac >hg38_chr12:94426591-94426601(+) AAACAAtagtg >hg38_chr12:94431107-94431117(+) atacaaaggaa >hg38_chr12:94448024-94448034(+) ttacaatggat >hg38_chr12:94448262-94448272(+) taacaataact >hg38_chr12:94460620-94460630(+) AAACAATAGTT >hg38_chr12:94467390-94467400(+) taacaataaaa >hg38_chr12:94467409-94467419(+) taacaataact >hg38_chr12:94491836-94491846(+) ATACAAAGGGC >hg38_chr12:94526629-94526639(-) GAACAATAAAG >hg38_chr12:94533037-94533047(-) TAACAAAGGAG >hg38_chr12:94553335-94553345(-) aaacaatagag >hg38_chr12:94569553-94569563(-) AAACAATAAAT >hg38_chr12:94574557-94574567(+) GAATAATGGCT >hg38_chr12:94575186-94575196(-) ACACAAAGGGA >hg38_chr12:94587962-94587972(-) ACACAATGAGG >hg38_chr12:94588426-94588436(+) CAACAATGTGA >hg38_chr12:94588471-94588481(+) AGACAATGAAC >hg38_chr12:94590687-94590697(-) ACACAAAGGTA >hg38_chr12:94614488-94614498(-) TGACAATGCTG >hg38_chr12:94649767-94649777(+) AAACAATGGCG >hg38_chr12:94671478-94671488(+) GAACAAAGGAA >hg38_chr12:94709607-94709617(-) CAACAATGTCT >hg38_chr12:94746814-94746824(+) ggacaatgtct >hg38_chr12:94746829-94746839(-) atataatggtg >hg38_chr12:94761662-94761672(+) aaacaatggtg >hg38_chr12:94761684-94761694(+) ttataatggag >hg38_chr12:94764417-94764427(-) CTACAATGGTC >hg38_chr12:94771462-94771472(+) taacaataaac >hg38_chr12:94805887-94805897(+) gaacaatgcct >hg38_chr12:94813575-94813585(+) aaactatggag >hg38_chr12:94829762-94829772(+) ttacaatggat >hg38_chr12:94879727-94879737(-) GGACAATGTCC >hg38_chr12:95010621-95010631(+) agacaatgttg >hg38_chr12:95010658-95010668(-) taacaatgaca >hg38_chr12:95034977-95034987(+) acacaatgcca >hg38_chr12:95034994-95035004(-) ctacaatgtgc >hg38_chr12:95074587-95074597(+) ggacaatgcct >hg38_chr12:95090049-95090059(+) CTACAATGAAC >hg38_chr12:95100301-95100311(+) AGACAATCGCC >hg38_chr12:95100343-95100353(+) AAACAATGACT >hg38_chr12:95146233-95146243(-) TGACAATGGCT >hg38_chr12:95147054-95147064(+) ACACAATGAAT >hg38_chr12:95148029-95148039(+) AAACAAAGGTA >hg38_chr12:95148044-95148054(+) GAACAATGAGC >hg38_chr12:95151955-95151965(+) GGACAAAGGAG >hg38_chr12:95169013-95169023(-) CAACAATAGCA >hg38_chr12:95188050-95188060(+) CGACAAAGGCC >hg38_chr12:95207544-95207554(+) ATACAATGGAA >hg38_chr12:95207567-95207577(+) CGACTATGGAG >hg38_chr12:95216164-95216174(+) CTACAATATAT >hg38_chr12:95216581-95216591(+) GAACTATGGGA >hg38_chr12:95249238-95249248(-) gaacaataaat >hg38_chr12:95249293-95249303(+) aaacaatgttt >hg38_chr12:95273270-95273280(-) atacaataaat >hg38_chr12:95277269-95277279(+) gcacaatggaa >hg38_chr12:95303804-95303814(-) AAACAATGATT >hg38_chr12:95318290-95318300(-) GAACAATGGTG >hg38_chr12:95325314-95325324(-) agacagtggaa >hg38_chr12:95340390-95340400(-) GCACAATATAA >hg38_chr12:95340447-95340457(+) ATACAATGTTA >hg38_chr12:95410932-95410942(-) CAACAATGGTG >hg38_chr12:95411670-95411680(-) ACACAATGACT >hg38_chr12:95443870-95443880(-) caacaatgagg >hg38_chr12:95480728-95480738(-) atacaatgaac >hg38_chr12:95487075-95487085(-) tcacaatgacc >hg38_chr12:95489939-95489949(-) aaacaaaggaa >hg38_chr12:95495393-95495403(-) ATACAAAGGGT >hg38_chr12:95495438-95495448(-) AAACAATGACC >hg38_chr12:95497175-95497185(-) tgataatggct >hg38_chr12:95545513-95545523(+) CCACAATGCAT >hg38_chr12:95545744-95545754(+) ACACAATGGGC >hg38_chr12:95545795-95545805(-) ACATAATGGAC >hg38_chr12:95595863-95595873(-) aaacaatgcaa >hg38_chr12:95595877-95595887(+) acacaaaggat >hg38_chr12:95612109-95612119(+) ACACAATGGCT >hg38_chr12:95624719-95624729(-) GAACAATGAAA >hg38_chr12:95625425-95625435(+) GAACAATAGAC >hg38_chr12:95625481-95625491(-) TGACAATGAAA >hg38_chr12:95627052-95627062(-) CAACAATGGAA >hg38_chr12:95627104-95627114(+) ttacaatgttc >hg38_chr12:95627664-95627674(+) ggacactggac >hg38_chr12:95634115-95634125(-) GGACAATAGCT >hg38_chr12:95649489-95649499(+) TTACAATGGGG >hg38_chr12:95653917-95653927(+) AAACAATGAGC >hg38_chr12:95689624-95689634(+) ATACAATGATA >hg38_chr12:95693923-95693933(+) ACATAATGGAA >hg38_chr12:95719667-95719677(-) TCACAATGCTT >hg38_chr12:95725687-95725697(-) AAACAATGGAA >hg38_chr12:95829688-95829698(+) AAACAATAGTT >hg38_chr12:95836368-95836378(-) GGACAATAGGC >hg38_chr12:95857967-95857977(+) aaacaatggcc >hg38_chr12:95863558-95863568(-) acataatggaa >hg38_chr12:95866875-95866885(-) TAATAATGGCC >hg38_chr12:95938716-95938726(-) TAACAATAACT >hg38_chr12:96021326-96021336(-) GAACAATGTTT >hg38_chr12:96021642-96021652(-) TCACAATGGAT >hg38_chr12:96026730-96026740(+) gcacaatgcct >hg38_chr12:96047635-96047645(-) GTACAATGAAG >hg38_chr12:96063285-96063295(+) tgacaatgttg >hg38_chr12:96073557-96073567(-) GCACAATGGGC >hg38_chr12:96120272-96120282(+) atacaatggaa >hg38_chr12:96210895-96210905(+) TTACAATGAGA >hg38_chr12:96216152-96216162(-) GGACAATGATG >hg38_chr12:96216586-96216596(+) ACACAATGCCT >hg38_chr12:96267724-96267734(-) ATACAATTGAA >hg38_chr12:96303201-96303211(+) gcacaatgtta >hg38_chr12:96334716-96334726(-) GGATAATGGTA >hg38_chr12:96334768-96334778(+) AGACAATGATT >hg38_chr12:96339108-96339118(-) GAACAATAGGC >hg38_chr12:96343773-96343783(-) gtacaatgtgg >hg38_chr12:96350365-96350375(+) agacaacgacg >hg38_chr12:96350431-96350441(+) gaacaaaggtg >hg38_chr12:96366278-96366288(-) ATACAATAAAT >hg38_chr12:96372525-96372535(+) TAACAATGAGC >hg38_chr12:96376164-96376174(-) gaacaatgaag >hg38_chr12:96378667-96378677(-) taacaatatac >hg38_chr12:96378684-96378694(-) ttacaatgcca >hg38_chr12:96382712-96382722(+) aaacaataaat >hg38_chr12:96395459-96395469(+) aaacaatgact >hg38_chr12:96396411-96396421(-) CTACAATGTTG >hg38_chr12:96397039-96397049(-) GAACAATGGAA >hg38_chr12:96397062-96397072(-) AAACAATGCAA >hg38_chr12:96427442-96427452(+) gaacaaagggg >hg38_chr12:96457456-96457466(-) gaacaatgcct >hg38_chr12:96489460-96489470(-) AAACAATGATG >hg38_chr12:96501256-96501266(+) ATACAAAGGAG >hg38_chr12:96504533-96504543(-) atacaatgtat >hg38_chr12:96509050-96509060(-) gaacaatgcct >hg38_chr12:96623334-96623344(+) TAACAAAGGCA >hg38_chr12:96790981-96790991(+) AAATAATGGGA >hg38_chr12:96810624-96810634(-) aaactatggtc >hg38_chr12:96810666-96810676(+) agacaatagct >hg38_chr12:96829228-96829238(+) TTACAATAACA >hg38_chr12:96840790-96840800(+) AGACAATGCCA >hg38_chr12:96843164-96843174(-) gaacaaaggct >hg38_chr12:96861771-96861781(+) gcacaatgcct >hg38_chr12:96861803-96861813(-) AAACAATGCTC >hg38_chr12:96876601-96876611(-) GAACAATATAT >hg38_chr12:96897659-96897669(-) acacaaaggag >hg38_chr12:96938492-96938502(-) GAATAATGGAG >hg38_chr12:96941026-96941036(-) AAACAATGTAC >hg38_chr12:96950626-96950636(+) gcacaatgaaa >hg38_chr12:96981281-96981291(+) GGACAATGACT >hg38_chr12:96981324-96981334(-) TTACAATAAAA >hg38_chr12:96987086-96987096(-) CAACAATGACT >hg38_chr12:96990836-96990846(+) AAACAATGCAA >hg38_chr12:96990860-96990870(+) CGACAATGACA >hg38_chr12:96990866-96990876(+) TGACAATGAGC >hg38_chr12:97054502-97054512(+) gcacaatgcat >hg38_chr12:97069838-97069848(-) aaacaatggga >hg38_chr12:97069860-97069870(-) gaacaatgaca >hg38_chr12:97075831-97075841(+) GAACAATAGCT >hg38_chr12:97131720-97131730(+) GCACAATGTGT >hg38_chr12:97132493-97132503(-) TAACAATATGT >hg38_chr12:97143415-97143425(-) AGACAAAGGAG >hg38_chr12:97152546-97152556(+) TGACAATGGTG >hg38_chr12:97173796-97173806(+) AGACAATATTA >hg38_chr12:97182818-97182828(-) AGACAAAGGTC >hg38_chr12:97193699-97193709(+) ttacaataggg >hg38_chr12:97246588-97246598(-) TAACAATGACA >hg38_chr12:97254385-97254395(+) AAACAGTGGAA >hg38_chr12:97258705-97258715(+) TAACAATATAG >hg38_chr12:97306427-97306437(-) GTACAATAGGC >hg38_chr12:97323962-97323972(+) ACACAATGAAG >hg38_chr12:97404783-97404793(+) GGATAATGGCC >hg38_chr12:97459016-97459026(-) TAACAAAGGGA >hg38_chr12:97462789-97462799(+) GAACAATAGGT >hg38_chr12:97464433-97464443(-) TAACAATATAT >hg38_chr12:97464472-97464482(+) GTACTATGGAG >hg38_chr12:97467715-97467725(-) AGACAAAGGCA >hg38_chr12:97467734-97467744(-) GAATAATGGCA >hg38_chr12:97471715-97471725(+) GCACAATGAGA >hg38_chr12:97495915-97495925(-) ATACAATAGAG >hg38_chr12:97538345-97538355(-) GGACAAAGGTA >hg38_chr12:97580818-97580828(+) ctataatggtt >hg38_chr12:97597268-97597278(+) AAACAataggg >hg38_chr12:97617193-97617203(-) tgacaatggga >hg38_chr12:97758082-97758092(-) GGACAATGGTA >hg38_chr12:97801621-97801631(-) TAATAATGTAC >hg38_chr12:97804762-97804772(-) TGACAATGTCA >hg38_chr12:97804821-97804831(-) ccacAATGGGA >hg38_chr12:97821413-97821423(+) AAACACTGGAA >hg38_chr12:97821436-97821446(+) AGACaatggcc >hg38_chr12:97821451-97821461(-) aaacaatgcta >hg38_chr12:97821480-97821490(+) aaacaatataa >hg38_chr12:97890913-97890923(+) taacaataggg >hg38_chr12:97900200-97900210(-) AGATAATGGCC >hg38_chr12:98020383-98020393(+) AAACAAAGGAA >hg38_chr12:98059052-98059062(-) CCACAATGGAG >hg38_chr12:98086202-98086212(-) ACACAATGAGG >hg38_chr12:98110083-98110093(-) CAACAATAGAA >hg38_chr12:98134780-98134790(+) atacaATAGGA >hg38_chr12:98134841-98134851(+) CCACAATGGAG >hg38_chr12:98139467-98139477(+) GTACAATGTGC >hg38_chr12:98140196-98140206(+) ACACAATGGGC >hg38_chr12:98183147-98183157(+) GGACAAAGGAC >hg38_chr12:98208788-98208798(+) AAACAATGCAA >hg38_chr12:98219759-98219769(-) gaacaatgcct >hg38_chr12:98263196-98263206(+) GGACAATAAGA >hg38_chr12:98263265-98263275(+) CCACAATGCAT >hg38_chr12:98269470-98269480(+) caataatggac >hg38_chr12:98359952-98359962(+) GGACAATAGAA >hg38_chr12:98403584-98403594(-) TGATAATGGTG >hg38_chr12:98423339-98423349(+) acacaatgttg >hg38_chr12:98448708-98448718(+) AAACAATAACT >hg38_chr12:98448727-98448737(+) ACACAATGAAC >hg38_chr12:98491260-98491270(+) AGACAAAGGGA >hg38_chr12:98492692-98492702(-) GAACAAAGGGT >hg38_chr12:98500561-98500571(-) TGACAATGAGT >hg38_chr12:98504691-98504701(+) GTACAATAGTG >hg38_chr12:98553373-98553383(-) gaacaatgccc >hg38_chr12:98559818-98559828(+) caacaatagac >hg38_chr12:98596192-98596202(+) TAACAATGTCC >hg38_chr12:98635831-98635841(+) TGACAATAGAT >hg38_chr12:98644891-98644901(-) GAACTATGGAG >hg38_chr12:98646974-98646984(+) TAACAATGCTC >hg38_chr12:98651038-98651048(-) ATACAATATGA >hg38_chr12:98651442-98651452(+) acacaatgttt >hg38_chr12:98657287-98657297(-) ggacaatgatg >hg38_chr12:98657302-98657312(-) ggacaataaaa >hg38_chr12:98660500-98660510(-) ttataatggta >hg38_chr12:98669220-98669230(-) gtacaaagata >hg38_chr12:98725608-98725618(-) GAACAAAGGTC >hg38_chr12:98740853-98740863(+) ggacaatgctt >hg38_chr12:98742008-98742018(-) TCACAATGTGG >hg38_chr12:98761935-98761945(+) ACACAATGGCC >hg38_chr12:98780371-98780381(+) GGACAATGGTC >hg38_chr12:98782701-98782711(+) AAACAATGGCT >hg38_chr12:98783575-98783585(+) AGAcaatgacg >hg38_chr12:98792495-98792505(-) taacaatgctg >hg38_chr12:98818472-98818482(-) GCACAATGAGA >hg38_chr12:98819913-98819923(+) TCACAATGCTT >hg38_chr12:98874028-98874038(+) AGATAATGGGC >hg38_chr12:98886542-98886552(+) taacaatgtaa >hg38_chr12:98909773-98909783(-) GCACAATGAAT >hg38_chr12:98921663-98921673(-) CAACAATGAGC >hg38_chr12:98931434-98931444(+) ATACAATAAGG >hg38_chr12:98933046-98933056(+) ggacaatgtgg >hg38_chr12:99009405-99009415(-) CCACAATGGCC >hg38_chr12:99026913-99026923(-) ATACAATGCAC >hg38_chr12:99042574-99042584(-) caacaatgcta >hg38_chr12:99042685-99042695(-) atacaatgctt >hg38_chr12:99056648-99056658(-) ACACAATAGCA >hg38_chr12:99064486-99064496(+) ggacaaaggca >hg38_chr12:99069114-99069124(+) ggaCAATGAGC >hg38_chr12:99154297-99154307(-) TGACAATGTGC >hg38_chr12:99250303-99250313(-) taacaatcgta >hg38_chr12:99258752-99258762(+) TAACAATGAGC >hg38_chr12:99267249-99267259(+) atacaatgcac >hg38_chr12:99277826-99277836(-) TCACAATGGTC >hg38_chr12:99279157-99279167(-) GGACAAaggca >hg38_chr12:99282940-99282950(+) agacaataaac >hg38_chr12:99404880-99404890(+) aaacaaaggat >hg38_chr12:99438757-99438767(-) ctacaatgtag >hg38_chr12:99438785-99438795(-) agacaatgtta >hg38_chr12:99477197-99477207(+) aaacaaaggca >hg38_chr12:99569956-99569966(+) CAACAATGTTG >hg38_chr12:99575870-99575880(+) acacaatgaca >hg38_chr12:99600619-99600629(+) AAACAATGCCT >hg38_chr12:99621413-99621423(-) agacaatatat >hg38_chr12:99659873-99659883(-) agacaatagga >hg38_chr12:99667616-99667626(+) gtacaatgttg >hg38_chr12:99693443-99693453(-) acacaatagct >hg38_chr12:99769570-99769580(-) GAACAAAGGCA >hg38_chr12:99769583-99769593(+) Tgacaatagag >hg38_chr12:99778784-99778794(+) GCATAATGGCC >hg38_chr12:99778839-99778849(-) ATACAATAGTC >hg38_chr12:99781484-99781494(-) GAACAATAAAG >hg38_chr12:99810611-99810621(+) GAACAAAGGAC >hg38_chr12:99810941-99810951(-) TGACAATGCTC >hg38_chr12:99826614-99826624(+) agaCAATGCAG >hg38_chr12:99826635-99826645(+) AAACAATGACT >hg38_chr12:99837143-99837153(-) caacaatggcc >hg38_chr12:99883176-99883186(-) agacaatgctc >hg38_chr12:99884786-99884796(+) agacaatggaa >hg38_chr12:99884808-99884818(-) tcacaatgaac >hg38_chr12:99896452-99896462(-) tcacaatggag >hg38_chr12:99910895-99910905(+) TAACAATAATG >hg38_chr12:99979789-99979799(+) gaacaatggag >hg38_chr12:100087947-100087957(+) ACACAATGGTA >hg38_chr12:100103634-100103644(-) GTACTATGGGA >hg38_chr12:100151715-100151725(-) aaacaaaggct >hg38_chr12:100151756-100151766(-) aaacaatgtag >hg38_chr12:100182972-100182982(-) ctacaatgtct >hg38_chr12:100200869-100200879(+) GGATAATGGAG >hg38_chr12:100225701-100225711(-) GGACAAAGGCA >hg38_chr12:100271525-100271535(-) GCACAAAGGTC >hg38_chr12:100285797-100285807(-) TAACAATGTTT >hg38_chr12:100297302-100297312(-) taacaatggac >hg38_chr12:100330207-100330217(+) GAATAATGGGA >hg38_chr12:100336099-100336109(-) TAATAATGGAA >hg38_chr12:100336148-100336158(-) GGACAAAGGAG >hg38_chr12:100359487-100359497(+) GAACAATAGAA >hg38_chr12:100373169-100373179(+) CAACAATGAGA >hg38_chr12:100417841-100417851(-) AGACAATGCTG >hg38_chr12:100447638-100447648(-) taacaaaggag >hg38_chr12:100460425-100460435(+) TAACAATGATG >hg38_chr12:100463870-100463880(-) ATACAATAGAA >hg38_chr12:100468653-100468663(+) gtataatggtg >hg38_chr12:100468686-100468696(-) taacaatggac >hg38_chr12:100469863-100469873(-) ggacaatgtct >hg38_chr12:100472546-100472556(+) ACACAATGCTT >hg38_chr12:100472580-100472590(+) AGACAAAGGGT >hg38_chr12:100528696-100528706(+) ATATAATGATA >hg38_chr12:100528705-100528715(-) ACACAATATTA >hg38_chr12:100533405-100533415(-) TAACAATAGAA >hg38_chr12:100551667-100551677(-) GAACAATGGAT >hg38_chr12:100564341-100564351(-) TAACAATGAAG >hg38_chr12:100564388-100564398(+) ATACAATAAAA >hg38_chr12:100593714-100593724(+) GGACAAAGGAT >hg38_chr12:100596739-100596749(+) GGAcaatagtt >hg38_chr12:100620239-100620249(-) tcacaatgatt >hg38_chr12:100620248-100620258(-) tcacaatgatc >hg38_chr12:100650539-100650549(+) aaacaataaac >hg38_chr12:100658725-100658735(-) GAACAATGAGT >hg38_chr12:100658735-100658745(-) TTATAATGGAG >hg38_chr12:100658747-100658757(-) CAACAATGGTG >hg38_chr12:100659244-100659254(-) AAACAATGCAT >hg38_chr12:100659254-100659264(-) AAACAATGTGA >hg38_chr12:100706322-100706332(+) GAACAATGCAA >hg38_chr12:100708926-100708936(-) agactatggat >hg38_chr12:100728640-100728650(+) aaataatgggt >hg38_chr12:100729885-100729895(-) AAACTATGGCA >hg38_chr12:100737316-100737326(+) tgacaatgatc >hg38_chr12:100742243-100742253(+) CGACAATGACT >hg38_chr12:100754026-100754036(+) GAATAATGGCT >hg38_chr12:100754039-100754049(-) GAATAATGGTG >hg38_chr12:100779382-100779392(+) TAACAATGAGC >hg38_chr12:100789863-100789873(+) taacaaagggt >hg38_chr12:100816719-100816729(-) GTACAATGTGC >hg38_chr12:100828117-100828127(-) AGACAGTGGAC >hg38_chr12:100855222-100855232(-) ATACGATGGAC >hg38_chr12:100868321-100868331(+) TTACTATGGTG >hg38_chr12:100904172-100904182(+) ctacaatagct >hg38_chr12:100953144-100953154(-) ATACAATGCCC >hg38_chr12:100953810-100953820(-) AAACAAAGGAA >hg38_chr12:100955028-100955038(-) CGACAAAGGGA >hg38_chr12:100988386-100988396(+) AAACAATAGGA >hg38_chr12:100991927-100991937(-) gaacaatggag >hg38_chr12:100992770-100992780(+) acacaatgctg >hg38_chr12:100995381-100995391(+) caacaatagtg >hg38_chr12:101012573-101012583(+) ttacaatgagc >hg38_chr12:101100610-101100620(+) AGACAATGAAC >hg38_chr12:101111115-101111125(-) TAACAATAACA >hg38_chr12:101267118-101267128(-) tcataatggtc >hg38_chr12:101302603-101302613(-) CAACAATAGAG >hg38_chr12:101304383-101304393(+) ATACAATGCAT >hg38_chr12:101348473-101348483(-) caacaatgtac >hg38_chr12:101373109-101373119(-) GCACAATGTCT >hg38_chr12:101376278-101376288(-) ACATAATGGAC >hg38_chr12:101376346-101376356(+) taacaatagag >hg38_chr12:101383628-101383638(-) AAACAAAGGAG >hg38_chr12:101439763-101439773(-) CAACAATGGTC >hg38_chr12:101474287-101474297(+) AAACAATAGTC >hg38_chr12:101474323-101474333(+) CAACAATGCAA >hg38_chr12:101535210-101535220(+) ATACAATGAAT >hg38_chr12:101567020-101567030(-) GTACAATGATT >hg38_chr12:101580841-101580851(+) ccacaatagct >hg38_chr12:101581325-101581335(+) aaacaaaggca >hg38_chr12:101653614-101653624(+) ATACAATAGCA >hg38_chr12:101653667-101653677(-) acataatgggt >hg38_chr12:101656274-101656284(+) agacaataata >hg38_chr12:101656559-101656569(+) agacaatgcag >hg38_chr12:101665127-101665137(+) ATACAATGAAG >hg38_chr12:101670810-101670820(+) CCACAATGACC >hg38_chr12:101701836-101701846(+) ACACAATGTGA >hg38_chr12:101713280-101713290(-) taacaaaggcc >hg38_chr12:101729239-101729249(+) TTACAAAGGAC >hg38_chr12:101729726-101729736(+) aaacaataata >hg38_chr12:101761987-101761997(+) GTACGATGGAG >hg38_chr12:101767674-101767684(+) gtacaatggtg >hg38_chr12:101780785-101780795(+) GAACAATGTAA >hg38_chr12:101782131-101782141(-) ggacaatagct >hg38_chr12:101782150-101782160(+) agactatggat >hg38_chr12:101835580-101835590(+) agacaatgaga >hg38_chr12:101861001-101861011(-) TTACAATGGGG >hg38_chr12:101892968-101892978(-) GTACAATACAA >hg38_chr12:101895085-101895095(-) GCACAATGCTG >hg38_chr12:101940122-101940132(+) ATACAATGAGA >hg38_chr12:101957655-101957665(+) ACATAATGGAA >hg38_chr12:101991043-101991053(-) tgataatggaa >hg38_chr12:102001073-102001083(+) gtataatgggg >hg38_chr12:102026381-102026391(+) ATACAATGAAT >hg38_chr12:102026395-102026405(+) TAACAATATGA >hg38_chr12:102054196-102054206(+) aaacaatgaac >hg38_chr12:102059544-102059554(-) AAACAATAGTC >hg38_chr12:102091966-102091976(-) GGACAATAACA >hg38_chr12:102104711-102104721(-) acacaatgggg >hg38_chr12:102109187-102109197(+) GAACAATGAAT >hg38_chr12:102110013-102110023(-) ACACAATAGCT >hg38_chr12:102111147-102111157(+) taacaatgtgg >hg38_chr12:102145002-102145012(+) GGACAATGAGG >hg38_chr12:102178488-102178498(+) TAACAATAGAT >hg38_chr12:102180796-102180806(-) gcacaatgaca >hg38_chr12:102197964-102197974(-) AAACAATGTAG >hg38_chr12:102197986-102197996(-) AAACAATGTGT >hg38_chr12:102232359-102232369(-) AAACAATAGAC >hg38_chr12:102232369-102232379(-) acacaAAGGGA >hg38_chr12:102242513-102242523(-) TTACAATGGAT >hg38_chr12:102276596-102276606(-) agacaatagaa >hg38_chr12:102296933-102296943(-) aaacaatggtg >hg38_chr12:102303265-102303275(+) acacagtggat >hg38_chr12:102322681-102322691(+) TGACAAAGGAA >hg38_chr12:102376059-102376069(-) GAACAAAGGAC >hg38_chr12:102394353-102394363(+) ttacaatagtg >hg38_chr12:102399980-102399990(-) TCACTATGGAC >hg38_chr12:102406189-102406199(+) AGACAATGACA >hg38_chr12:102406252-102406262(-) AGACAATGAGC >hg38_chr12:102417734-102417744(-) ATACAATAGAG >hg38_chr12:102438548-102438558(+) acacaatagac >hg38_chr12:102438602-102438612(+) gaacAAAGGCG >hg38_chr12:102472080-102472090(-) GCATAATGGAG >hg38_chr12:102474420-102474430(-) TTACAGTGGAT >hg38_chr12:102514271-102514281(-) gcacaatgcct >hg38_chr12:102543658-102543668(+) AGACAATGAGG >hg38_chr12:102574126-102574136(+) CCACAATGATC >hg38_chr12:102600535-102600545(+) ACACAATGCTT >hg38_chr12:102602613-102602623(-) ATATAATGGGG >hg38_chr12:102603828-102603838(+) TCACAATAATA >hg38_chr12:102603837-102603847(+) TAATAATGGTT >hg38_chr12:102612163-102612173(-) taacaatagat >hg38_chr12:102680596-102680606(+) TAACAATGAAA >hg38_chr12:102719176-102719186(+) atacaatgaag >hg38_chr12:102722449-102722459(-) TAACAATAACC >hg38_chr12:102729347-102729357(-) TCACAATGACC >hg38_chr12:102837721-102837731(-) taacaatgagg >hg38_chr12:102877168-102877178(+) AAACAATGATG >hg38_chr12:102877226-102877236(+) AGACAATAGTT >hg38_chr12:102925605-102925615(+) ACACAAAGGAG >hg38_chr12:102933406-102933416(-) tcacaataggc >hg38_chr12:102950565-102950575(+) ACACAATGGGG >hg38_chr12:102952304-102952314(-) TTACAATAAAT >hg38_chr12:102976822-102976832(+) TTACAATGAGC >hg38_chr12:102976848-102976858(+) GAACAATAGCT >hg38_chr12:103013048-103013058(+) CAACAATAGGC >hg38_chr12:103035805-103035815(+) acacaatgttc >hg38_chr12:103035829-103035839(-) tgacaatgaca >hg38_chr12:103037855-103037865(+) atacaatgcag >hg38_chr12:103037891-103037901(+) GGACAAAGGCA >hg38_chr12:103042738-103042748(+) ATACAATGATA >hg38_chr12:103100305-103100315(+) GGACAATGGAG >hg38_chr12:103290373-103290383(+) AGACAATAGGA >hg38_chr12:103301881-103301891(+) TAACACTGGGA >hg38_chr12:103318769-103318779(+) CCACAATGTCA >hg38_chr12:103335875-103335885(+) ACACAATGACA >hg38_chr12:103384748-103384758(+) acacaataggt >hg38_chr12:103385290-103385300(-) ACACAATGGTA >hg38_chr12:103385305-103385315(-) GGACAATAAAA >hg38_chr12:103401781-103401791(+) AAACAATGGCT >hg38_chr12:103441649-103441659(+) GGACAATAGAA >hg38_chr12:103446030-103446040(+) TAACAATAACa >hg38_chr12:103446036-103446046(+) TAACaatagca >hg38_chr12:103456558-103456568(-) AAACAATGAGT >hg38_chr12:103456568-103456578(-) TAAGAATGGTA >hg38_chr12:103494179-103494189(-) AGACAAAGGGA >hg38_chr12:103495514-103495524(-) CCACAATGCGC >hg38_chr12:103496027-103496037(+) CCACAATGGGG >hg38_chr12:103565405-103565415(+) caacaatagat >hg38_chr12:103572816-103572826(-) GGACAATAATA >hg38_chr12:103572841-103572851(-) CAACAATGGCT >hg38_chr12:103626646-103626656(+) GGACTATGGAG >hg38_chr12:103645020-103645030(+) AAACAATGAAG >hg38_chr12:103679922-103679932(+) atacaatggaa >hg38_chr12:103838687-103838697(+) gaactatgggt >hg38_chr12:103880844-103880854(-) agacaatgcca >hg38_chr12:103884473-103884483(-) AAACAATGAGT >hg38_chr12:103952389-103952399(-) atacaatggag >hg38_chr12:103966164-103966174(+) ATACAAAGGCC >hg38_chr12:103989726-103989736(-) taacagtggtt >hg38_chr12:103989766-103989776(-) AAACAatgcta >hg38_chr12:103995702-103995712(-) caacaatgact >hg38_chr12:104011579-104011589(+) TCACAATGGGG >hg38_chr12:104059900-104059910(-) gaacaatggct >hg38_chr12:104064527-104064537(-) CCACAATGCAC >hg38_chr12:104068753-104068763(+) AAATAATGGGG >hg38_chr12:104068768-104068778(-) CAACAATGTAG >hg38_chr12:104070553-104070563(-) tcacaatgaca >hg38_chr12:104071836-104071846(-) cgacaataaaa >hg38_chr12:104079944-104079954(+) gtacaatactc >hg38_chr12:104083458-104083468(+) agacaaaggag >hg38_chr12:104090380-104090390(+) caacaatggtg >hg38_chr12:104090436-104090446(-) gaacaatggga >hg38_chr12:104103171-104103181(-) ACACAATGGCA >hg38_chr12:104103246-104103256(+) TAACAATAAGA >hg38_chr12:104103660-104103670(-) TTACAATGTAG >hg38_chr12:104107111-104107121(-) agacagtggac >hg38_chr12:104110605-104110615(-) aaacaatgtca >hg38_chr12:104110678-104110688(-) atacaatcgaa >hg38_chr12:104110792-104110802(+) ttacaatgtaa >hg38_chr12:104111747-104111757(-) acacaatggtt >hg38_chr12:104118111-104118121(-) taacaatatat >hg38_chr12:104146189-104146199(+) TAACAATAGAA >hg38_chr12:104159674-104159684(+) GGACAATGACA >hg38_chr12:104164482-104164492(-) TTACAATGTTC >hg38_chr12:104177672-104177682(-) AAACAATGTCA >hg38_chr12:104214078-104214088(+) AAACAAAGGGC >hg38_chr12:104219495-104219505(+) GAAGAATGGCG >hg38_chr12:104264015-104264025(-) AAACAATGCCA >hg38_chr12:104281087-104281097(+) atactatggat >hg38_chr12:104281106-104281116(-) aaacaatagtt >hg38_chr12:104302791-104302801(-) TAACAATGGGA >hg38_chr12:104305010-104305020(-) ATACAATATGT >hg38_chr12:104305056-104305066(-) AAACAATGTCT >hg38_chr12:104319459-104319469(-) CTACAAAGGAA >hg38_chr12:104320174-104320184(-) GAACAATGTCA >hg38_chr12:104371555-104371565(-) TGACAAAGGAA >hg38_chr12:104459875-104459885(-) ACACAATGTTT >hg38_chr12:104473691-104473701(-) CTACAATAGCC >hg38_chr12:104473709-104473719(+) ACACAATGTAA >hg38_chr12:104510718-104510728(-) GAACAAAGGGA >hg38_chr12:104604199-104604209(-) AGACAATGCTC >hg38_chr12:104669567-104669577(-) ACACAATGTAG >hg38_chr12:104737903-104737913(-) GAACAAAGGCA >hg38_chr12:104742544-104742554(-) GAACAACGGTG >hg38_chr12:104751406-104751416(-) GAACAATGCCA >hg38_chr12:104769435-104769445(+) GAACAATGCCT >hg38_chr12:104777911-104777921(-) AAATAATGGCT >hg38_chr12:104777949-104777959(+) CAACAATGAGC >hg38_chr12:104831531-104831541(-) ATACAatatct >hg38_chr12:104831542-104831552(-) TAACAATGGGG >hg38_chr12:104832931-104832941(-) GGACAATAGCT >hg38_chr12:104859185-104859195(+) AAACAATGAGT >hg38_chr12:104987826-104987836(-) TAACAATGGTT >hg38_chr12:104988633-104988643(+) GCATAATGGCC >hg38_chr12:104989472-104989482(-) aaacaatgcca >hg38_chr12:104989487-104989497(-) agacactggcg >hg38_chr12:105001300-105001310(-) taacaatatgt >hg38_chr12:105030928-105030938(-) atacaatgtgt >hg38_chr12:105036890-105036900(-) AGACAATGGCT >hg38_chr12:105112257-105112267(-) ttacaatggaa >hg38_chr12:105112818-105112828(+) atacaatgtca >hg38_chr12:105120778-105120788(-) TGATAATGGTC >hg38_chr12:105135175-105135185(-) caacaatagcg >hg38_chr12:105137912-105137922(-) TAATAATGGTA >hg38_chr12:105137926-105137936(+) CCACAATGAAT >hg38_chr12:105165340-105165350(-) gaacaatGCTC >hg38_chr12:105168190-105168200(+) GTACAATGCCC >hg38_chr12:105172964-105172974(+) GAACAATGAAT >hg38_chr12:105235186-105235196(+) AAACAAAGGAG >hg38_chr12:105240219-105240229(+) ccacaatgtca >hg38_chr12:105273860-105273870(-) taacaataagt >hg38_chr12:105276401-105276411(+) ggacaatagct >hg38_chr12:105293926-105293936(-) agacaatgctg >hg38_chr12:105318118-105318128(-) AAACAATATAC >hg38_chr12:105320696-105320706(-) GAACAAAGGAC >hg38_chr12:105332045-105332055(+) TGACAATGCAG >hg38_chr12:105346755-105346765(-) AAACAATGATA >hg38_chr12:105367212-105367222(+) AAACAATGCTG >hg38_chr12:105367231-105367241(-) GTACAGTGGTT >hg38_chr12:105367238-105367248(+) GTACAATGTAT >hg38_chr12:105368276-105368286(-) atacagtggag >hg38_chr12:105378885-105378895(+) TAACAAAGGAA >hg38_chr12:105436804-105436814(-) AGACAATGGAG >hg38_chr12:105445758-105445768(-) AAACAATAGAT >hg38_chr12:105467024-105467034(+) GCACAATGCCT >hg38_chr12:105476412-105476422(+) atacaatgtgt >hg38_chr12:105478020-105478030(-) ACACAATGGCT >hg38_chr12:105480503-105480513(-) agacaaaggat >hg38_chr12:105480578-105480588(-) GCACAATCGGA >hg38_chr12:105490403-105490413(+) ATACAAAGGAC >hg38_chr12:105532605-105532615(-) ggacaatggta >hg38_chr12:105561746-105561756(-) CAACAATGACG >hg38_chr12:105561789-105561799(-) acacaatggtg >hg38_chr12:105596427-105596437(+) taacaatgcaa >hg38_chr12:105612887-105612897(+) GAACAATGGTA >hg38_chr12:105621977-105621987(-) GTACAATGTTT >hg38_chr12:105640872-105640882(-) AAACAAAGGAT >hg38_chr12:105706957-105706967(+) TGACAATGCCC >hg38_chr12:105713859-105713869(+) TGACAATGAAG >hg38_chr12:105723966-105723976(+) tgacaataggt >hg38_chr12:105724634-105724644(-) taacaatgaag >hg38_chr12:105728806-105728816(-) ATACAATGGTT >hg38_chr12:105736337-105736347(+) aaacaaaggCT >hg38_chr12:105736385-105736395(+) ATACAATAAGT >hg38_chr12:105740522-105740532(-) GCACAAAGGGA >hg38_chr12:105761111-105761121(+) GAACAATGATC >hg38_chr12:105761369-105761379(-) AGACAATAGAA >hg38_chr12:105819753-105819763(-) TGACAATGTCA >hg38_chr12:105841838-105841848(-) agacaataaca >hg38_chr12:105844596-105844606(+) TCACAATGCTT >hg38_chr12:105848500-105848510(+) GCACAATGAGG >hg38_chr12:105880876-105880886(+) TCACAAAGGAT >hg38_chr12:105897655-105897665(-) tgacaataggc >hg38_chr12:105914722-105914732(+) tgacaatagag >hg38_chr12:105924010-105924020(-) atataatgtat >hg38_chr12:105924015-105924025(-) tcacaatataa >hg38_chr12:105930372-105930382(-) AGATAATGGGA >hg38_chr12:105930786-105930796(+) AAACAATGCTT >hg38_chr12:105946083-105946093(-) CCACAATGAAA >hg38_chr12:105948430-105948440(-) acacaaagggt >hg38_chr12:106044763-106044773(+) TTACAATGTCT >hg38_chr12:106078736-106078746(+) GAACAATGTGA >hg38_chr12:106080224-106080234(-) AGACAATGGAA >hg38_chr12:106084229-106084239(-) ACACAGTGGAA >hg38_chr12:106108732-106108742(-) TGACAATAGAG >hg38_chr12:106108745-106108755(+) TTACAATAGGC >hg38_chr12:106115562-106115572(-) GGACAATGAGA >hg38_chr12:106115569-106115579(-) GAATAATGGAC >hg38_chr12:106117782-106117792(+) ACACAATGACA >hg38_chr12:106117824-106117834(-) TGACAATGAAT >hg38_chr12:106122524-106122534(-) Taataatggca >hg38_chr12:106132620-106132630(+) AAACAAAGGAC >hg38_chr12:106133144-106133154(-) ACACAATGGGA >hg38_chr12:106136273-106136283(+) GCACAATGGGA >hg38_chr12:106136299-106136309(+) GAACAATAACA >hg38_chr12:106276007-106276017(+) ttacaatatat >hg38_chr12:106288601-106288611(+) agacaaaggga >hg38_chr12:106300970-106300980(+) TAACAAAGGAA >hg38_chr12:106305756-106305766(+) tgacaataggg >hg38_chr12:106309759-106309769(-) AGACAATGCCC >hg38_chr12:106335451-106335461(-) gtacaatgaat >hg38_chr12:106335791-106335801(+) TTACAGTGGGA >hg38_chr12:106346413-106346423(-) TCACAATGTTT >hg38_chr12:106378489-106378499(-) TTACAATGCCT >hg38_chr12:106378812-106378822(-) TAACAATAGGG >hg38_chr12:106424549-106424559(-) tgacaatgaaa >hg38_chr12:106431770-106431780(-) aaacaatgtgt >hg38_chr12:106436306-106436316(-) ACACAAAGGAT >hg38_chr12:106446609-106446619(-) AGACAATGAAT >hg38_chr12:106452445-106452455(-) AAACAATGGTG >hg38_chr12:106452488-106452498(+) TAATAATGCGT >hg38_chr12:106489212-106489222(+) AGATAATGGCA >hg38_chr12:106490286-106490296(-) AAACAATAACC >hg38_chr12:106490296-106490306(+) TTACAATAGTT >hg38_chr12:106490315-106490325(-) TTACAATGCTT >hg38_chr12:106493716-106493726(-) GAACAATGGAA >hg38_chr12:106495811-106495821(-) ACACAATGGTG >hg38_chr12:106541400-106541410(-) aaacaaagggg >hg38_chr12:106582870-106582880(+) CCACAATGGGC >hg38_chr12:106586026-106586036(+) AGACAATGGCC >hg38_chr12:106598320-106598330(+) aaacaatgatg >hg38_chr12:106601531-106601541(+) GAATAATGGCC >hg38_chr12:106601845-106601855(-) ggacaaaggca >hg38_chr12:106643101-106643111(-) ggacaatgggg >hg38_chr12:106651102-106651112(-) GAACTATGGGA >hg38_chr12:106665192-106665202(-) acacaatgatt >hg38_chr12:106665877-106665887(-) taataatggca >hg38_chr12:106673755-106673765(+) acacaatgctg >hg38_chr12:106681501-106681511(+) gtacaaaggcc >hg38_chr12:106715097-106715107(-) GAACAATGGGA >hg38_chr12:106722756-106722766(-) CAACAATGAGT >hg38_chr12:106723045-106723055(+) TTACAATGATC >hg38_chr12:106723066-106723076(+) TCACAATGATC >hg38_chr12:106745378-106745388(+) CAACAAAGGAC >hg38_chr12:106768427-106768437(-) GGACAAAGGAT >hg38_chr12:106768730-106768740(+) GCACAATGGAA >hg38_chr12:106783023-106783033(-) gaacaatggta >hg38_chr12:106794944-106794954(+) atataatgtat >hg38_chr12:106795001-106795011(+) gcacaatggtg >hg38_chr12:106836036-106836046(-) taacaatagcc >hg38_chr12:106840453-106840463(-) GCACAATAGTG >hg38_chr12:106842741-106842751(+) ATACCATGGTA >hg38_chr12:106842742-106842752(-) ATACCATGGTA >hg38_chr12:106842751-106842761(+) ATACAATGGTA >hg38_chr12:106845488-106845498(+) tcacaatggat >hg38_chr12:106861905-106861915(-) ATACAAAGATA >hg38_chr12:106861922-106861932(-) TAACAATACAT >hg38_chr12:106865704-106865714(-) gaacaaaggta >hg38_chr12:106873325-106873335(-) AGACAATGTAA >hg38_chr12:106873335-106873345(-) ACACAAAGGCA >hg38_chr12:106878706-106878716(-) GTACAATGTAT >hg38_chr12:106881253-106881263(-) GTACAAAGGTA >hg38_chr12:106920056-106920066(+) GTACAATGACA >hg38_chr12:106958493-106958503(-) GTACAATAGTA >hg38_chr12:106958530-106958540(+) CTACAATGCTT >hg38_chr12:106973809-106973819(+) GCACAATGAGG >hg38_chr12:106994728-106994738(-) ACACAATGATG >hg38_chr12:107025758-107025768(+) ATACAAAGGTT >hg38_chr12:107025787-107025797(+) ATACAATACAC >hg38_chr12:107027785-107027795(+) TCACAATGACC >hg38_chr12:107030231-107030241(-) gcacaatAGAC >hg38_chr12:107046991-107047001(+) aaacactggac >hg38_chr12:107050744-107050754(-) TTACAAAGGTC >hg38_chr12:107057070-107057080(+) TAACAATAAAA >hg38_chr12:107062737-107062747(-) atacaatgtaa >hg38_chr12:107079321-107079331(-) taacaatggca >hg38_chr12:107079962-107079972(+) ggacaatgatt >hg38_chr12:107081604-107081614(+) ctataatggat >hg38_chr12:107086427-107086437(+) agacaatgggg >hg38_chr12:107131207-107131217(+) CCACAATAGAG >hg38_chr12:107131221-107131231(+) AAACAATGATT >hg38_chr12:107132149-107132159(-) GTACAATGTGG >hg38_chr12:107159689-107159699(-) caacaatgaat >hg38_chr12:107233704-107233714(+) gaacaatggct >hg38_chr12:107256083-107256093(-) GCACAATGACC >hg38_chr12:107264218-107264228(+) aaacaatgtat >hg38_chr12:107276234-107276244(+) TCACAATGGTT >hg38_chr12:107280567-107280577(-) tcacaatagtt >hg38_chr12:107306338-107306348(-) GTACAATAAAG >hg38_chr12:107332996-107333006(-) TAATAATGGCT >hg38_chr12:107340417-107340427(+) TAACAATAAAT >hg38_chr12:107349275-107349285(-) GAACAATGATG >hg38_chr12:107363121-107363131(-) GGACAATGACA >hg38_chr12:107383714-107383724(+) gaacaaaggca >hg38_chr12:107383744-107383754(-) TGacaataata >hg38_chr12:107396179-107396189(-) GGACAATGTGG >hg38_chr12:107396257-107396267(+) gaacaatgcct >hg38_chr12:107399294-107399304(+) CCACAATGGTT >hg38_chr12:107399316-107399326(+) GAACAAAGGAT >hg38_chr12:107401184-107401194(-) CTACAATAGGC >hg38_chr12:107408087-107408097(-) ATACAATACAC >hg38_chr12:107426237-107426247(-) ACACAATGGGG >hg38_chr12:107461954-107461964(-) CCACAATGCAA >hg38_chr12:107467012-107467022(-) ctaCAATAGac >hg38_chr12:107496117-107496127(+) TAACAATGTTG >hg38_chr12:107524531-107524541(+) TAACAATAATA >hg38_chr12:107560463-107560473(+) GAACAATGGCA >hg38_chr12:107569503-107569513(-) ttacaatgaat >hg38_chr12:107611869-107611879(-) taacaaaggat >hg38_chr12:107611901-107611911(-) aaacaatgaat >hg38_chr12:107671299-107671309(-) ACACAATGAGC >hg38_chr12:107757263-107757273(+) GCACAATGGCA >hg38_chr12:107759700-107759710(+) GTACAATATGA >hg38_chr12:107798658-107798668(+) gcacaatggaa >hg38_chr12:107802016-107802026(+) gtacaatgaaa >hg38_chr12:107837064-107837074(-) TAACAATACAC >hg38_chr12:107929609-107929619(-) TAACGATGGTG >hg38_chr12:107996811-107996821(+) tgacaatgggg >hg38_chr12:107996838-107996848(-) atacaataata >hg38_chr12:108043859-108043869(+) TGACAATGGCT >hg38_chr12:108076921-108076931(+) acacaaaggca >hg38_chr12:108080596-108080606(-) AGACAATAGAC >hg38_chr12:108099604-108099614(+) CTATAATGGTA >hg38_chr12:108099949-108099959(-) AAATAATGGAG >hg38_chr12:108192024-108192034(+) gaacaatgaaa >hg38_chr12:108236109-108236119(+) GTACAATGAGC >hg38_chr12:108252630-108252640(-) atacaatggct >hg38_chr12:108254629-108254639(-) ATACAGTGGGG >hg38_chr12:108269205-108269215(+) atacaatatct >hg38_chr12:108321083-108321093(+) GCAcaatgctc >hg38_chr12:108355967-108355977(+) ccacaatgacc >hg38_chr12:108358773-108358783(+) GAACAAAGGCA >hg38_chr12:108382056-108382066(-) GAACAATGTTC >hg38_chr12:108382097-108382107(+) GTACAAtgtga >hg38_chr12:108391106-108391116(+) CTACAATAGTC >hg38_chr12:108391142-108391152(+) GGACAATGAGT >hg38_chr12:108410596-108410606(-) GGACAATGACT >hg38_chr12:108457396-108457406(-) AAACAATGTTG >hg38_chr12:108457478-108457488(+) CAACAATGCAC >hg38_chr12:108526798-108526808(-) GGACAATGGGG >hg38_chr12:108563821-108563831(-) GAACAATGCTA >hg38_chr12:108622643-108622653(+) AGACAATGGCA >hg38_chr12:108625395-108625405(-) tcacaataggc >hg38_chr12:108625651-108625661(-) GGACAATGTAG >hg38_chr12:108625725-108625735(-) TCACAATGCCA >hg38_chr12:108638416-108638426(+) TCACAATGGGA >hg38_chr12:108644535-108644545(+) AAACAGTGGGA >hg38_chr12:108644577-108644587(+) AGACAATGTCA >hg38_chr12:108653393-108653403(+) AAACAATGACC >hg38_chr12:108668733-108668743(-) GGACAATGGGA >hg38_chr12:108668793-108668803(+) GAACAATGTTG >hg38_chr12:108670760-108670770(+) agacaatataa >hg38_chr12:108683918-108683928(+) atacaaaggac >hg38_chr12:108691958-108691968(-) TAACAATGGAG >hg38_chr12:108715600-108715610(+) AGACAAAGGCA >hg38_chr12:108723614-108723624(+) CCACAATGGAG >hg38_chr12:108724320-108724330(-) TAACAATGTGA >hg38_chr12:108724392-108724402(+) ACACAAAGGAA >hg38_chr12:108729924-108729934(+) GGACAATGAGA >hg38_chr12:108732246-108732256(-) TGACAATGGAC >hg38_chr12:108742864-108742874(+) aaacaatagag >hg38_chr12:108769130-108769140(-) ACACAATGCCA >hg38_chr12:108798826-108798836(+) AAACAATAGTT >hg38_chr12:108803446-108803456(-) AGACAAAGGTG >hg38_chr12:108838512-108838522(-) TCACAATAGGA >hg38_chr12:108840703-108840713(+) GAACAATGAAT >hg38_chr12:108854431-108854441(+) ACACAAAGGAA >hg38_chr12:108935785-108935795(-) CCACAATGAAT >hg38_chr12:108968043-108968053(-) GCACAATGGTC >hg38_chr12:108968050-108968060(-) CCACAATGCAC >hg38_chr12:109042511-109042521(+) taacaatacgg >hg38_chr12:109042531-109042541(-) aaacaatgagc >hg38_chr12:109043938-109043948(+) tcacaatagct >hg38_chr12:109044006-109044016(+) atacaatgagg >hg38_chr12:109053001-109053011(-) GAACAATGACA >hg38_chr12:109057291-109057301(-) taacaatgatc >hg38_chr12:109057504-109057514(-) GGACAATGATT >hg38_chr12:109070061-109070071(-) acacaatagaa >hg38_chr12:109073211-109073221(-) GTATAATGGGT >hg38_chr12:109086415-109086425(-) TCACAATGGAC >hg38_chr12:109115900-109115910(+) GCACAATGTGC >hg38_chr12:109143047-109143057(-) CTACAATGTCA >hg38_chr12:109264798-109264808(-) GAACAATGCAG >hg38_chr12:109291835-109291845(-) ACACAATGGGA >hg38_chr12:109314286-109314296(-) taacaatggag >hg38_chr12:109316684-109316694(+) TTACAATAGGA >hg38_chr12:109316693-109316703(+) GAACAATCGCT >hg38_chr12:109386216-109386226(-) gtacaatagaa >hg38_chr12:109386591-109386601(+) taacaatgttg >hg38_chr12:109386639-109386649(-) tcacaaaggaa >hg38_chr12:109457875-109457885(-) TGATAATGGGT >hg38_chr12:109477415-109477425(-) GCACAACGGAC >hg38_chr12:109518981-109518991(-) AAACAATGCCC >hg38_chr12:109529730-109529740(-) CGACAATGACG >hg38_chr12:109529739-109529749(-) TGACAATGGCG >hg38_chr12:109529745-109529755(-) GAACAATGACA >hg38_chr12:109626489-109626499(+) GGACAAAGGAT >hg38_chr12:109626510-109626520(+) ACACAATGGTG >hg38_chr12:109710863-109710873(-) ttataatggcc >hg38_chr12:109720203-109720213(-) GCACAATAGCA >hg38_chr12:109732470-109732480(-) AGACAATGGGC >hg38_chr12:109732501-109732511(-) GAACAACGGCT >hg38_chr12:109734642-109734652(-) ccacaatgaac >hg38_chr12:109747932-109747942(+) gaacaatgaaa >hg38_chr12:109747940-109747950(+) aaacaataata >hg38_chr12:109747955-109747965(+) cgataatagac >hg38_chr12:109750618-109750628(+) taacaatagtg >hg38_chr12:109750657-109750667(-) agacaatgggt >hg38_chr12:109845459-109845469(+) GCACAATGGGG >hg38_chr12:109868526-109868536(+) GAACAATGTTC >hg38_chr12:110020928-110020938(-) GAACAATGTCT >hg38_chr12:110020968-110020978(-) AAACAATGACT >hg38_chr12:110023769-110023779(-) GGACAAAGGTG >hg38_chr12:110109792-110109802(-) TGACAATGGCT >hg38_chr12:110124826-110124836(-) GAACAATGAAA >hg38_chr12:110164607-110164617(-) GTACTATGGGA >hg38_chr12:110213557-110213567(+) gcacagtggta >hg38_chr12:110221669-110221679(-) TGACAATGCTG >hg38_chr12:110234498-110234508(-) AAACAATAATC >hg38_chr12:110245629-110245639(-) ATACAAAGGCT >hg38_chr12:110270596-110270606(-) CCACAATAGAA >hg38_chr12:110279543-110279553(+) atacaaaggCT >hg38_chr12:110283116-110283126(-) TAACAATGGAA >hg38_chr12:110284133-110284143(+) TCACAATGTAC >hg38_chr12:110304452-110304462(-) agacaatgaca >hg38_chr12:110304493-110304503(-) ccacaatgata >hg38_chr12:110545511-110545521(+) TAACAATGTAT >hg38_chr12:110552489-110552499(+) gtacaatacaa >hg38_chr12:110566131-110566141(+) AAACAATGCAG >hg38_chr12:110566733-110566743(+) AGACAATGCCC >hg38_chr12:110720580-110720590(+) AGACAATGAGA >hg38_chr12:110790593-110790603(-) tgacaatgagc >hg38_chr12:110816879-110816889(+) gAACAAAGGAC >hg38_chr12:110819769-110819779(+) ATACAAAGGGA >hg38_chr12:110857661-110857671(-) GCACAATGGAA >hg38_chr12:110861174-110861184(-) agacaatggga >hg38_chr12:110862485-110862495(-) GCACAATGGGA >hg38_chr12:110948801-110948811(-) ccacaatgaga >hg38_chr12:110996856-110996866(-) gaacaatggct >hg38_chr12:111036175-111036185(-) TAACAATAGGA >hg38_chr12:111058099-111058109(-) TCACAATGCCT >hg38_chr12:111072462-111072472(-) GGACAAAGGGT >hg38_chr12:111129398-111129408(+) gcacaatgctt >hg38_chr12:111134184-111134194(-) acacaatgggg >hg38_chr12:111134222-111134232(-) atacaatgaca >hg38_chr12:111248532-111248542(-) AGACAATGCTG >hg38_chr12:111271465-111271475(-) GTACAATGAAT >hg38_chr12:111271869-111271879(-) ggacaaaggtt >hg38_chr12:111339424-111339434(+) TAACAAAGGAC >hg38_chr12:111354186-111354196(+) TAACAATGGTG >hg38_chr12:111413447-111413457(-) gaacaatggca >hg38_chr12:111467896-111467906(+) ACACAATGAGG >hg38_chr12:111467940-111467950(+) CTACAATGTCT >hg38_chr12:111505631-111505641(+) ccacaatgagg >hg38_chr12:111530344-111530354(+) atacaatgagt >hg38_chr12:111550402-111550412(+) AGACAATGCAT >hg38_chr12:111597529-111597539(+) CCACAATGGAA >hg38_chr12:111600460-111600470(-) AAACAATAGAT >hg38_chr12:111626644-111626654(-) AAACAAAGGCC >hg38_chr12:111626684-111626694(-) taacaaagggc >hg38_chr12:111636185-111636195(+) TGACAATGGTG >hg38_chr12:111865061-111865071(+) AAACAATGTCT >hg38_chr12:111865073-111865083(-) TGACAATGACT >hg38_chr12:111938063-111938073(+) TGACAATGGAA >hg38_chr12:111948374-111948384(+) AAACAAAGGGC >hg38_chr12:112014096-112014106(+) AAACAATGATC >hg38_chr12:112024217-112024227(-) TAACAAAGGGC >hg38_chr12:112035570-112035580(-) AAACAAAGGGT >hg38_chr12:112040446-112040456(+) CAACAATGTCT >hg38_chr12:112053146-112053156(+) GCACAATGGAC >hg38_chr12:112084336-112084346(+) caacaatgaac >hg38_chr12:112090406-112090416(+) gaacaatgggg >hg38_chr12:112096257-112096267(+) ATACAATGATG >hg38_chr12:112125534-112125544(-) GAACAACGGCC >hg38_chr12:112131440-112131450(+) AAACAATGCTA >hg38_chr12:112275978-112275988(+) CCACAATGAGC >hg38_chr12:112282973-112282983(+) GGACAATGACT >hg38_chr12:112347908-112347918(+) GGACAATATAC >hg38_chr12:112420239-112420249(-) AGACAATGGGT >hg38_chr12:112462786-112462796(-) acacagtggat >hg38_chr12:112462802-112462812(-) aaactatggta >hg38_chr12:112518480-112518490(+) TAACAATGGTT >hg38_chr12:112588132-112588142(+) gcacaatgaag >hg38_chr12:112590586-112590596(-) AAACAATGCAG >hg38_chr12:112596514-112596524(-) ttacaatgaag >hg38_chr12:112596563-112596573(-) gaacaatacta >hg38_chr12:112698126-112698136(+) taacaaaggtg >hg38_chr12:112730876-112730886(-) GAACAATGGCC >hg38_chr12:112771503-112771513(+) aaacaatgctg >hg38_chr12:112777809-112777819(+) TCACAATACCG >hg38_chr12:112826795-112826805(-) gtacagtggaa >hg38_chr12:113057354-113057364(-) GAACAATGGGG >hg38_chr12:113192401-113192411(-) taacaatggcc >hg38_chr12:113197399-113197409(+) AAACAAAGGGA >hg38_chr12:113221120-113221130(-) GAACAATAAAT >hg38_chr12:113251753-113251763(-) gaacaatggGA >hg38_chr12:113259793-113259803(+) aaacaatgtgg >hg38_chr12:113300045-113300055(+) CAACAATGCAG >hg38_chr12:113300062-113300072(+) CAACAATGCAG >hg38_chr12:113300079-113300089(+) CAACAATGCAG >hg38_chr12:113303100-113303110(+) GCACAAAGGCG >hg38_chr12:113327229-113327239(+) GAACAATAATA >hg38_chr12:113358586-113358596(-) GCACAATGCTG >hg38_chr12:113369576-113369586(+) atacaatgcaa >hg38_chr12:113498001-113498011(-) acacaaaggag >hg38_chr12:113587600-113587610(-) ATACATTGGTA >hg38_chr12:113638120-113638130(+) GCACAATGGCC >hg38_chr12:113638150-113638160(-) GAACAATGCGG >hg38_chr12:113647265-113647275(-) gtacaatgGAG >hg38_chr12:113647294-113647304(-) acacaatgtga >hg38_chr12:113669987-113669997(+) CCACAATAGCT >hg38_chr12:113802250-113802260(+) caataatggta >hg38_chr12:113802309-113802319(+) gcacaatgATG >hg38_chr12:113869886-113869896(-) GCACAATGTTC >hg38_chr12:113869907-113869917(-) AAACAAAGGGT >hg38_chr12:113899854-113899864(+) CAACAATGAGC >hg38_chr12:113912938-113912948(+) CAACAATAGCA >hg38_chr12:113929499-113929509(-) GTACAAAGGGC >hg38_chr12:114088707-114088717(+) AGACAATGCCA >hg38_chr12:114123293-114123303(-) AAACAATGAGT >hg38_chr12:114163020-114163030(+) ATACAATAGTT >hg38_chr12:114208331-114208341(-) GAACAAAGGGG >hg38_chr12:114245678-114245688(+) aaacaaagggt >hg38_chr12:114276172-114276182(-) aaacaaagggt >hg38_chr12:114281219-114281229(+) agacaatgtca >hg38_chr12:114285624-114285634(+) GAACAATGCCA >hg38_chr12:114323247-114323257(+) aaacaataaca >hg38_chr12:114343744-114343754(+) ATACAATGGCA >hg38_chr12:114350520-114350530(-) TAACAATAACA >hg38_chr12:114410201-114410211(+) TAACAATAGAA >hg38_chr12:114508701-114508711(-) TAACAATACAT >hg38_chr12:114529990-114530000(+) GGACAATAGTG >hg38_chr12:114625367-114625377(-) TGACAATGCTC >hg38_chr12:114642006-114642016(-) AGACAAAGGAT >hg38_chr12:114649775-114649785(+) GGACAATGGCA >hg38_chr12:114657270-114657280(+) ACACAATGGGG >hg38_chr12:114666237-114666247(+) GAACAAAGGCC >hg38_chr12:114668461-114668471(+) TCACAATATAC >hg38_chr12:114694096-114694106(-) ACACACTGGTA >hg38_chr12:114698410-114698420(+) CCACAATGGTC >hg38_chr12:114813418-114813428(+) ACACAATGTTA >hg38_chr12:114841754-114841764(-) GAACAATAGGA >hg38_chr12:114889485-114889495(+) TAACAATGTAA >hg38_chr12:114949193-114949203(+) CTACAATAGAA >hg38_chr12:114950191-114950201(-) ACACAATGGAG >hg38_chr12:115000235-115000245(-) GGACAAAGGCA >hg38_chr12:115056303-115056313(-) acacaatgcaa >hg38_chr12:115057141-115057151(-) tgacaataata >hg38_chr12:115057149-115057159(+) tcacaatgtat >hg38_chr12:115057167-115057177(-) gcacaatgtat >hg38_chr12:115057704-115057714(-) taataatggct >hg38_chr12:115111640-115111650(-) ACACTATGGTT >hg38_chr12:115114334-115114344(-) TGACAATAGAC >hg38_chr12:115116061-115116071(+) AGACAATGGCT >hg38_chr12:115155218-115155228(+) aGATAATGGTG >hg38_chr12:115166019-115166029(-) tgacaatgaat >hg38_chr12:115351688-115351698(+) CTACAAAGGAT >hg38_chr12:115432249-115432259(-) acacaatgcat >hg38_chr12:115432275-115432285(-) atacaatgcac >hg38_chr12:115433412-115433422(-) CAACAAAGGCG >hg38_chr12:115437741-115437751(-) CAACAATAGGA >hg38_chr12:115463968-115463978(+) AGACAATGCAT >hg38_chr12:115463978-115463988(+) TAACAATAACG >hg38_chr12:115508132-115508142(-) GAACAATGGTC >hg38_chr12:115508295-115508305(+) AAACAATGAGG >hg38_chr12:115512118-115512128(-) AGACAAAGGCA >hg38_chr12:115519786-115519796(+) ATACAATGGTG >hg38_chr12:115558121-115558131(+) TGAcaatgaag >hg38_chr12:115656861-115656871(+) taacaatagag >hg38_chr12:115739187-115739197(+) gaacaatgcct >hg38_chr12:115759682-115759692(+) AGACAATGAAA >hg38_chr12:115860463-115860473(+) TGACAATGGCT >hg38_chr12:115864753-115864763(+) acacaatgcta >hg38_chr12:115873129-115873139(-) TCACAATATAA >hg38_chr12:115923133-115923143(+) gtacaatatta >hg38_chr12:115954153-115954163(+) gaacaaagggt >hg38_chr12:115964227-115964237(+) taacaatgatt >hg38_chr12:115964283-115964293(-) agataatggag >hg38_chr12:115976373-115976383(+) caacaatgaat >hg38_chr12:116004945-116004955(-) GTACAATAATA >hg38_chr12:116007217-116007227(+) AAACAAAGGTG >hg38_chr12:116007245-116007255(+) GAACAATACGC >hg38_chr12:116007262-116007272(+) ATACAATAGTA >hg38_chr12:116014681-116014691(-) TAACAATACAT >hg38_chr12:116014710-116014720(+) ATACAATAGTA >hg38_chr12:116014746-116014756(+) TCACAATAGGG >hg38_chr12:116021576-116021586(+) ATACAATGGAG >hg38_chr12:116025770-116025780(+) aaacaataagt >hg38_chr12:116025814-116025824(+) taacaataatg >hg38_chr12:116025822-116025832(-) atacaatgcat >hg38_chr12:116025852-116025862(+) agacaatgttc >hg38_chr12:116030983-116030993(+) atacaatgcat >hg38_chr12:116048953-116048963(+) CTACAAAGGAA >hg38_chr12:116054074-116054084(-) GGACAATGGGG >hg38_chr12:116066026-116066036(+) GGACAAAGGTG >hg38_chr12:116077539-116077549(+) gaacaatgggc >hg38_chr12:116085088-116085098(+) TAACAATGTTC >hg38_chr12:116085948-116085958(+) GAACAATGAGA >hg38_chr12:116122784-116122794(-) CTACAATGCTG >hg38_chr12:116123884-116123894(+) TAACAATGGTG >hg38_chr12:116128853-116128863(+) GTACAATGAGC >hg38_chr12:116137175-116137185(-) GAACAATGTCA >hg38_chr12:116139350-116139360(+) AAACAATGCAT >hg38_chr12:116145158-116145168(-) AAACAATAGAT >hg38_chr12:116154147-116154157(-) tcacaatgctt >hg38_chr12:116155544-116155554(+) ACACAATAGGT >hg38_chr12:116162225-116162235(-) taacaatagat >hg38_chr12:116162253-116162263(-) taacaatgggg >hg38_chr12:116172364-116172374(-) GAACAATGCAG >hg38_chr12:116182102-116182112(-) ttacaatgact >hg38_chr12:116184149-116184159(+) ACACAATGAAG >hg38_chr12:116184396-116184406(+) CAACAATGAAG >hg38_chr12:116184436-116184446(+) AGACAATGTCT >hg38_chr12:116187430-116187440(-) TGACAATGATA >hg38_chr12:116200258-116200268(+) TAATAATGGCC >hg38_chr12:116200311-116200321(+) GTACAATGTTA >hg38_chr12:116200527-116200537(-) CAACAATAGTT >hg38_chr12:116202092-116202102(+) CGTCAATGGAA >hg38_chr12:116210978-116210988(+) CCACAATGAAT >hg38_chr12:116215362-116215372(-) AGACAGTGGAC >hg38_chr12:116259627-116259637(-) gtacaatgaac >hg38_chr12:116263513-116263523(-) GCACAATAGAA >hg38_chr12:116265177-116265187(-) AGACAATCGTG >hg38_chr12:116265352-116265362(+) AAACAATGAAG >hg38_chr12:116273577-116273587(+) CAACAATAGAT >hg38_chr12:116302152-116302162(-) AGACAATGAAG >hg38_chr12:116302184-116302194(-) AGACAAAGGAA >hg38_chr12:116302606-116302616(+) TCACAATGGGG >hg38_chr12:116332425-116332435(-) GGATAATGGTG >hg38_chr12:116332441-116332451(-) TCATAATGGGT >hg38_chr12:116338540-116338550(-) tcacaatggct >hg38_chr12:116343057-116343067(-) tgacaatggac >hg38_chr12:116346377-116346387(-) AGACAATGGGG >hg38_chr12:116390237-116390247(-) CGACAGTGGGT >hg38_chr12:116449492-116449502(-) aaacaatgttt >hg38_chr12:116524926-116524936(-) AAACAATGAAG >hg38_chr12:116616292-116616302(-) TAACAATAGTA >hg38_chr12:116690184-116690194(+) GCACAACGGAT >hg38_chr12:116693483-116693493(-) GGACAATAGTC >hg38_chr12:116709002-116709012(-) AAACAATGAAG >hg38_chr12:116719142-116719152(+) CCACAATAGCT >hg38_chr12:116720082-116720092(+) ATACAATGATC >hg38_chr12:116720149-116720159(-) GCACAATAGCT >hg38_chr12:116819296-116819306(-) GGACAAAGGAG >hg38_chr12:116876479-116876489(-) AGATAATGGGC >hg38_chr12:116931324-116931334(-) gaacaatgctg >hg38_chr12:116968501-116968511(-) acacaatggaa >hg38_chr12:116970419-116970429(-) GGACAATGGAG >hg38_chr12:116991947-116991957(+) TAATAATGGCT >hg38_chr12:116991990-116992000(-) ACACAATGGGT >hg38_chr12:117002072-117002082(+) tcacaataggt >hg38_chr12:117118894-117118904(-) TAACAATAGGA >hg38_chr12:117150654-117150664(+) TAACAAAGGGG >hg38_chr12:117231838-117231848(+) aaataatggcc >hg38_chr12:117235508-117235518(-) TAACAATACGA >hg38_chr12:117236364-117236374(-) TAATAATGGTG >hg38_chr12:117277169-117277179(-) ttacaatggct >hg38_chr12:117277196-117277206(-) ccacaatgaga >hg38_chr12:117350305-117350315(+) GGACAATGCAG >hg38_chr12:117352573-117352583(-) TGACAATGTCA >hg38_chr12:117364317-117364327(+) GAACTATGGAC >hg38_chr12:117364351-117364361(+) Gaacaatggcc >hg38_chr12:117392974-117392984(+) ttacaatggtg >hg38_chr12:117393993-117394003(+) agacagtggac >hg38_chr12:117408351-117408361(+) CCACAATGGGC >hg38_chr12:117474903-117474913(-) agaccatggcg >hg38_chr12:117528614-117528624(-) ATACCATGGCG >hg38_chr12:117680507-117680517(-) GAACAATGCAT >hg38_chr12:117764977-117764987(-) TTACAATGCCA >hg38_chr12:117773825-117773835(+) GTACAATAAGT >hg38_chr12:117774142-117774152(-) GTATAATGGCT >hg38_chr12:117790962-117790972(+) gaacaatggat >hg38_chr12:117821766-117821776(+) agacaataggg >hg38_chr12:117821827-117821837(-) tgacaataata >hg38_chr12:117847212-117847222(+) GAACAATAGGG >hg38_chr12:117872862-117872872(+) GTACAATAGGC >hg38_chr12:117953472-117953482(+) atacaatggac >hg38_chr12:118062323-118062333(-) GGACAATGAGC >hg38_chr12:118154306-118154316(-) TAATAATAGCG >hg38_chr12:118154331-118154341(-) GAACAATGGTA >hg38_chr12:118183380-118183390(+) TAACACTGGGT >hg38_chr12:118184097-118184107(-) GTACAATGATA >hg38_chr12:118186178-118186188(+) GAACAATAATA >hg38_chr12:118188316-118188326(+) AGACAATGACT >hg38_chr12:118235980-118235990(+) TTACAAAGGCA >hg38_chr12:118247952-118247962(-) CCACAATGATA >hg38_chr12:118282493-118282503(-) TTACAGTGGAA >hg38_chr12:118300657-118300667(-) GAACAATAGAA >hg38_chr12:118326823-118326833(+) ATACAAAGGGG >hg38_chr12:118344135-118344145(-) AAACAATAAAA >hg38_chr12:118345657-118345667(+) ATACAATGTAA >hg38_chr12:118397843-118397853(-) GGACAATGCAT >hg38_chr12:118428036-118428046(+) GCACAATGAGG >hg38_chr12:118472487-118472497(-) ATataatgata >hg38_chr12:118475001-118475011(-) agacaatgcat >hg38_chr12:118475090-118475100(+) ttacaatgact >hg38_chr12:118625345-118625355(+) aaacaatggag >hg38_chr12:118716959-118716969(+) atacaatgagg >hg38_chr12:118734449-118734459(+) CCACAATAGGC >hg38_chr12:118740169-118740179(-) AAACAATGGCT >hg38_chr12:118740236-118740246(-) AAACAAAGGCA >hg38_chr12:118741381-118741391(-) agacaaaggag >hg38_chr12:118741404-118741414(+) ccacaatagtc >hg38_chr12:118763628-118763638(-) gtacaatagga >hg38_chr12:118788936-118788946(+) taacagtggtt >hg38_chr12:118870728-118870738(+) AAACAATGCTT >hg38_chr12:118880686-118880696(+) AGACAAAGGCA >hg38_chr12:118913147-118913157(+) GCACAATAGGA >hg38_chr12:118962503-118962513(+) CGACACTGGCC >hg38_chr12:118971228-118971238(-) AGACAAAGGCA >hg38_chr12:119069181-119069191(+) AAACAGTGGAA >hg38_chr12:119089544-119089554(-) TGACAAAGGAT >hg38_chr12:119089569-119089579(+) TGACAAAGGAT >hg38_chr12:119147373-119147383(+) ctacaatggtg >hg38_chr12:119148131-119148141(-) GAACAAAGGCA >hg38_chr12:119168105-119168115(-) ATACAATGGTG >hg38_chr12:119230114-119230124(+) atacaaaggca >hg38_chr12:119236496-119236506(-) agacaatgctt >hg38_chr12:119308914-119308924(+) agacaatgagg >hg38_chr12:119339130-119339140(-) aaacaaaggga >hg38_chr12:119542467-119542477(+) CTACAATGCAA >hg38_chr12:119582116-119582126(+) GAAcaaagggc >hg38_chr12:119605817-119605827(+) ATACAATACTC >hg38_chr12:119678716-119678726(-) acacaatgcag >hg38_chr12:119678754-119678764(-) ccacaatggat >hg38_chr12:119711092-119711102(-) GTACAATAGGC >hg38_chr12:119762102-119762112(+) CAACAATGTCT >hg38_chr12:119762109-119762119(-) TCACAATAGAC >hg38_chr12:119808661-119808671(+) ATATAATGAAT >hg38_chr12:119808688-119808698(+) ATACAGTGGGC >hg38_chr12:119928383-119928393(+) ACACAAAGGGA >hg38_chr12:119928403-119928413(-) GAACAATAGTA >hg38_chr12:119941076-119941086(+) CTACAATGGGA >hg38_chr12:120011817-120011827(-) gcacaatgcTA >hg38_chr12:120012492-120012502(-) GGACAATGTGA >hg38_chr12:120041490-120041500(+) aaacaaaggcc >hg38_chr12:120106396-120106406(-) tcataatggtc >hg38_chr12:120199983-120199993(+) CTACAATGCTG >hg38_chr12:120234981-120234991(-) ACACAAAGGGT >hg38_chr12:120235182-120235192(+) CAACAATAGAA >hg38_chr12:120317282-120317292(-) GAACAAAGGGG >hg38_chr12:120317908-120317918(+) AGACAATGTGA >hg38_chr12:120317919-120317929(+) AGACAATGCGG >hg38_chr12:120325663-120325673(-) AAACAATGACC >hg38_chr12:120359267-120359277(-) ggacaatggag >hg38_chr12:120367136-120367146(-) CAACAATAGCT >hg38_chr12:120396217-120396227(+) atataatggaa >hg38_chr12:120414242-120414252(+) TCACAATGAGG >hg38_chr12:120421850-120421860(+) TGACAAAGGAA >hg38_chr12:120429159-120429169(-) ACACAATGTCT >hg38_chr12:120446375-120446385(+) CGACAGTGGTG >hg38_chr12:120495931-120495941(-) ccacaatgcac >hg38_chr12:120515628-120515638(+) agacaaaggga >hg38_chr12:120558051-120558061(+) tcacaatgAAT >hg38_chr12:120584905-120584915(-) ggataatGGCT >hg38_chr12:120668716-120668726(-) TTACAATGGGT >hg38_chr12:120692399-120692409(-) ATACAAAGGAT >hg38_chr12:120693580-120693590(+) GGACAATGAAG >hg38_chr12:120795773-120795783(-) aaataatggcc >hg38_chr12:120805500-120805510(-) gtacagtgtcg >hg38_chr12:120805507-120805517(+) gtacaataagg >hg38_chr12:120810625-120810635(+) GCACAATGAAG >hg38_chr12:120818619-120818629(+) ATACAATGAAA >hg38_chr12:120833209-120833219(+) gtacaataaga >hg38_chr12:120833218-120833228(+) gaacgatggca >hg38_chr12:120984285-120984295(-) AAACAAAGGCC >hg38_chr12:121091590-121091600(+) acacaatgact >hg38_chr12:121110561-121110571(+) TTACAAAGGGA >hg38_chr12:121203027-121203037(-) TTACAATGGCC >hg38_chr12:121239141-121239151(-) AGACAAAGGCA >hg38_chr12:121295500-121295510(+) GAACAAAGGAG >hg38_chr12:121311322-121311332(+) aaacaataacc >hg38_chr12:121347184-121347194(-) CAACAATGATA >hg38_chr12:121402831-121402841(-) TTACAATAACA >hg38_chr12:121414048-121414058(-) GGATAATGGCT >hg38_chr12:121414305-121414315(+) GGACAAAGGCA >hg38_chr12:121467503-121467513(+) ACACAAAGGGA >hg38_chr12:121576322-121576332(-) CTACAATGAGG >hg38_chr12:121576350-121576360(-) TTACAATAAGA >hg38_chr12:121590828-121590838(-) GAACAAAGGGC >hg38_chr12:121754141-121754151(+) AAACAAAGGCT >hg38_chr12:121767940-121767950(+) GGACAAAGGAG >hg38_chr12:121829648-121829658(-) ttacaatagcc >hg38_chr12:121889246-121889256(-) caacaatgcac >hg38_chr12:121950126-121950136(+) atacaatggaa >hg38_chr12:121950137-121950147(-) atacaataaca >hg38_chr12:121954190-121954200(+) CCACAATGGAA >hg38_chr12:122063799-122063809(+) GAACAATAGGC >hg38_chr12:122078273-122078283(+) GGACAATAGCC >hg38_chr12:122111632-122111642(+) ACACAATAAAA >hg38_chr12:122114578-122114588(-) ttacaatgggg >hg38_chr12:122117383-122117393(-) GAACAAAGGTT >hg38_chr12:122118172-122118182(+) ggacaaaggga >hg38_chr12:122167653-122167663(+) gaACAATGCCA >hg38_chr12:122167680-122167690(+) GAAcaatgcca >hg38_chr12:122226009-122226019(-) GCACAATGGCG >hg38_chr12:122229748-122229758(+) ACACAAAGGCG >hg38_chr12:122282200-122282210(-) TGACAATAGGT >hg38_chr12:122309159-122309169(-) TTACAAAGGTT >hg38_chr12:122367074-122367084(+) AGACAATGTGT >hg38_chr12:122386462-122386472(-) TAACAATGGTG >hg38_chr12:122431399-122431409(-) GTACAATGCAG >hg38_chr12:122431426-122431436(+) GAACAATATCA >hg38_chr12:122482559-122482569(+) ATACAATAACA >hg38_chr12:122501104-122501114(-) AGACAATGAGT >hg38_chr12:122519293-122519303(-) GCATAATGGCT >hg38_chr12:122523708-122523718(+) GTACAATAAAT >hg38_chr12:122523758-122523768(+) GTACAATAAAT >hg38_chr12:122611049-122611059(-) AGACAATGCTT >hg38_chr12:122752817-122752827(-) AGACAATGAGC >hg38_chr12:122768615-122768625(-) TAACAATGCAA >hg38_chr12:122819466-122819476(+) tgacaatgcag >hg38_chr12:122826822-122826832(+) AAACAATAGCT >hg38_chr12:122827348-122827358(-) GCACAATAGAA >hg38_chr12:122827369-122827379(-) TAACAAAGGGG >hg38_chr12:122834744-122834754(-) GGACAATGGGG >hg38_chr12:122871370-122871380(-) GCACAATGGCC >hg38_chr12:122873396-122873406(-) GGACAATGTGC >hg38_chr12:122875577-122875587(-) TAACAATGAAA >hg38_chr12:122880751-122880761(+) CCACAATGACA >hg38_chr12:122887501-122887511(-) gaacaaaggca >hg38_chr12:122890984-122890994(+) Taacaataact >hg38_chr12:122898978-122898988(-) CAACAATGCTC >hg38_chr12:122910171-122910181(+) GAACAGTGGGT >hg38_chr12:123041362-123041372(+) AGACAATAAAC >hg38_chr12:123050685-123050695(-) TCACAATGCTG >hg38_chr12:123105510-123105520(-) GGACAATCGCT >hg38_chr12:123142125-123142135(+) TCACAATGCTC >hg38_chr12:123147648-123147658(-) acacaatgtga >hg38_chr12:123156443-123156453(+) TTACAATGTAG >hg38_chr12:123190601-123190611(+) aaacaatgttg >hg38_chr12:123227014-123227024(+) AAACAATATAA >hg38_chr12:123238365-123238375(-) AAACAATGACC >hg38_chr12:123261354-123261364(+) CTACAGTGGTA >hg38_chr12:123270191-123270201(-) ttacaaagacg >hg38_chr12:123286896-123286906(-) GAACAAAGGGC >hg38_chr12:123291493-123291503(+) ATACAATGACA >hg38_chr12:123291537-123291547(+) GTACAATGCAT >hg38_chr12:123294923-123294933(-) AGACAATGAGG >hg38_chr12:123294995-123295005(-) AAACAATCGTT >hg38_chr12:123325327-123325337(-) AAACAATAACA >hg38_chr12:123354161-123354171(-) CGATAATGTTA >hg38_chr12:123354770-123354780(+) CTACAAAGGAA >hg38_chr12:123502346-123502356(+) ttacaaaggcc >hg38_chr12:123566797-123566807(-) tcacaatgtta >hg38_chr12:123660568-123660578(-) TCACAATGAGG >hg38_chr12:123713427-123713437(-) aaacaataaac >hg38_chr12:123738060-123738070(-) atacaaTAGCt >hg38_chr12:123738074-123738084(-) tcacaatgaga >hg38_chr12:123951589-123951599(+) GAACAACGGGA >hg38_chr12:123973708-123973718(-) CAACAATGGAG >hg38_chr12:123983965-123983975(-) TCACAATGGGT >hg38_chr12:124036221-124036231(+) tcacaatagcc >hg38_chr12:124036238-124036248(-) taacaataaaa >hg38_chr12:124036953-124036963(-) gcacaatgcat >hg38_chr12:124072077-124072087(-) ACACAATGACC >hg38_chr12:124098128-124098138(+) AAACAAAGGAA >hg38_chr12:124099148-124099158(+) ACACAATGCCC >hg38_chr12:124111068-124111078(+) CCACAATGTCA >hg38_chr12:124111347-124111357(+) CGATAATAGCG >hg38_chr12:124135490-124135500(-) tgacaatgttc >hg38_chr12:124242392-124242402(+) AAACAATGGGG >hg38_chr12:124282512-124282522(-) atacaatgagg >hg38_chr12:124286602-124286612(-) AGACAAAGGGC >hg38_chr12:124318584-124318594(-) GGACAAAGGCA >hg38_chr12:124328757-124328767(-) TTACAAAGGAG >hg38_chr12:124420775-124420785(+) TTACAATGTAA >hg38_chr12:124445671-124445681(-) ggacaatgaga >hg38_chr12:124445700-124445710(+) tcacaatgtat >hg38_chr12:124475547-124475557(-) atacaatggca >hg38_chr12:124504839-124504849(+) gaacaaaggcc >hg38_chr12:124510034-124510044(-) AGACAATGCCT >hg38_chr12:124513224-124513234(+) GAACAAAGGGC >hg38_chr12:124513233-124513243(-) TGACAATGAGC >hg38_chr12:124519136-124519146(+) CAACAATGATg >hg38_chr12:124627226-124627236(+) TGACAATGTGA >hg38_chr12:124664150-124664160(+) AGACAATGTCT >hg38_chr12:124720881-124720891(+) AGACAATAGAA >hg38_chr12:124753356-124753366(-) GAACAATAAGT >hg38_chr12:124823419-124823429(+) tcacaatgggc >hg38_chr12:124838436-124838446(-) TAACAATAGTT >hg38_chr12:124847852-124847862(+) ACACAATGAGG >hg38_chr12:124884030-124884040(+) TAACAACGGCA >hg38_chr12:124888688-124888698(+) caacaatagta >hg38_chr12:124924039-124924049(+) TCACAATGTCT >hg38_chr12:124967319-124967329(+) GGATAATGGGG >hg38_chr12:124994355-124994365(+) gcacactggcg >hg38_chr12:125192984-125192994(+) CAACAACGGCG >hg38_chr12:125193001-125193011(-) CAACAATGGCA >hg38_chr12:125209725-125209735(-) TAACAAAGGAA >hg38_chr12:125244119-125244129(-) atacaatagaa >hg38_chr12:125263101-125263111(-) AGACAATAGCA >hg38_chr12:125281039-125281049(+) ACACAATGAAC >hg38_chr12:125325034-125325044(-) AAACAATGCCA >hg38_chr12:125325093-125325103(-) ACACAATGGAA >hg38_chr12:125326486-125326496(-) GGACAACGGAA >hg38_chr12:125330017-125330027(-) GAACAATGGCC >hg38_chr12:125686195-125686205(+) TTACTATGGGA >hg38_chr12:125825516-125825526(+) aaacaataagt >hg38_chr12:125825596-125825606(+) tgacaatgcta >hg38_chr12:126051975-126051985(+) tcacaatggct >hg38_chr12:126068346-126068356(+) ATACAATAGGA >hg38_chr12:126068372-126068382(-) TGACAATGTTC >hg38_chr12:126068423-126068433(-) ACACAATAGCA >hg38_chr12:126217382-126217392(+) AAACAATGAGT >hg38_chr12:126419250-126419260(-) caacaatgggc >hg38_chr12:126538248-126538258(+) caacaaaggac >hg38_chr12:126538274-126538284(-) gcactatggac >hg38_chr12:126545615-126545625(-) gtacaatgtgc >hg38_chr12:126545641-126545651(+) ctacaatgtga >hg38_chr12:126545674-126545684(-) ttacaatggag >hg38_chr12:126555221-126555231(+) ttacaatgagc >hg38_chr12:126685949-126685959(-) GAACAATAAAA >hg38_chr12:126844077-126844087(+) TCACAATGTGA >hg38_chr12:126987788-126987798(+) TCACAATGGAG >hg38_chr12:126998802-126998812(-) AGACAAAGGAA >hg38_chr12:127053008-127053018(+) AGACAAAGGAC >hg38_chr12:127053042-127053052(+) GAATAATGGGA >hg38_chr12:127083621-127083631(+) ggacaatgttt >hg38_chr12:127084433-127084443(+) taataatgtat >hg38_chr12:127084456-127084466(-) taacagtgggt >hg38_chr12:127084625-127084635(+) AAACAATAAGT >hg38_chr12:127084671-127084681(+) TAACAATATAA >hg38_chr12:127115025-127115035(-) CTACAATGATA >hg38_chr12:127121711-127121721(+) TCACAAAGGAA >hg38_chr12:127191153-127191163(+) TAACAATGATA >hg38_chr12:127191174-127191184(-) CTACAATACGT >hg38_chr12:127217000-127217010(-) CCACAATGAGC >hg38_chr12:127233622-127233632(+) AAACAATGTAC >hg38_chr12:127367138-127367148(-) GAACAACGGCA >hg38_chr12:127415895-127415905(+) AAACAATGCAA >hg38_chr12:127447774-127447784(+) tgacaatggcc >hg38_chr12:127560961-127560971(-) TAACAATAGAT >hg38_chr12:127603225-127603235(+) CAACAATGAAG >hg38_chr12:127693388-127693398(-) atacaataata >hg38_chr12:127770510-127770520(+) ACACAATGATG >hg38_chr12:127794388-127794398(-) ATACAATGAAG >hg38_chr12:127794457-127794467(+) AGACAATGATG >hg38_chr12:127809465-127809475(-) AAATAATGGCA >hg38_chr12:127830748-127830758(+) ggacaaaggat >hg38_chr12:127852636-127852646(-) AAACAATGGCT >hg38_chr12:127852848-127852858(+) ACACAATGAAG >hg38_chr12:128055808-128055818(+) AGACAATGGGG >hg38_chr12:128055820-128055830(-) TGACAAAGGAT >hg38_chr12:128055863-128055873(-) AGACAATGTCC >hg38_chr12:128116587-128116597(+) CCACAATGGAA >hg38_chr12:128158678-128158688(-) agacaatggat >hg38_chr12:128158912-128158922(-) gcacaatagca >hg38_chr12:128190764-128190774(-) AAACAATGCGA >hg38_chr12:128204428-128204438(-) AGACAATATTA >hg38_chr12:128204444-128204454(-) GGACAATATTA >hg38_chr12:128259761-128259771(-) GAACAATGGAA >hg38_chr12:128298304-128298314(-) ACACAATGCAC >hg38_chr12:128343951-128343961(-) acacaatgaac >hg38_chr12:128366403-128366413(+) AAACAATGCAT >hg38_chr12:128382160-128382170(-) GAACAATGGCT >hg38_chr12:128382200-128382210(-) ACACAATACGT >hg38_chr12:128419428-128419438(+) GTACAATGCTA >hg38_chr12:128461064-128461074(+) TAACAATAACA >hg38_chr12:128500107-128500117(+) aaacaatagac >hg38_chr12:128514132-128514142(+) TTACAATGCTA >hg38_chr12:128518883-128518893(-) acacaaaggat >hg38_chr12:128518936-128518946(-) acacaaaggca >hg38_chr12:128567836-128567846(+) CTACAATGCCT >hg38_chr12:128635208-128635218(-) ATACAATGCAG >hg38_chr12:128727038-128727048(-) ACACAATGGCA >hg38_chr12:128770324-128770334(-) ACACAATGCTA >hg38_chr12:128770337-128770347(+) AAACAATAGAG >hg38_chr12:128773020-128773030(+) ctacaatgata >hg38_chr12:128797572-128797582(+) ACACAATGTAA >hg38_chr12:128809277-128809287(+) TAACAATGCTT >hg38_chr12:128809363-128809373(+) TAACAATGTTC >hg38_chr12:129064728-129064738(+) TCACAATGAGG >hg38_chr12:129070250-129070260(+) tcataatggcg >hg38_chr12:129149997-129150007(+) GAACAATGACT >hg38_chr12:129186765-129186775(-) TTACAATGCTA >hg38_chr12:129186809-129186819(-) TCACAATGACG >hg38_chr12:129231765-129231775(+) TAACTATGGCC >hg38_chr12:129234378-129234388(-) CTACAATGAGA >hg38_chr12:129288800-129288810(+) tcacaatagcc >hg38_chr12:129520220-129520230(+) GAACAATAGTa >hg38_chr12:129605872-129605882(+) AAACAATGCAG >hg38_chr12:129607617-129607627(+) agacaaagggc >hg38_chr12:129608585-129608595(-) AAACAGTGGAT >hg38_chr12:129615048-129615058(+) ATACAATGTTA >hg38_chr12:129630028-129630038(-) CAACAATGGAA >hg38_chr12:129631083-129631093(-) taataatgata >hg38_chr12:129631112-129631122(+) gaacaatgggg >hg38_chr12:129646538-129646548(+) gtataatgaac >hg38_chr12:129657598-129657608(+) CAACAATGCCT >hg38_chr12:129657646-129657656(+) ACACAATGGGC >hg38_chr12:129741422-129741432(+) GAACAATAAAG >hg38_chr12:129771970-129771980(+) AAACAAAGGAC >hg38_chr12:129772630-129772640(-) AGACAATAGCT >hg38_chr12:129831640-129831650(+) TAACAATGATT >hg38_chr12:129871110-129871120(-) GAACAATAGAT >hg38_chr12:129898822-129898832(-) caacaatgcac >hg38_chr12:130018258-130018268(+) ACACAATGACA >hg38_chr12:130076948-130076958(+) AGACAAAGGCA >hg38_chr12:130083967-130083977(+) gaacaatgccc >hg38_chr12:130159641-130159651(-) TAACCATGGAT >hg38_chr12:130194257-130194267(+) ACACAATGCAA >hg38_chr12:130222994-130223004(+) GAACAAAGGCT >hg38_chr12:130225808-130225818(-) TGACAATGGAG >hg38_chr12:130321813-130321823(+) GGACAATGGAG >hg38_chr12:130558605-130558615(+) AGACAAAGGGA >hg38_chr12:130608250-130608260(+) GGACAATGGGA >hg38_chr12:130651311-130651321(-) TAACAATGGAT >hg38_chr12:130770418-130770428(-) gaacaaaggca >hg38_chr12:130770430-130770440(-) ggacaatgtga >hg38_chr12:130776720-130776730(-) GAACAATAGGA >hg38_chr12:130783317-130783327(+) caacaaaggac >hg38_chr12:130788252-130788262(+) AAACAATGAAA >hg38_chr12:130790953-130790963(+) TGACAATGGGA >hg38_chr12:130790978-130790988(+) GTACAAAGGTG >hg38_chr12:130791903-130791913(+) GGACAATGTTG >hg38_chr12:130853439-130853449(+) cAACAATGCAT >hg38_chr12:130853444-130853454(-) ggaCAATGCAT >hg38_chr12:130916407-130916417(-) GAACAAAGGCG >hg38_chr12:130965883-130965893(+) CTACAATGAGC >hg38_chr12:130975295-130975305(+) cgacgatggcc >hg38_chr12:131030862-131030872(-) ACACAATAGCT >hg38_chr12:131057616-131057626(-) ggacaatgaca >hg38_chr12:131081520-131081530(+) gtactatgata >hg38_chr12:131081550-131081560(-) ttacaatgtct >hg38_chr12:131081558-131081568(+) taacaataggt >hg38_chr12:131182244-131182254(+) aaacaatggaa >hg38_chr12:131357314-131357324(-) GAATAATGGAG >hg38_chr12:131390786-131390796(+) GAACAAAGGCT >hg38_chr12:131669000-131669010(+) atacaatgatg >hg38_chr12:131723958-131723968(-) ACACAATGAGA >hg38_chr12:131784519-131784529(-) GCATAATGGTT >hg38_chr12:131785464-131785474(-) AAACAATATAA >hg38_chr12:131792976-131792986(+) atacaatagag >hg38_chr12:131833990-131834000(-) ACACAATGCGT >hg38_chr12:131968675-131968685(+) taacaatatga >hg38_chr12:131997102-131997112(+) gaacaatgtgg >hg38_chr12:132013265-132013275(+) AAACAATGGCC >hg38_chr12:132077960-132077970(-) GTACTATGGCT >hg38_chr12:132582240-132582250(+) GGACAATGGCA >hg38_chr12:132847605-132847615(-) ACACAATGGTG >hg38_chr12:133038955-133038965(-) ATACAATGGAA >hg38_chr12:133038974-133038984(+) TCACAATAGTT >hg38_chr12:133181507-133181517(-) GAACAATGGCG >hg38_chr13:18935052-18935062(+) TGACAATGTGG >hg38_chr13:19171741-19171751(+) ggacaaaggaa >hg38_chr13:19618732-19618742(-) aaacaatgcat >hg38_chr13:19634832-19634842(+) AAACAAAGGTC >hg38_chr13:19634870-19634880(-) AGACAATGAAA >hg38_chr13:19739945-19739955(-) aaacaatagtg >hg38_chr13:19868574-19868584(-) aaacaatgacc >hg38_chr13:19883141-19883151(+) acacaatagat >hg38_chr13:19940369-19940379(-) AAACTATGGGA >hg38_chr13:19959433-19959443(-) AAACAATACGT >hg38_chr13:19990813-19990823(-) ATACAGTGGTT >hg38_chr13:20015536-20015546(-) ttacaatagtg >hg38_chr13:20015569-20015579(-) gtacaataata >hg38_chr13:20016148-20016158(-) agacaatgaga >hg38_chr13:20022418-20022428(-) caataatggaa >hg38_chr13:20049839-20049849(-) GAACAATGCAG >hg38_chr13:20058645-20058655(+) TAACAATGACA >hg38_chr13:20064303-20064313(+) GTACAATGAGT >hg38_chr13:20080099-20080109(+) TAACAATGAGG >hg38_chr13:20081501-20081511(+) AGACAATAAGA >hg38_chr13:20333034-20333044(-) AGACAATGACT >hg38_chr13:20363777-20363787(+) AGACAATAGGA >hg38_chr13:20465559-20465569(+) GGACAATGACA >hg38_chr13:20530896-20530906(+) tcacaatagcc >hg38_chr13:20566499-20566509(+) GAACAATACGC >hg38_chr13:20628009-20628019(-) TTACAATATAC >hg38_chr13:20636904-20636914(+) caacaatgaat >hg38_chr13:20664387-20664397(-) gtacaatgtTT >hg38_chr13:20664394-20664404(-) gtacaatgtac >hg38_chr13:20664401-20664411(-) gtacaatgtac >hg38_chr13:20670377-20670387(+) TAACAATGCCA >hg38_chr13:20682253-20682263(+) ggacaataaca >hg38_chr13:20713495-20713505(-) TGACAATGCTT >hg38_chr13:20717136-20717146(-) GAACAAAGGAC >hg38_chr13:20733742-20733752(+) atacaatagag >hg38_chr13:20733776-20733786(-) tgacaaaggaa >hg38_chr13:20816323-20816333(+) GAACAATATAG >hg38_chr13:20827394-20827404(-) TTACAATGAAA >hg38_chr13:20830836-20830846(-) ATACAATGCAT >hg38_chr13:20831417-20831427(+) TGACAATGAAC >hg38_chr13:20832494-20832504(+) TTACAATATAG >hg38_chr13:20845499-20845509(-) ATACAAAGGCA >hg38_chr13:20879358-20879368(+) taacaatagat >hg38_chr13:20879389-20879399(+) agacaatgaat >hg38_chr13:20898720-20898730(+) AGACAATAAAT >hg38_chr13:20939940-20939950(+) GAACAAAGGAC >hg38_chr13:21061560-21061570(-) CAACAATGTAG >hg38_chr13:21108031-21108041(-) agacaatggga >hg38_chr13:21122486-21122496(-) ACACAATGGTG >hg38_chr13:21124155-21124165(-) agacaaaggga >hg38_chr13:21125121-21125131(-) GGACAAAGGGA >hg38_chr13:21138779-21138789(+) gaacaatatag >hg38_chr13:21143149-21143159(-) tcacaatggca >hg38_chr13:21242906-21242916(-) AGACAATGGTG >hg38_chr13:21242941-21242951(-) GAACAAAGGCT >hg38_chr13:21452936-21452946(+) agacaataaaa >hg38_chr13:21457942-21457952(+) TAACAATAACG >hg38_chr13:21458098-21458108(+) AAACAATGTTG >hg38_chr13:21516355-21516365(+) gcataatggtc >hg38_chr13:21540293-21540303(-) AAACAATGTAA >hg38_chr13:21541550-21541560(+) TCACAATGTCT >hg38_chr13:21606046-21606056(+) gcacaatgccc >hg38_chr13:21606620-21606630(-) AAACAATAAGT >hg38_chr13:21617073-21617083(-) TGACAAAGGAC >hg38_chr13:21625555-21625565(+) TAACAATAGGT >hg38_chr13:21645567-21645577(-) agacaatgcca >hg38_chr13:21645645-21645655(+) atactatggag >hg38_chr13:21688281-21688291(+) ACACAAAGGAG >hg38_chr13:21704034-21704044(-) agacaatgccc >hg38_chr13:21734118-21734128(-) AGACAATGGAA >hg38_chr13:21734147-21734157(-) GAACAAAGGGG >hg38_chr13:21812463-21812473(+) GAACAATAGGC >hg38_chr13:21869502-21869512(-) gaacaatgttg >hg38_chr13:21993634-21993644(-) atacaatagca >hg38_chr13:21993648-21993658(-) aaactatggtt >hg38_chr13:21993664-21993674(-) taacaatgaaa >hg38_chr13:22041406-22041416(+) TGACAATACGA >hg38_chr13:22116748-22116758(-) AGACAATATAA >hg38_chr13:22116770-22116780(-) GAACAATGCAA >hg38_chr13:22160565-22160575(-) GCACAATAGCG >hg38_chr13:22235420-22235430(+) AAACAATGGGC >hg38_chr13:22423812-22423822(-) ATACAATGAAA >hg38_chr13:22456113-22456123(-) TGACAAAGGGA >hg38_chr13:22495600-22495610(+) TTATAATGGGA >hg38_chr13:22495611-22495621(+) GCACAATAGGT >hg38_chr13:22512628-22512638(+) tcacaaaggaa >hg38_chr13:22517721-22517731(-) taacaatggct >hg38_chr13:22559027-22559037(+) AAACAATAACA >hg38_chr13:22559103-22559113(+) AGACAATAGCA >hg38_chr13:22703894-22703904(+) TAACAAAGGAT >hg38_chr13:22779288-22779298(+) agacaatgcaa >hg38_chr13:22789374-22789384(+) GTATAATGGGT >hg38_chr13:22809980-22809990(-) ccacaatagtt >hg38_chr13:22848740-22848750(-) GAACAAAGGCC >hg38_chr13:22991141-22991151(+) AAACAATGACG >hg38_chr13:22991170-22991180(-) CTACAATGTAA >hg38_chr13:23022055-23022065(+) TCACAATGAAT >hg38_chr13:23119517-23119527(-) ttacaatgtaa >hg38_chr13:23153621-23153631(+) caacaatagaa >hg38_chr13:23159364-23159374(-) AAACAATGCTG >hg38_chr13:23176731-23176741(-) cgacaataacc >hg38_chr13:23206214-23206224(+) gtacaatacga >hg38_chr13:23206231-23206241(+) taacaataggt >hg38_chr13:23206240-23206250(+) gtacaatgttg >hg38_chr13:23225949-23225959(+) ctacaatgagg >hg38_chr13:23225968-23225978(-) gaacaatggga >hg38_chr13:23238975-23238985(+) ggacaatgtca >hg38_chr13:23239247-23239257(+) atacaaaggag >hg38_chr13:23254673-23254683(+) agacaatgggg >hg38_chr13:23319682-23319692(+) CTACAATGGGT >hg38_chr13:23324088-23324098(+) TCACAATGGGG >hg38_chr13:23324120-23324130(+) AAACAATGACA >hg38_chr13:23344906-23344916(-) AAACAATAAAA >hg38_chr13:23345182-23345192(+) TAACAATGCCC >hg38_chr13:23364773-23364783(+) AAACAATGTCG >hg38_chr13:23371620-23371630(+) ACACAATGTTT >hg38_chr13:23433175-23433185(-) GAACAATAAGA >hg38_chr13:23433224-23433234(-) CCACAATGGAG >hg38_chr13:23449507-23449517(+) CAACAATGTAC >hg38_chr13:23450674-23450684(+) GAACTATGGAA >hg38_chr13:23471396-23471406(-) AAACAATGGTT >hg38_chr13:23471404-23471414(-) AAACAATAAAA >hg38_chr13:23508043-23508053(-) taacaatgcct >hg38_chr13:23508076-23508086(-) aaacaataggc >hg38_chr13:23534335-23534345(-) atacaatgaaa >hg38_chr13:23580309-23580319(-) GAACAATGGTG >hg38_chr13:23584794-23584804(+) TAACAATACGT >hg38_chr13:23626952-23626962(-) GAACAAAGGGA >hg38_chr13:23632398-23632408(+) AGACAATGAGA >hg38_chr13:23632940-23632950(-) tgacaatgtgt >hg38_chr13:23638262-23638272(-) GCACAATGGCA >hg38_chr13:23654139-23654149(-) TAACAAAGGGC >hg38_chr13:23673431-23673441(-) TAACAAAGGAG >hg38_chr13:23742025-23742035(+) GGACAATAGCC >hg38_chr13:23744664-23744674(-) TTACAATAGTG >hg38_chr13:23744672-23744682(+) TAACAATGAAC >hg38_chr13:23744679-23744689(+) GAACAATAAAA >hg38_chr13:23811535-23811545(+) aaacaatagat >hg38_chr13:23829004-23829014(+) aaacaatggaa >hg38_chr13:23856481-23856491(-) taacagtgggt >hg38_chr13:23876474-23876484(-) TAACAGTGGCA >hg38_chr13:23911918-23911928(+) TAACAATACAT >hg38_chr13:23965619-23965629(-) gcactatggac >hg38_chr13:23979583-23979593(+) AAACAATAGCA >hg38_chr13:24036338-24036348(+) ttacaatgtgt >hg38_chr13:24039154-24039164(+) ACACAATGAAT >hg38_chr13:24040968-24040978(+) GAACAATGAGG >hg38_chr13:24071421-24071431(-) caacaatgcag >hg38_chr13:24092246-24092256(+) AAACAATGATC >hg38_chr13:24092439-24092449(+) AGATAATGGTT >hg38_chr13:24092493-24092503(+) AGATAATGGTG >hg38_chr13:24092673-24092683(-) GTACAATATGT >hg38_chr13:24104217-24104227(+) GAACAATGTAA >hg38_chr13:24104604-24104614(+) TGACAATGAGA >hg38_chr13:24125065-24125075(-) AGACAATGGGG >hg38_chr13:24148313-24148323(-) AGACAACGGGG >hg38_chr13:24149020-24149030(+) AAACAAAGGAG >hg38_chr13:24159810-24159820(+) AGACAATAGAC >hg38_chr13:24165783-24165793(-) GTACAATGGAC >hg38_chr13:24168602-24168612(-) ACACAATGGAG >hg38_chr13:24168618-24168628(-) TGACAATGGTA >hg38_chr13:24179134-24179144(-) atacaatgaag >hg38_chr13:24179152-24179162(-) atacaatgagg >hg38_chr13:24250850-24250860(+) GAACAATAGTC >hg38_chr13:24271688-24271698(+) ATACAATAGGA >hg38_chr13:24293853-24293863(+) AGACAATAGAA >hg38_chr13:24458667-24458677(-) tcacaatagtc >hg38_chr13:24548064-24548074(-) TCACAATAGTA >hg38_chr13:24630995-24631005(-) GGACAAAGGAC >hg38_chr13:24684126-24684136(+) AAACAATGGCT >hg38_chr13:24805447-24805457(+) atacaatgttt >hg38_chr13:24863345-24863355(+) gcACAAAGGTA >hg38_chr13:24863396-24863406(+) GAACAGTGGAG >hg38_chr13:24866180-24866190(+) GAACAATGGGA >hg38_chr13:24866634-24866644(-) atacaatggaa >hg38_chr13:24920260-24920270(-) gaacaattgta >hg38_chr13:25064529-25064539(-) TTACAATGTTG >hg38_chr13:25082912-25082922(+) atacaattgaa >hg38_chr13:25088723-25088733(-) caacaatgggt >hg38_chr13:25088743-25088753(+) atacagtggtt >hg38_chr13:25109710-25109720(+) gaacaatgctg >hg38_chr13:25109740-25109750(-) atacaataacc >hg38_chr13:25165708-25165718(+) TAACAATGAAG >hg38_chr13:25171477-25171487(+) TCACAATGCAA >hg38_chr13:25172376-25172386(+) TAACAATAGAC >hg38_chr13:25217492-25217502(+) GCACAATGAGG >hg38_chr13:25247576-25247586(-) CTACAAAGGGA >hg38_chr13:25250385-25250395(+) agacaataggc >hg38_chr13:25254009-25254019(-) GAACAATGGAC >hg38_chr13:25271765-25271775(-) aaacaatagcc >hg38_chr13:25283836-25283846(-) AAACAATGAGA >hg38_chr13:25286667-25286677(+) GGACAATGCCT >hg38_chr13:25336313-25336323(+) TTACAATGAAC >hg38_chr13:25336320-25336330(+) GAACAATAAAT >hg38_chr13:25478425-25478435(+) GGACAATGCTT >hg38_chr13:25478459-25478469(-) CCACAATGGTC >hg38_chr13:25543266-25543276(-) CAACAATGATA >hg38_chr13:25544665-25544675(+) agacaaaggca >hg38_chr13:25598651-25598661(-) taacaatatta >hg38_chr13:25605097-25605107(+) TGACAATGGAG >hg38_chr13:25633197-25633207(-) TAACAATATCA >hg38_chr13:25837102-25837112(+) GAACAATGAAG >hg38_chr13:25855603-25855613(+) taataatggtg >hg38_chr13:25911202-25911212(+) GAACAATAGAC >hg38_chr13:25932615-25932625(+) ACACAAAGGAA >hg38_chr13:25971325-25971335(-) AAACAAAGGTT >hg38_chr13:25977404-25977414(+) GAACAAAGGGA >hg38_chr13:26032275-26032285(-) taacaatagat >hg38_chr13:26049600-26049610(+) GAACAATAGGA >hg38_chr13:26050660-26050670(-) GGACAAAGACG >hg38_chr13:26062273-26062283(-) CAACAATGTCA >hg38_chr13:26079485-26079495(+) TAACAATAGCC >hg38_chr13:26126168-26126178(-) gcacaATAGCT >hg38_chr13:26126217-26126227(-) ggacaatagaa >hg38_chr13:26164439-26164449(-) ggacaatggag >hg38_chr13:26164485-26164495(-) atacaatacta >hg38_chr13:26183208-26183218(+) CTACAATAGTA >hg38_chr13:26191156-26191166(-) gaaCAATCGAT >hg38_chr13:26191170-26191180(+) acacaatgttg >hg38_chr13:26255360-26255370(+) GAACAATGGGG >hg38_chr13:26277080-26277090(+) AAACAATGCAG >hg38_chr13:26277450-26277460(-) gcacaatagta >hg38_chr13:26296325-26296335(+) ggacaaagggc >hg38_chr13:26321119-26321129(+) tcacaatagct >hg38_chr13:26325825-26325835(-) GAACAATGATC >hg38_chr13:26325853-26325863(-) TAACAATGGTA >hg38_chr13:26349056-26349066(-) AAACAAAGGAG >hg38_chr13:26379195-26379205(-) AGACAATGGTC >hg38_chr13:26387272-26387282(-) atacaatgaaa >hg38_chr13:26387939-26387949(-) ttacaacggtg >hg38_chr13:26390467-26390477(-) TTACAATGTTT >hg38_chr13:26396971-26396981(-) CCACAATGGTC >hg38_chr13:26426085-26426095(-) GGACAATGACA >hg38_chr13:26452996-26453006(-) gaataatggcc >hg38_chr13:26453324-26453334(+) acacaatggaa >hg38_chr13:26453338-26453348(+) acacaatggta >hg38_chr13:26528498-26528508(-) GCATAATGGCA >hg38_chr13:26528509-26528519(-) CTACAATGGAT >hg38_chr13:26559049-26559059(+) AAACAATGCAG >hg38_chr13:26559620-26559630(+) AAACAATTGCG >hg38_chr13:26561851-26561861(-) ggacaatggcc >hg38_chr13:26707808-26707818(-) TCACAATGGTC >hg38_chr13:26722092-26722102(+) GAACAATGGGA >hg38_chr13:26731118-26731128(-) ccacaatgaga >hg38_chr13:26744157-26744167(-) TAACAAAGGCA >hg38_chr13:26744181-26744191(-) TCACAATGCGA >hg38_chr13:26810214-26810224(-) gaacagtggga >hg38_chr13:26847462-26847472(+) caacaatggca >hg38_chr13:26946301-26946311(+) atacaaaggaa >hg38_chr13:27054400-27054410(+) gcacaatgcat >hg38_chr13:27162735-27162745(+) atacaatgaaa >hg38_chr13:27178557-27178567(-) TAACAATAGAA >hg38_chr13:27178591-27178601(+) AGACAATAAAA >hg38_chr13:27195387-27195397(+) aaacaataaga >hg38_chr13:27198029-27198039(-) agacaatagta >hg38_chr13:27245903-27245913(+) GAAcaaaggga >hg38_chr13:27252955-27252965(-) AAACAATAGTT >hg38_chr13:27311188-27311198(+) TGACAATGGGA >hg38_chr13:27334837-27334847(+) CAACAATAGAA >hg38_chr13:27335033-27335043(-) CCACAATAGCA >hg38_chr13:27477380-27477390(-) TAATAATGGCG >hg38_chr13:27540604-27540614(-) TTACAATGCAG >hg38_chr13:27549711-27549721(-) gTACAATAgct >hg38_chr13:27572816-27572826(+) AAACAATGCAT >hg38_chr13:27572837-27572847(+) GCACAATGCCA >hg38_chr13:27596821-27596831(+) ATACAATTGAA >hg38_chr13:27627491-27627501(+) TGACAATGAAG >hg38_chr13:27655932-27655942(-) GAACAATGACC >hg38_chr13:27683373-27683383(+) aaacaatagta >hg38_chr13:27683407-27683417(+) aaacaatagaa >hg38_chr13:27687260-27687270(+) caacaatgtga >hg38_chr13:27709881-27709891(+) AAACACTGGAT >hg38_chr13:27729850-27729860(+) AGACAATGGAA >hg38_chr13:27729860-27729870(+) AGACAATAGTG >hg38_chr13:27771028-27771038(+) aaacaatgtct >hg38_chr13:27822841-27822851(-) TTACAAAGGGT >hg38_chr13:27845967-27845977(+) CCACAATGGAC >hg38_chr13:27875812-27875822(-) gaacaatgtta >hg38_chr13:27888286-27888296(-) ctacaaaggaa >hg38_chr13:27899687-27899697(-) gcataatggca >hg38_chr13:27900010-27900020(+) gcacaATGacc >hg38_chr13:27900017-27900027(-) gaataatggtC >hg38_chr13:27925053-27925063(+) AGACAATGGAA >hg38_chr13:27966123-27966133(+) AAACAATGCAG >hg38_chr13:27966810-27966820(+) AGACAATGGCA >hg38_chr13:27971388-27971398(+) GAACAATCGCT >hg38_chr13:28034336-28034346(+) CGACAATGAAG >hg38_chr13:28049384-28049394(+) CTACGATGGTA >hg38_chr13:28050403-28050413(-) CGATAATGAGT >hg38_chr13:28085450-28085460(+) tgacaatgctg >hg38_chr13:28101077-28101087(-) AGACAAAGGAA >hg38_chr13:28147627-28147637(-) gcacaatggca >hg38_chr13:28176626-28176636(-) ctacaatggtt >hg38_chr13:28221981-28221991(+) CAATAATGGGA >hg38_chr13:28246053-28246063(-) TAACAGTGGAA >hg38_chr13:28258512-28258522(-) ATACAATAATA >hg38_chr13:28268149-28268159(-) TCACAATGGTT >hg38_chr13:28268212-28268222(-) aaacaatgcaa >hg38_chr13:28283726-28283736(-) taacaatggta >hg38_chr13:28283783-28283793(+) gaacaaaggtt >hg38_chr13:28297512-28297522(-) tcacaatggca >hg38_chr13:28308583-28308593(+) ACACAATGGAG >hg38_chr13:28316355-28316365(-) ACACAATGGGG >hg38_chr13:28351232-28351242(-) taacaatagaa >hg38_chr13:28388763-28388773(+) TTACAATAGCC >hg38_chr13:28429314-28429324(-) ATACAATAGGG >hg38_chr13:28429355-28429365(-) AAACAAAGGGT >hg38_chr13:28431202-28431212(-) AGACAAAGGAC >hg38_chr13:28438722-28438732(-) TTACAAAGGCT >hg38_chr13:28472066-28472076(-) AAACAATGAAG >hg38_chr13:28509419-28509429(+) tgacaatgatt >hg38_chr13:28515835-28515845(-) tcacaatatac >hg38_chr13:28531467-28531477(-) GGACAATGGGC >hg38_chr13:28531481-28531491(+) GAACAATGTGG >hg38_chr13:28536574-28536584(-) ACACACTGGTA >hg38_chr13:28536602-28536612(-) GGACAATGGTC >hg38_chr13:28558601-28558611(-) AGACAATGGAA >hg38_chr13:28593783-28593793(-) gaacaatgatt >hg38_chr13:28593808-28593818(+) taataatggct >hg38_chr13:28628561-28628571(-) atacaatgccg >hg38_chr13:28629212-28629222(+) GAACAATAAAG >hg38_chr13:28677325-28677335(-) TAATAATGGTG >hg38_chr13:28750812-28750822(-) AAACAATGCCT >hg38_chr13:28796921-28796931(+) AGACAATGGTT >hg38_chr13:28821723-28821733(-) CCAcaatgggt >hg38_chr13:28821733-28821743(-) ATACAATGCTC >hg38_chr13:29035370-29035380(+) GGACAATGAGA >hg38_chr13:29153531-29153541(-) ACACAATGGGA >hg38_chr13:29175603-29175613(-) AAACACTGGAA >hg38_chr13:29282977-29282987(+) aaacaatgctg >hg38_chr13:29283009-29283019(-) aaacaatgcca >hg38_chr13:29349334-29349344(-) tcacaatagca >hg38_chr13:29412174-29412184(-) aaacaatagaa >hg38_chr13:29423330-29423340(-) aaacaatgggt >hg38_chr13:29457519-29457529(+) ccacaatgcat >hg38_chr13:29494260-29494270(-) TAACAATGCTT >hg38_chr13:29521073-29521083(+) AAACAATGACT >hg38_chr13:29565287-29565297(+) Gtacaatacaa >hg38_chr13:29584578-29584588(-) AAACAATGTGC >hg38_chr13:29584627-29584637(-) GTACAATGACA >hg38_chr13:29584635-29584645(-) TAACAAAGGTA >hg38_chr13:29586848-29586858(-) agacaatgttc >hg38_chr13:29586864-29586874(+) gaacaatacgt >hg38_chr13:29589374-29589384(-) CCACAATGGAG >hg38_chr13:29620858-29620868(+) agacaatagaa >hg38_chr13:29621299-29621309(-) taacaatgcat >hg38_chr13:29621317-29621327(-) acacaatgata >hg38_chr13:29684770-29684780(-) GAACAATGCAG >hg38_chr13:29754636-29754646(+) tgacaatgact >hg38_chr13:29771403-29771413(+) GAACaataata >hg38_chr13:29773371-29773381(-) GAACAATGGGA >hg38_chr13:29788215-29788225(-) AAATAATGGTA >hg38_chr13:29819475-29819485(+) TTACAATGGTA >hg38_chr13:29840784-29840794(-) acataatggat >hg38_chr13:29840792-29840802(-) aaacaatgaca >hg38_chr13:29840980-29840990(+) tgacaATGAGA >hg38_chr13:29873230-29873240(+) ACACAATGTAC >hg38_chr13:29874677-29874687(+) AAACAATGGGG >hg38_chr13:29874693-29874703(-) AAACAATGACA >hg38_chr13:29884727-29884737(-) aaacaatggag >hg38_chr13:29884753-29884763(+) atacaatagtc >hg38_chr13:29892317-29892327(-) AGACAATGCAC >hg38_chr13:29971655-29971665(+) tcacaatgtat >hg38_chr13:29971668-29971678(+) ttacaatgaat >hg38_chr13:29971701-29971711(-) aaacaatgtag >hg38_chr13:30019782-30019792(-) AGACAATGCCT >hg38_chr13:30024593-30024603(-) CCACAATAGAG >hg38_chr13:30033878-30033888(+) CTATAATGGTG >hg38_chr13:30044963-30044973(+) TCACAATGTAG >hg38_chr13:30044992-30045002(+) CTACAATGGTA >hg38_chr13:30069422-30069432(+) taataatggcc >hg38_chr13:30109605-30109615(+) GAATAATGGGA >hg38_chr13:30115245-30115255(+) AAACAGTGGAC >hg38_chr13:30125942-30125952(+) AAACAATAAAA >hg38_chr13:30132604-30132614(+) gtacaatagct >hg38_chr13:30132644-30132654(-) TTACAATGGGC >hg38_chr13:30196163-30196173(+) TCACAATGGCC >hg38_chr13:30234886-30234896(+) tcacaataggc >hg38_chr13:30286218-30286228(+) agacaatgggg >hg38_chr13:30288144-30288154(+) atacaatacat >hg38_chr13:30289635-30289645(+) atacaatgcct >hg38_chr13:30298911-30298921(+) atacagtggct >hg38_chr13:30300426-30300436(+) GAACAGTGGTA >hg38_chr13:30332311-30332321(-) agacaataCCG >hg38_chr13:30396657-30396667(+) GAACAATAGCT >hg38_chr13:30408487-30408497(-) TCACAATAGGT >hg38_chr13:30446410-30446420(+) gtacaatgccc >hg38_chr13:30464756-30464766(-) acacaAAGGGA >hg38_chr13:30466005-30466015(-) GGACAATGGGA >hg38_chr13:30570672-30570682(+) GAACAATGAGA >hg38_chr13:30597056-30597066(+) aaacaaaggct >hg38_chr13:30613521-30613531(-) ttacaatgagc >hg38_chr13:30620621-30620631(+) AAACAATAGGA >hg38_chr13:30620681-30620691(+) GCACAATGGAT >hg38_chr13:30653559-30653569(+) AGACAAAGGAT >hg38_chr13:30673706-30673716(-) caacaatgctc >hg38_chr13:30696021-30696031(-) AAACAATGCTA >hg38_chr13:30706253-30706263(-) tgataatggtg >hg38_chr13:30739166-30739176(-) caacaatagga >hg38_chr13:30739202-30739212(+) GAAGAATGGCG >hg38_chr13:30863270-30863280(+) ctacaatgtgc >hg38_chr13:30863958-30863968(-) agataatggag >hg38_chr13:30953529-30953539(-) tcacaatagcc >hg38_chr13:30983583-30983593(+) ggacaaaggca >hg38_chr13:30985316-30985326(+) aaacaatgcag >hg38_chr13:30985356-30985366(+) aaacaaaggtt >hg38_chr13:30985882-30985892(-) taacaatattc >hg38_chr13:31011655-31011665(+) TAACAATAGGA >hg38_chr13:31023359-31023369(-) tcacaatgcct >hg38_chr13:31062065-31062075(+) ACACAATAAAA >hg38_chr13:31090432-31090442(+) AGACAATAGGA >hg38_chr13:31136727-31136737(+) TGACAATATAC >hg38_chr13:31151934-31151944(+) GAACAATGCTC >hg38_chr13:31160000-31160010(+) ATACAATAGAA >hg38_chr13:31160809-31160819(+) CGACAATGATC >hg38_chr13:31160834-31160844(+) CAACAATGTTA >hg38_chr13:31172659-31172669(-) GAACAAAGGTG >hg38_chr13:31192554-31192564(+) taacaatgggg >hg38_chr13:31192629-31192639(-) taacaaaggct >hg38_chr13:31220731-31220741(-) ACACAATAGCT >hg38_chr13:31268955-31268965(-) ATACAATGCCT >hg38_chr13:31268960-31268970(-) ATACAATACAA >hg38_chr13:31268965-31268975(-) GTACAATACAA >hg38_chr13:31284649-31284659(-) TAACAATAGGT >hg38_chr13:31375495-31375505(-) agacaaTATag >hg38_chr13:31375543-31375553(-) caacaatggta >hg38_chr13:31380929-31380939(+) CTACAAAGGAA >hg38_chr13:31416480-31416490(-) GCACAATGGGA >hg38_chr13:31424539-31424549(-) ggacagtggta >hg38_chr13:31424577-31424587(+) gcataatggga >hg38_chr13:31430424-31430434(-) acacaatgggg >hg38_chr13:31462986-31462996(-) GAACAATAGAG >hg38_chr13:31463004-31463014(-) GAACTATGGCA >hg38_chr13:31470268-31470278(+) GAATAATGGAA >hg38_chr13:31470303-31470313(+) TAACAATGTCT >hg38_chr13:31470557-31470567(+) ACACAATAGAC >hg38_chr13:31513581-31513591(+) acacaatggcc >hg38_chr13:31570307-31570317(+) ATACAATGGAA >hg38_chr13:31604247-31604257(+) agacaatagca >hg38_chr13:31605597-31605607(-) TCACAATGACA >hg38_chr13:31622210-31622220(-) caacaatggac >hg38_chr13:31622246-31622256(-) atacaataata >hg38_chr13:31631105-31631115(-) ctacaatagtg >hg38_chr13:31643287-31643297(+) aaacaaaggtg >hg38_chr13:31699860-31699870(+) AAACAATGGGG >hg38_chr13:31705611-31705621(-) gaacaaTGTTC >hg38_chr13:31705645-31705655(-) aaacaacgggg >hg38_chr13:31749329-31749339(-) gtacaatagtt >hg38_chr13:31749337-31749347(-) ttataatggta >hg38_chr13:31765125-31765135(+) TAACAATGTGA >hg38_chr13:31794416-31794426(-) gaacaatgcat >hg38_chr13:31806775-31806785(+) AGACAATGAAG >hg38_chr13:31818363-31818373(+) tcacaatgttt >hg38_chr13:31818869-31818879(+) tcacaatgcct >hg38_chr13:31818902-31818912(-) acacaatggcg >hg38_chr13:31836110-31836120(-) TCACAATGAAT >hg38_chr13:31902970-31902980(-) CTACAATGatc >hg38_chr13:31906207-31906217(+) TAACAATAACA >hg38_chr13:31922971-31922981(-) AAACAATGCTG >hg38_chr13:31970657-31970667(+) GTACAATAAAA >hg38_chr13:31989341-31989351(-) ATACAATAGGT >hg38_chr13:32007309-32007319(-) TAACAGTGGTA >hg38_chr13:32007325-32007335(-) TAACAATGAAG >hg38_chr13:32012248-32012258(-) ggacaatgtct >hg38_chr13:32014345-32014355(-) TTACAAAGGGG >hg38_chr13:32019865-32019875(+) GAACAAAGGCG >hg38_chr13:32025988-32025998(-) agaCAATAAAA >hg38_chr13:32026022-32026032(-) GCACAatggga >hg38_chr13:32028949-32028959(+) ATACACTGGAC >hg38_chr13:32030840-32030850(-) CCACAATGGAT >hg38_chr13:32033078-32033088(-) ATACAGTGGTG >hg38_chr13:32033243-32033253(-) AGACAAAGGAA >hg38_chr13:32034285-32034295(-) CCACAATGTGC >hg38_chr13:32036514-32036524(+) TAACAATAACT >hg38_chr13:32047179-32047189(-) gtacaatagtg >hg38_chr13:32047207-32047217(-) taacaatggct >hg38_chr13:32083316-32083326(-) atacaatgaaa >hg38_chr13:32083386-32083396(-) gaacaataaag >hg38_chr13:32088867-32088877(+) AGACAAAGGTA >hg38_chr13:32153340-32153350(+) atacaatgggt >hg38_chr13:32153404-32153414(-) gcacaatgctt >hg38_chr13:32214981-32214991(+) TAATAATGCCG >hg38_chr13:32277894-32277904(-) AAACAATGAGC >hg38_chr13:32278587-32278597(-) ACACAATGTTA >hg38_chr13:32285513-32285523(+) TGACAATGAAA >hg38_chr13:32287833-32287843(-) aaacaaaggaa >hg38_chr13:32330529-32330539(+) ccacaatagcc >hg38_chr13:32389682-32389692(+) taacaacgggt >hg38_chr13:32391448-32391458(+) agacaatgcct >hg38_chr13:32508404-32508414(+) tgacaatgtat >hg38_chr13:32517921-32517931(+) TTACAATAGAA >hg38_chr13:32536019-32536029(-) GAACAATGAAT >hg38_chr13:32536388-32536398(-) TAATAATGGTC >hg38_chr13:32553208-32553218(-) gtacaatatgt >hg38_chr13:32553243-32553253(+) gtacaatatgc >hg38_chr13:32580512-32580522(+) caacaataggg >hg38_chr13:32580550-32580560(+) acacaataaaa >hg38_chr13:32584351-32584361(+) ttacaatgtag >hg38_chr13:32586150-32586160(+) TAACAATCGGT >hg38_chr13:32588158-32588168(+) GGACAATAAAA >hg38_chr13:32595736-32595746(+) AGACAAAGGGT >hg38_chr13:32595748-32595758(+) TAACAATAGGA >hg38_chr13:32595769-32595779(-) AAACAATGAAA >hg38_chr13:32600148-32600158(-) tcacaatgggg >hg38_chr13:32633935-32633945(-) TTACAATGTAG >hg38_chr13:32663826-32663836(-) gtacaatgtac >hg38_chr13:32663846-32663856(+) acacaatgtgt >hg38_chr13:32663898-32663908(-) atataatggac >hg38_chr13:32695255-32695265(+) TAACAATGACC >hg38_chr13:32696016-32696026(+) CAACAATAGAA >hg38_chr13:32704036-32704046(-) ATACAATGTAA >hg38_chr13:32723556-32723566(-) AAACAATGAAC >hg38_chr13:32755690-32755700(-) CCACAATGACT >hg38_chr13:32776895-32776905(+) CAACAATAGTG >hg38_chr13:32778701-32778711(-) aaacaatagct >hg38_chr13:32779084-32779094(+) gaacaatgcaa >hg38_chr13:32784607-32784617(-) TTACAatgtgc >hg38_chr13:32786671-32786681(+) ATACAATAGTA >hg38_chr13:32851351-32851361(+) GCACAATGTAT >hg38_chr13:32852760-32852770(-) AGACAATGCAG >hg38_chr13:32881940-32881950(-) TTACAATAAAT >hg38_chr13:32896241-32896251(-) GAACAAAGGCA >hg38_chr13:32908913-32908923(+) GAACAAAGGCT >hg38_chr13:32967808-32967818(+) acacaaagggt >hg38_chr13:32977083-32977093(+) gaacaataaga >hg38_chr13:33004654-33004664(-) TAACGATGGCA >hg38_chr13:33015398-33015408(-) ATACAATGGAG >hg38_chr13:33072408-33072418(-) AGACAATGGAG >hg38_chr13:33086508-33086518(+) AAACAATAACA >hg38_chr13:33086517-33086527(+) CAACAATAGAC >hg38_chr13:33111528-33111538(+) TGATAATGGCA >hg38_chr13:33120337-33120347(-) ATACAAAGATA >hg38_chr13:33147957-33147967(+) atacgatggat >hg38_chr13:33161057-33161067(+) atacaatgcaa >hg38_chr13:33297863-33297873(+) CAACAATGACA >hg38_chr13:33372323-33372333(-) TAATAATGGTG >hg38_chr13:33373190-33373200(+) GGACAATGATG >hg38_chr13:33380649-33380659(+) ATACAATGGTG >hg38_chr13:33408627-33408637(+) CAACAATGTAT >hg38_chr13:33459885-33459895(-) aaacaatgcaa >hg38_chr13:33459921-33459931(-) gaacaaaggtt >hg38_chr13:33489712-33489722(+) GAACAATGGTG >hg38_chr13:33510031-33510041(-) tcacaatgatc >hg38_chr13:33520760-33520770(+) AAATAATGGCG >hg38_chr13:33611162-33611172(-) GGACAAAGGGA >hg38_chr13:33634386-33634396(-) TGACAATGAGA >hg38_chr13:33641958-33641968(+) TAACAATGATA >hg38_chr13:33724362-33724372(-) TCACAATAGCA >hg38_chr13:33730205-33730215(+) GGATAATGGAG >hg38_chr13:33740166-33740176(-) ATACAGTGGAA >hg38_chr13:33740353-33740363(+) AAACTATGGGA >hg38_chr13:33745902-33745912(-) TAACAATGGAC >hg38_chr13:33745927-33745937(+) GGACAGTGGTA >hg38_chr13:33798232-33798242(-) agacaataaat >hg38_chr13:33824238-33824248(-) ATACAATGTAC >hg38_chr13:33835512-33835522(+) tgataatggag >hg38_chr13:33865343-33865353(-) GCACAAAGGAA >hg38_chr13:33865620-33865630(-) ctacaatgata >hg38_chr13:33933316-33933326(-) AAACAATGTAG >hg38_chr13:33933337-33933347(-) ACACAATAGGA >hg38_chr13:33937837-33937847(-) AAACAATGATA >hg38_chr13:33937960-33937970(+) AGACAATGCCC >hg38_chr13:33937968-33937978(-) GCACAATGGGG >hg38_chr13:34007034-34007044(-) ttacaatgata >hg38_chr13:34015953-34015963(-) gaacaatggga >hg38_chr13:34015961-34015971(-) gtacagtggaa >hg38_chr13:34023655-34023665(-) TCACAATGAAA >hg38_chr13:34067154-34067164(+) tcacaatggaa >hg38_chr13:34098544-34098554(+) gaacaaaggct >hg38_chr13:34099140-34099150(-) ATATAATGTAA >hg38_chr13:34131580-34131590(+) acacaaaggtc >hg38_chr13:34139833-34139843(-) TGACAATGAAG >hg38_chr13:34139856-34139866(+) ACACTATGGAA >hg38_chr13:34139921-34139931(+) AAACAATGTTT >hg38_chr13:34140104-34140114(-) AAACAATAAAT >hg38_chr13:34162312-34162322(+) GAACAATAGTT >hg38_chr13:34225126-34225136(-) GCACAATGCAG >hg38_chr13:34290685-34290695(-) TGACAATGGGT >hg38_chr13:34309209-34309219(+) ATACAGTGGAC >hg38_chr13:34309232-34309242(+) GAACAATAGAA >hg38_chr13:34310287-34310297(+) ACATAATGGGA >hg38_chr13:34310304-34310314(+) TGACAATGAGT >hg38_chr13:34313241-34313251(+) aaacaatacta >hg38_chr13:34322338-34322348(+) TAACAATAACC >hg38_chr13:34359563-34359573(+) agacaatgctc >hg38_chr13:34359584-34359594(-) caacaatgtga >hg38_chr13:34397168-34397178(+) atacaataata >hg38_chr13:34403896-34403906(-) gcacaatgact >hg38_chr13:34403912-34403922(+) ggacaatgacc >hg38_chr13:34506603-34506613(+) AAACAATGAAC >hg38_chr13:34520187-34520197(+) CAACAATGAAG >hg38_chr13:34623437-34623447(+) AAACAATGTAG >hg38_chr13:34629498-34629508(-) CAACAATAGAC >hg38_chr13:34649004-34649014(+) tgacaatataa >hg38_chr13:34649026-34649036(+) ggacaaagggc >hg38_chr13:34748546-34748556(+) GGACAATGACC >hg38_chr13:34748570-34748580(-) AAACAATGTTC >hg38_chr13:34748600-34748610(+) GCACAATGCTT >hg38_chr13:34760518-34760528(+) ggacaaagacg >hg38_chr13:34777364-34777374(-) TAACAaagggt >hg38_chr13:34849566-34849576(-) gcataatggag >hg38_chr13:34850406-34850416(+) AAACAATGGAT >hg38_chr13:34904744-34904754(-) AAACAATAGAA >hg38_chr13:34917214-34917224(-) taacaatggaa >hg38_chr13:34933965-34933975(+) TGACAATGGAC >hg38_chr13:34933985-34933995(+) GGACAATGAAG >hg38_chr13:34945713-34945723(-) AGACAAAGGTA >hg38_chr13:34945728-34945738(-) TGACAAAGGCG >hg38_chr13:34960056-34960066(-) taacaatgcct >hg38_chr13:34990647-34990657(-) agacaatgggg >hg38_chr13:35026557-35026567(-) GTACAATAGCA >hg38_chr13:35027106-35027116(-) ataccatggaa >hg38_chr13:35086426-35086436(-) aaacaaaggtt >hg38_chr13:35161178-35161188(+) GAACAATAGGC >hg38_chr13:35169222-35169232(+) ACACAATGGTG >hg38_chr13:35173699-35173709(-) tgacaatgtcc >hg38_chr13:35203079-35203089(-) ggacaatggtt >hg38_chr13:35226263-35226273(-) aaacaatggtc >hg38_chr13:35232139-35232149(-) TGACAATGTGG >hg38_chr13:35233373-35233383(-) GGACAATAACA >hg38_chr13:35237937-35237947(+) GAACAATGTAG >hg38_chr13:35243325-35243335(+) acacaaaggag >hg38_chr13:35243365-35243375(+) atacaatgaca >hg38_chr13:35294626-35294636(-) GAACAATGTGT >hg38_chr13:35303907-35303917(+) GTACAATGACT >hg38_chr13:35306596-35306606(-) GGATAATGGAG >hg38_chr13:35340270-35340280(+) atacaatggga >hg38_chr13:35382893-35382903(+) AAACAAAGGAA >hg38_chr13:35389200-35389210(-) ATACAATTACG >hg38_chr13:35389240-35389250(-) AAACAATGCTT >hg38_chr13:35389762-35389772(+) ATATAATGGCA >hg38_chr13:35444118-35444128(+) GAACAATAGGT >hg38_chr13:35453685-35453695(-) GAACAAAGGAC >hg38_chr13:35481499-35481509(-) GAACAATAAAA >hg38_chr13:35481549-35481559(+) GGACAATGAAG >hg38_chr13:35498356-35498366(-) CTACAAAGGAA >hg38_chr13:35499878-35499888(-) CAACAATGTCT >hg38_chr13:35501103-35501113(-) GAACAATGAAA >hg38_chr13:35552100-35552110(+) caacaatgtct >hg38_chr13:35557673-35557683(+) TAACAATAGGG >hg38_chr13:35570685-35570695(-) GAACAATGCAC >hg38_chr13:35573312-35573322(+) atacaaaggca >hg38_chr13:35573450-35573460(+) AGACACTGGTA >hg38_chr13:35594774-35594784(+) ACACAATGTTC >hg38_chr13:35629969-35629979(-) TTATAATGGGT >hg38_chr13:35643804-35643814(-) TTACAATGAAG >hg38_chr13:35703730-35703740(+) atacaatgcta >hg38_chr13:35742559-35742569(+) taacaatggaa >hg38_chr13:35781726-35781736(-) GAATAATGGAT >hg38_chr13:35881038-35881048(+) gaacaatggcc >hg38_chr13:35884565-35884575(+) TAACAATGTGA >hg38_chr13:35910279-35910289(-) TAACAATGTCT >hg38_chr13:35950600-35950610(+) TTACAAAGGGA >hg38_chr13:35953680-35953690(+) AAACAAAGGCA >hg38_chr13:35953902-35953912(-) ACACAATGACT >hg38_chr13:35963030-35963040(+) CGACAATGCCC >hg38_chr13:35985255-35985265(+) AAACAATGCCT >hg38_chr13:35989042-35989052(+) AAACAATAAAT >hg38_chr13:35995514-35995524(-) AGACAAAGGAA >hg38_chr13:36026731-36026741(+) TGACAATGGAG >hg38_chr13:36035138-36035148(-) ACACAATAGCA >hg38_chr13:36051790-36051800(+) GTACAATGCCC >hg38_chr13:36053911-36053921(+) ACACAAAGGGA >hg38_chr13:36081516-36081526(-) GAACAATGAAA >hg38_chr13:36124542-36124552(+) ATACACTGGTT >hg38_chr13:36130759-36130769(+) TGACAATGACA >hg38_chr13:36130812-36130822(+) TAACAACGCGA >hg38_chr13:36160507-36160517(+) caacaataggg >hg38_chr13:36193268-36193278(+) ATACAATTGTA >hg38_chr13:36193307-36193317(+) ACACAATGACA >hg38_chr13:36211042-36211052(+) AAACAATGAAA >hg38_chr13:36250583-36250593(+) gaacaataaca >hg38_chr13:36250880-36250890(+) cgataatgaaa >hg38_chr13:36275266-36275276(+) ATACAATGTAG >hg38_chr13:36275324-36275334(+) CAACAATGAAA >hg38_chr13:36280457-36280467(+) ACACAATGGAA >hg38_chr13:36298889-36298899(-) agacaatgtac >hg38_chr13:36303658-36303668(+) ATACAATACAA >hg38_chr13:36307720-36307730(-) AAACAATAAGT >hg38_chr13:36326653-36326663(+) GTACAATGTGA >hg38_chr13:36342860-36342870(-) ggacaatgggc >hg38_chr13:36351671-36351681(-) GTATAATGGGT >hg38_chr13:36357858-36357868(-) CAACAATGAAT >hg38_chr13:36377916-36377926(-) ATACAATGAGC >hg38_chr13:36410817-36410827(+) ACACAATAATA >hg38_chr13:36410825-36410835(+) ATACAAAGGGA >hg38_chr13:36410974-36410984(+) tcataatggaa >hg38_chr13:36411022-36411032(+) acacaatggat >hg38_chr13:36417065-36417075(-) CAACAATAGTG >hg38_chr13:36420497-36420507(+) GTACAATAATG >hg38_chr13:36446130-36446140(-) AAACAATGCCA >hg38_chr13:36506548-36506558(-) aaataatggct >hg38_chr13:36518791-36518801(-) agacaatgttt >hg38_chr13:36520904-36520914(+) ATACAATAATA >hg38_chr13:36520979-36520989(-) atacaatgcct >hg38_chr13:36533925-36533935(-) aaacaatagca >hg38_chr13:36533958-36533968(+) agacaatgatg >hg38_chr13:36562577-36562587(-) TAACAATAGTA >hg38_chr13:36584529-36584539(-) ctacaatgtat >hg38_chr13:36586408-36586418(-) agacaaaggat >hg38_chr13:36590855-36590865(-) agacaatgcag >hg38_chr13:36628486-36628496(-) AGACAATGTTT >hg38_chr13:36642021-36642031(-) ggacaataata >hg38_chr13:36642877-36642887(+) gtacaaagata >hg38_chr13:36681593-36681603(+) CTACAATGATC >hg38_chr13:36716280-36716290(-) GAACAATGGGA >hg38_chr13:36718280-36718290(+) gcacaatgcct >hg38_chr13:36737783-36737793(+) GAACAAAGGTA >hg38_chr13:36778371-36778381(+) tgacaaaggga >hg38_chr13:36784698-36784708(-) agacaatgtgc >hg38_chr13:36799246-36799256(+) TCACAATAGAA >hg38_chr13:36802084-36802094(+) CGACAATGTGA >hg38_chr13:36802094-36802104(+) AGACAATGcag >hg38_chr13:36820936-36820946(-) TAATAATGGGT >hg38_chr13:36820942-36820952(-) TGACAATAATA >hg38_chr13:36912359-36912369(+) CAACAATGAAG >hg38_chr13:36945126-36945136(-) acacaatgtct >hg38_chr13:36945200-36945210(-) ggacaatgccc >hg38_chr13:37000031-37000041(+) GGACAATGAGC >hg38_chr13:37011094-37011104(+) TAACAATAAAG >hg38_chr13:37011121-37011131(-) AAACAATGCTT >hg38_chr13:37103047-37103057(+) CAACAATGTTT >hg38_chr13:37107497-37107507(+) TTATAATGGTG >hg38_chr13:37127844-37127854(-) AAACAATGGCT >hg38_chr13:37141572-37141582(-) taacaatgggt >hg38_chr13:37141611-37141621(-) ctacaatacgc >hg38_chr13:37156342-37156352(+) aaacaatgatt >hg38_chr13:37174137-37174147(-) GAATAATGGTC >hg38_chr13:37194614-37194624(-) ttacaatggaa >hg38_chr13:37198932-37198942(-) gaacaaaggac >hg38_chr13:37283917-37283927(-) caacaatggcc >hg38_chr13:37326617-37326627(-) TGACAATGAGG >hg38_chr13:37349109-37349119(-) tgacaaaggaa >hg38_chr13:37373972-37373982(+) gaacaatgctg >hg38_chr13:37385420-37385430(+) agacaaaggtt >hg38_chr13:37385449-37385459(-) aaacaataggt >hg38_chr13:37412980-37412990(-) ATACAATATGA >hg38_chr13:37478820-37478830(-) AAACAATGATA >hg38_chr13:37490327-37490337(+) AAACAATGAAT >hg38_chr13:37595335-37595345(-) TTACAATGATC >hg38_chr13:37597679-37597689(+) GAACAATGAGA >hg38_chr13:37609589-37609599(+) ctacaatggca >hg38_chr13:37618381-37618391(+) AAACAATGGTT >hg38_chr13:37639516-37639526(+) TAACAATGACA >hg38_chr13:37640005-37640015(-) TCATAATGGGA >hg38_chr13:37641641-37641651(-) GCATAATGGTG >hg38_chr13:37666111-37666121(-) gcacaatagct >hg38_chr13:37666149-37666159(-) agacaaaggtc >hg38_chr13:37711192-37711202(-) GTACAATGCAA >hg38_chr13:37725323-37725333(+) aaataatggcc >hg38_chr13:37728071-37728081(+) caacaatggac >hg38_chr13:37735563-37735573(+) GAATAATGGAA >hg38_chr13:37741455-37741465(-) TAACAAAGGAA >hg38_chr13:37806303-37806313(-) TGACAATAGTT >hg38_chr13:37808126-37808136(+) AAATAATGGCC >hg38_chr13:37850960-37850970(-) AAACAATAAGA >hg38_chr13:37860383-37860393(+) GAACAATAGAT >hg38_chr13:37860440-37860450(+) ACACAAAGGAA >hg38_chr13:37863931-37863941(+) TTACAATGTGT >hg38_chr13:37871567-37871577(+) CTACAATGCTA >hg38_chr13:37871585-37871595(+) AAACAAAGGAG >hg38_chr13:37913192-37913202(+) tgacaatgcag >hg38_chr13:37913219-37913229(-) ccacaatagta >hg38_chr13:37914807-37914817(+) ccacaatgtag >hg38_chr13:37914823-37914833(-) acacaataaaa >hg38_chr13:37914885-37914895(+) acacaaagggt >hg38_chr13:37916259-37916269(+) agacaatagca >hg38_chr13:37916713-37916723(+) agacaatagca >hg38_chr13:37941357-37941367(-) ATACAATGCCA >hg38_chr13:37941403-37941413(+) ATACAATGGCA >hg38_chr13:37943162-37943172(-) GCACAAAGGAA >hg38_chr13:37978260-37978270(+) caacaatagca >hg38_chr13:37984602-37984612(-) ggataatgggg >hg38_chr13:37986476-37986486(-) tcacaatagcg >hg38_chr13:38008844-38008854(-) atacaaaggca >hg38_chr13:38063702-38063712(-) tgacaatgata >hg38_chr13:38100902-38100912(+) caacaatgctc >hg38_chr13:38174975-38174985(+) gtacaatgatc >hg38_chr13:38181413-38181423(-) TAATAATGGCA >hg38_chr13:38186825-38186835(-) aaacaaagggg >hg38_chr13:38211073-38211083(-) tgacaatgagc >hg38_chr13:38248011-38248021(+) tcacaatgaaa >hg38_chr13:38250005-38250015(-) tgacaatacga >hg38_chr13:38257049-38257059(+) ggacaatgagt >hg38_chr13:38294328-38294338(-) taacagtgggt >hg38_chr13:38294396-38294406(+) gtacaatagca >hg38_chr13:38295689-38295699(+) gcacaatgaca >hg38_chr13:38295697-38295707(+) acacaatgagt >hg38_chr13:38297929-38297939(+) caacaatgcta >hg38_chr13:38313709-38313719(-) ccacaatgaat >hg38_chr13:38316848-38316858(+) AGACAATAGGA >hg38_chr13:38316908-38316918(-) ATACAATGAAT >hg38_chr13:38346352-38346362(+) TGACAAAGGAC >hg38_chr13:38346952-38346962(-) GAACAATGAAA >hg38_chr13:38348410-38348420(+) TGACAATAGGT >hg38_chr13:38353248-38353258(+) GAATAATGGTA >hg38_chr13:38413647-38413657(+) gcacaatgata >hg38_chr13:38445053-38445063(-) AAACAATGGAA >hg38_chr13:38447666-38447676(+) taataatggtg >hg38_chr13:38531879-38531889(+) CAACAATGGAT >hg38_chr13:38556290-38556300(-) aaacaatagtg >hg38_chr13:38566716-38566726(-) AGATAATGGGA >hg38_chr13:38604854-38604864(-) GAACAAAGGCA >hg38_chr13:38611916-38611926(+) AAACAATGCGC >hg38_chr13:38627766-38627776(+) acacaatagcc >hg38_chr13:38630075-38630085(+) agacaatggga >hg38_chr13:38640193-38640203(+) TGACAATGAAT >hg38_chr13:38649432-38649442(-) TTACAAAGGCA >hg38_chr13:38649480-38649490(+) TTATAATGCGT >hg38_chr13:38686454-38686464(-) TTACAATGGGC >hg38_chr13:38702854-38702864(-) CTATAATGGCC >hg38_chr13:38745664-38745674(-) aaacaaTAAAA >hg38_chr13:38776563-38776573(+) TTACAATATAG >hg38_chr13:38790923-38790933(+) CCACAATGCAT >hg38_chr13:38790985-38790995(-) TTATAATGGTA >hg38_chr13:38803672-38803682(-) ACACAAAGGCA >hg38_chr13:38804740-38804750(-) TGACAATACGT >hg38_chr13:38819230-38819240(+) ATACAATGCAC >hg38_chr13:38825572-38825582(-) TGACAATGCCT >hg38_chr13:38826608-38826618(-) AAACAATAAGT >hg38_chr13:38874902-38874912(-) GTACAATGGAA >hg38_chr13:38875389-38875399(-) ATACAATGAAG >hg38_chr13:38885445-38885455(+) GAACAATAACA >hg38_chr13:38927497-38927507(-) TAACAAAGGAA >hg38_chr13:38953016-38953026(-) gaacaaaggaa >hg38_chr13:38980806-38980816(+) ttacaatgcct >hg38_chr13:39016857-39016867(+) CTACAATGGTC >hg38_chr13:39016869-39016879(-) GCACAATAGCC >hg38_chr13:39016968-39016978(+) ATACAACGTCG >hg38_chr13:39025577-39025587(+) caacaaaggac >hg38_chr13:39044670-39044680(-) aaacaatgtgg >hg38_chr13:39049429-39049439(-) agacaatgata >hg38_chr13:39051430-39051440(-) AAACAATGAAA >hg38_chr13:39051652-39051662(+) GTACAATAGAG >hg38_chr13:39130336-39130346(-) aaacaatgaga >hg38_chr13:39130528-39130538(-) gaacaaaggcc >hg38_chr13:39131829-39131839(-) GAACAATGCTG >hg38_chr13:39148684-39148694(-) CAACAATGGTG >hg38_chr13:39160262-39160272(+) AGACAATAGCA >hg38_chr13:39164131-39164141(+) GAACAATAGTG >hg38_chr13:39174252-39174262(+) ATACAATAGTA >hg38_chr13:39209268-39209278(+) atacaatgatc >hg38_chr13:39209281-39209291(+) ggacaatgatc >hg38_chr13:39254273-39254283(+) GAACAAAGGGG >hg38_chr13:39273631-39273641(+) agacaaaggtt >hg38_chr13:39283031-39283041(-) tcacaatataa >hg38_chr13:39327038-39327048(+) ATACAATATAG >hg38_chr13:39327094-39327104(+) agacaaaggca >hg38_chr13:39329327-39329337(+) TCACAATGGAA >hg38_chr13:39337061-39337071(-) AGATAATGGAT >hg38_chr13:39337105-39337115(+) caacaatagat >hg38_chr13:39341309-39341319(+) TGACAATGTCA >hg38_chr13:39341542-39341552(+) AGACAATGAGA >hg38_chr13:39342741-39342751(+) GAACAATGCCT >hg38_chr13:39350724-39350734(+) CAACAATGGGG >hg38_chr13:39353889-39353899(+) ATATAATGGGA >hg38_chr13:39366844-39366854(+) ttataatggac >hg38_chr13:39388292-39388302(+) GTACAATGCGT >hg38_chr13:39401195-39401205(+) TTACAATGTCT >hg38_chr13:39401265-39401275(+) TAACAATGAAA >hg38_chr13:39401845-39401855(+) CAACAATAGGT >hg38_chr13:39401917-39401927(+) GAACAATGGGT >hg38_chr13:39409840-39409850(+) TAACAATAGCT >hg38_chr13:39415219-39415229(-) TAACAATAGAG >hg38_chr13:39424997-39425007(+) ccacaatgaag >hg38_chr13:39431951-39431961(-) ccacaatggag >hg38_chr13:39450274-39450284(+) gcacaatagtc >hg38_chr13:39451535-39451545(-) CAACAATAGTG >hg38_chr13:39452740-39452750(+) GAATAATGGCC >hg38_chr13:39464833-39464843(+) ATACAATGGGG >hg38_chr13:39480581-39480591(+) CCATAATGGTA >hg38_chr13:39490286-39490296(+) AGACAATAGAG >hg38_chr13:39494158-39494168(-) GCACAATGGTC >hg38_chr13:39498745-39498755(-) GAACTATGGAC >hg38_chr13:39510127-39510137(+) TAACAATGAGG >hg38_chr13:39532697-39532707(+) ATACAATATAA >hg38_chr13:39537193-39537203(+) GAATAATGGAA >hg38_chr13:39542637-39542647(-) CCACAATGGCA >hg38_chr13:39551061-39551071(-) agacaaaggat >hg38_chr13:39552393-39552403(-) CAACAATGGTT >hg38_chr13:39562208-39562218(-) ACACAATGCAC >hg38_chr13:39565084-39565094(-) CAACAATGGTC >hg38_chr13:39624089-39624099(+) gtacaatgagg >hg38_chr13:39624153-39624163(+) ttacaatagct >hg38_chr13:39655786-39655796(+) CAACAATGGGG >hg38_chr13:39760892-39760902(-) taataatggca >hg38_chr13:39769162-39769172(-) tcacaatgcta >hg38_chr13:39769171-39769181(+) gaacaatgctt >hg38_chr13:39780438-39780448(+) AGACAATGTCT >hg38_chr13:39783257-39783267(-) TAACAAAGGGC >hg38_chr13:39787101-39787111(-) TCACAATGAAG >hg38_chr13:39788300-39788310(-) AGACAATGTTG >hg38_chr13:39818271-39818281(-) AGACAAAGGCA >hg38_chr13:39838900-39838910(+) gaacaatggaa >hg38_chr13:39948677-39948687(+) tcacaatgaat >hg38_chr13:40032196-40032206(+) gaacaacggct >hg38_chr13:40074490-40074500(-) GCACAAAGGGA >hg38_chr13:40089432-40089442(-) TAATAATGGca >hg38_chr13:40102145-40102155(-) AGACAAAGGAG >hg38_chr13:40116183-40116193(+) AAACAATAACA >hg38_chr13:40116199-40116209(+) CAACAATGATA >hg38_chr13:40121062-40121072(-) ATACAATGAAA >hg38_chr13:40121109-40121119(-) AGACAAAGGAA >hg38_chr13:40149897-40149907(-) AGACAATAGAG >hg38_chr13:40155346-40155356(-) ACACAATGCTT >hg38_chr13:40155425-40155435(-) ACACAATAGGA >hg38_chr13:40191128-40191138(-) GAACAATAGGA >hg38_chr13:40193335-40193345(-) ACACAATGCCA >hg38_chr13:40193348-40193358(+) TAATAATGGAA >hg38_chr13:40197022-40197032(+) AAACAATAGAG >hg38_chr13:40210760-40210770(-) GTACAATGTGC >hg38_chr13:40227686-40227696(+) agataatggtg >hg38_chr13:40227753-40227763(+) tgacaatgatc >hg38_chr13:40241213-40241223(-) GTACAAAGGAT >hg38_chr13:40241220-40241230(+) GTACAATGCCA >hg38_chr13:40318500-40318510(-) TGACAATAGCA >hg38_chr13:40344623-40344633(-) GAACTATGGCT >hg38_chr13:40351214-40351224(+) AGACAATGCCC >hg38_chr13:40367660-40367670(+) taataatagta >hg38_chr13:40370890-40370900(+) ACACAATGAAA >hg38_chr13:40390817-40390827(+) AGACAATGGCT >hg38_chr13:40403084-40403094(-) GCACAATGCAT >hg38_chr13:40442705-40442715(+) TAACAATGGGA >hg38_chr13:40445671-40445681(-) GAACAATGTGG >hg38_chr13:40445683-40445693(-) AGACAATGGCG >hg38_chr13:40445700-40445710(-) TAACAACGGGG >hg38_chr13:40456670-40456680(-) CCACAATGGCT >hg38_chr13:40518360-40518370(-) CCACAATAGGT >hg38_chr13:40524709-40524719(-) gtacaatgctg >hg38_chr13:40563915-40563925(+) ATACACTGGAA >hg38_chr13:40563973-40563983(+) ACACAATAGAC >hg38_chr13:40568335-40568345(-) TAACAATCGCA >hg38_chr13:40574403-40574413(-) TCACAATGCAC >hg38_chr13:40576915-40576925(-) AGACAATGACA >hg38_chr13:40576982-40576992(+) AAATAATGGCC >hg38_chr13:40586067-40586077(+) ACACAAAGGTC >hg38_chr13:40614381-40614391(+) ACACAATGGCA >hg38_chr13:40638195-40638205(+) GTACAATGACA >hg38_chr13:40645014-40645024(+) ACACAAAGGCA >hg38_chr13:40673565-40673575(+) TAACAATAATA >hg38_chr13:40698757-40698767(-) TCATAATGGTC >hg38_chr13:40806253-40806263(-) ttacaaaggca >hg38_chr13:40975636-40975646(-) GGACAATGTAG >hg38_chr13:40975666-40975676(+) GGACAATAGGT >hg38_chr13:40982998-40983008(+) TTACAATAGTA >hg38_chr13:40984052-40984062(-) AGACAATGTAC >hg38_chr13:40995592-40995602(+) ggacaaaggca >hg38_chr13:40995603-40995613(+) atacaataaag >hg38_chr13:41008178-41008188(-) caacaatgcta >hg38_chr13:41008665-41008675(-) gaacaatgaga >hg38_chr13:41013390-41013400(+) aaacaatggaa >hg38_chr13:41014446-41014456(+) ACACAATGAGT >hg38_chr13:41016228-41016238(+) GGACAATGATG >hg38_chr13:41035099-41035109(-) AAACAATGTGA >hg38_chr13:41050096-41050106(-) ccacaatgtga >hg38_chr13:41062993-41063003(+) TTATAATGGTG >hg38_chr13:41064313-41064323(-) taacaatacgg >hg38_chr13:41064832-41064842(+) AAACAATGTAG >hg38_chr13:41066225-41066235(-) GCATAATGGAA >hg38_chr13:41075212-41075222(-) AAACAATAATA >hg38_chr13:41139504-41139514(+) tcacaatgaaa >hg38_chr13:41139556-41139566(+) taacaatacta >hg38_chr13:41217326-41217336(+) TAACAATAAAG >hg38_chr13:41217355-41217365(+) ATACAATGAAC >hg38_chr13:41222392-41222402(-) ccacaATGTGT >hg38_chr13:41250942-41250952(-) ACACAAAGGAG >hg38_chr13:41276716-41276726(-) ttacaaaggca >hg38_chr13:41287002-41287012(+) AGACAAAGGTC >hg38_chr13:41287045-41287055(+) TAACAATGCAA >hg38_chr13:41312346-41312356(+) GAATAATGGCC >hg38_chr13:41332453-41332463(-) atacaataact >hg38_chr13:41381094-41381104(-) atacaatggtt >hg38_chr13:41459116-41459126(+) TCACAATGGAG >hg38_chr13:41524434-41524444(+) TGACAATAGTG >hg38_chr13:41526400-41526410(-) gtacaatgaga >hg38_chr13:41530838-41530848(+) taacaatgtgc >hg38_chr13:41549156-41549166(+) ctacaatgctc >hg38_chr13:41563854-41563864(+) GAACAAAGGTC >hg38_chr13:41565553-41565563(+) TAACAATGAAC >hg38_chr13:41568463-41568473(+) AAACAATGAAA >hg38_chr13:41568809-41568819(+) ACACAATGTGA >hg38_chr13:41579158-41579168(-) TTACAATGTGG >hg38_chr13:41590936-41590946(-) GGACAAAGGGC >hg38_chr13:41590969-41590979(+) GAACAATAAAA >hg38_chr13:41595717-41595727(-) atacaatggaa >hg38_chr13:41602633-41602643(+) GTACAATGAAG >hg38_chr13:41602645-41602655(+) Taacaatgaag >hg38_chr13:41602686-41602696(-) aaacaatgcct >hg38_chr13:41610559-41610569(-) GGACAATAGAG >hg38_chr13:41628402-41628412(+) gaacaatgtat >hg38_chr13:41666975-41666985(+) TAACAATGATC >hg38_chr13:41693879-41693889(-) TAACAATGAGG >hg38_chr13:41725963-41725973(+) aaacaatgcct >hg38_chr13:41726269-41726279(+) aaacaatgcta >hg38_chr13:41726300-41726310(-) atacaatgttt >hg38_chr13:41773070-41773080(+) AGACAATGCTT >hg38_chr13:41782232-41782242(-) ACACAATGTCT >hg38_chr13:41782249-41782259(+) GCACAATGGTC >hg38_chr13:41810415-41810425(+) acacaatagag >hg38_chr13:41826313-41826323(-) TAACAATGATT >hg38_chr13:41834161-41834171(+) ACACAATGTGC >hg38_chr13:41834172-41834182(+) TAACAATAAAA >hg38_chr13:41842148-41842158(-) ATACAATATTT >hg38_chr13:41842880-41842890(+) CAACAATGGGA >hg38_chr13:41854304-41854314(+) aaacaatagaa >hg38_chr13:41855878-41855888(-) agacaaaggca >hg38_chr13:41861983-41861993(-) ttacattggcg >hg38_chr13:41879474-41879484(-) AGACAAAGGAG >hg38_chr13:41909699-41909709(-) GAACAAAGGAA >hg38_chr13:41927696-41927706(+) gaacaaaggat >hg38_chr13:41958218-41958228(+) TAACAAAGGTC >hg38_chr13:41985641-41985651(-) CAACAATGGAA >hg38_chr13:42028568-42028578(-) GTACAAAGGTC >hg38_chr13:42041106-42041116(-) GGACAAAGGCG >hg38_chr13:42068646-42068656(-) GAACAATGATC >hg38_chr13:42076428-42076438(+) GGATAATGGCC >hg38_chr13:42086678-42086688(+) aaacaatggtc >hg38_chr13:42106453-42106463(+) AGACAATGAGA >hg38_chr13:42106523-42106533(+) CAACAATGAAA >hg38_chr13:42108188-42108198(-) TAACAATGACC >hg38_chr13:42129179-42129189(+) AAACAATGATA >hg38_chr13:42180363-42180373(-) GCACAATGACT >hg38_chr13:42193162-42193172(+) tgacaatggca >hg38_chr13:42221399-42221409(-) GCACAAAGGAA >hg38_chr13:42229550-42229560(+) TAACAATATGT >hg38_chr13:42319513-42319523(-) AGACAATGCCC >hg38_chr13:42320437-42320447(+) GGACAAAGGTT >hg38_chr13:42368270-42368280(-) GTACAATAAGG >hg38_chr13:42448890-42448900(+) acacaataata >hg38_chr13:42478554-42478564(+) gtacaatgggg >hg38_chr13:42485359-42485369(-) ggacaatggag >hg38_chr13:42539789-42539799(+) TGACAATGAGG >hg38_chr13:42539819-42539829(+) GAACAATGGCA >hg38_chr13:42546177-42546187(+) atacaataggt >hg38_chr13:42546238-42546248(-) atacaatgcaa >hg38_chr13:42593167-42593177(+) taacaaaggat >hg38_chr13:42601262-42601272(-) TAACAAAGGAT >hg38_chr13:42606193-42606203(-) taacaataggc >hg38_chr13:42629202-42629212(-) ttacaatgaag >hg38_chr13:42656237-42656247(-) tgacaacggga >hg38_chr13:42720639-42720649(+) AAACAATGGTA >hg38_chr13:42724276-42724286(-) agacaaaggag >hg38_chr13:42733399-42733409(-) ACACAATATAC >hg38_chr13:42753019-42753029(+) aaataatggtg >hg38_chr13:42753039-42753049(+) taacaatgaga >hg38_chr13:42758631-42758641(+) ATACAATAGAC >hg38_chr13:42758681-42758691(-) GAACAATGACC >hg38_chr13:42758707-42758717(-) GAACAATGACC >hg38_chr13:42797395-42797405(+) CCACAATGGAA >hg38_chr13:42803457-42803467(-) taacaatatgt >hg38_chr13:42877016-42877026(+) gaacaatgtgt >hg38_chr13:42877385-42877395(-) GAACAGTGGGA >hg38_chr13:42893918-42893928(+) ACACAATGCAA >hg38_chr13:42894837-42894847(-) CCACAATAGCT >hg38_chr13:42981388-42981398(-) TAACAATAATC >hg38_chr13:42981432-42981442(-) AAACAATAAAT >hg38_chr13:43005644-43005654(+) TGACACTGGTA >hg38_chr13:43007227-43007237(+) ctACAATAGGA >hg38_chr13:43028060-43028070(+) ttataatggtg >hg38_chr13:43049491-43049501(+) CAACAATAGTA >hg38_chr13:43054985-43054995(+) tcacaatgggc >hg38_chr13:43087187-43087197(-) GAACAATAATC >hg38_chr13:43122774-43122784(-) TCACAATGGGG >hg38_chr13:43141290-43141300(+) AGACAAAGGAC >hg38_chr13:43152028-43152038(-) GAATAATGGGC >hg38_chr13:43159305-43159315(+) GGACAAAGGCG >hg38_chr13:43222793-43222803(-) GCACAATGTTC >hg38_chr13:43270368-43270378(+) CAACAAAGGAC >hg38_chr13:43275844-43275854(+) ATACAAAGGAC >hg38_chr13:43348634-43348644(-) ggacaaaggga >hg38_chr13:43413514-43413524(+) TTACAATAGTC >hg38_chr13:43422346-43422356(+) TAACAAAGGCA >hg38_chr13:43477532-43477542(+) AAACAATGGAG >hg38_chr13:43484101-43484111(+) TCACAAAGGAA >hg38_chr13:43489627-43489637(-) caataatggga >hg38_chr13:43490959-43490969(-) acacaATGGTA >hg38_chr13:43502036-43502046(-) ttataatggcc >hg38_chr13:43513531-43513541(+) ACACAATAAAA >hg38_chr13:43513548-43513558(-) GAATAATGGAA >hg38_chr13:43514083-43514093(+) GAACAATAATA >hg38_chr13:43572745-43572755(-) CCACAATGGAA >hg38_chr13:43628291-43628301(-) gaataatgata >hg38_chr13:43628330-43628340(-) taacaatagaa >hg38_chr13:43681343-43681353(+) ctataatggca >hg38_chr13:43701401-43701411(+) ccacaatgata >hg38_chr13:43706298-43706308(+) aaacaatgaaa >hg38_chr13:43718178-43718188(+) gcactatggaa >hg38_chr13:43718219-43718229(-) ctacaaaggac >hg38_chr13:43738276-43738286(+) TAACAATATGT >hg38_chr13:43738311-43738321(+) AAACAATGCAG >hg38_chr13:43780771-43780781(+) CCACAATGGCT >hg38_chr13:43784013-43784023(-) AGACAATAGTA >hg38_chr13:43795224-43795234(+) taacaatatac >hg38_chr13:43816683-43816693(+) AGACAATAGCT >hg38_chr13:43858566-43858576(-) AAACAATGCAA >hg38_chr13:43867640-43867650(+) ggacaatgttc >hg38_chr13:43878522-43878532(+) AGACAAAGGTT >hg38_chr13:43933933-43933943(-) tcacaaaggac >hg38_chr13:43936834-43936844(-) CCACAATAGAA >hg38_chr13:43938059-43938069(+) AGACAATGAAT >hg38_chr13:43953331-43953341(-) taacaatatga >hg38_chr13:44034929-44034939(+) gaacaatgaaa >hg38_chr13:44045022-44045032(+) AGACAATAAAA >hg38_chr13:44100096-44100106(-) gaataatggct >hg38_chr13:44100354-44100364(-) tcacaatggaa >hg38_chr13:44100574-44100584(-) aaataatggag >hg38_chr13:44101528-44101538(+) ttacaataaaa >hg38_chr13:44105094-44105104(+) GGACAATGGGT >hg38_chr13:44105646-44105656(-) TCACAATGGTT >hg38_chr13:44166367-44166377(-) TGACAAAGGAT >hg38_chr13:44186852-44186862(+) CAACAATAGTC >hg38_chr13:44191689-44191699(-) AAACAATGGCA >hg38_chr13:44218620-44218630(-) acacaatgcaa >hg38_chr13:44264554-44264564(-) AAACAATGGAA >hg38_chr13:44266525-44266535(-) TAACAATGGTG >hg38_chr13:44306095-44306105(+) ACACAAAGGAT >hg38_chr13:44306130-44306140(+) ATAGAATGGTA >hg38_chr13:44306526-44306536(-) GCACAATAGAA >hg38_chr13:44307454-44307464(-) AAACAATGATT >hg38_chr13:44307513-44307523(+) TTACAATGAAC >hg38_chr13:44346144-44346154(-) taacaatgggg >hg38_chr13:44364730-44364740(+) GGACAAAGGGC >hg38_chr13:44368297-44368307(+) TCATAATGGAT >hg38_chr13:44384164-44384174(-) gtacaaagata >hg38_chr13:44384208-44384218(+) acacaatagct >hg38_chr13:44404020-44404030(-) agacaaaggcg >hg38_chr13:44483891-44483901(+) ATACAACGTAT >hg38_chr13:44493908-44493918(+) tgacaaaggta >hg38_chr13:44527946-44527956(+) agacaaagggc >hg38_chr13:44548990-44549000(+) TGACAATAATA >hg38_chr13:44569988-44569998(+) aaacaatgtcc >hg38_chr13:44578961-44578971(-) CAACAATGTAT >hg38_chr13:44619804-44619814(-) GGACAATGAAA >hg38_chr13:44649202-44649212(+) AGACAATAAAC >hg38_chr13:44649991-44650001(-) CAACAATGACT >hg38_chr13:44664578-44664588(-) CAACAATGGTC >hg38_chr13:44718670-44718680(+) agacaatgggt >hg38_chr13:44745408-44745418(-) acacaaaggac >hg38_chr13:44752411-44752421(+) GGACAATGACT >hg38_chr13:44763765-44763775(-) GAACAATGCAG >hg38_chr13:44764044-44764054(+) TCACAATGCCC >hg38_chr13:44811328-44811338(+) AAACAATAACA >hg38_chr13:44917110-44917120(+) TAACAAAGGGA >hg38_chr13:44918270-44918280(-) GAACAATGACT >hg38_chr13:44932905-44932915(+) acacaatagga >hg38_chr13:44932936-44932946(-) ttacaatgtaa >hg38_chr13:44943951-44943961(+) atacaaaggaa >hg38_chr13:44958629-44958639(+) CTACAATGTCA >hg38_chr13:44971871-44971881(-) GTACCATGGAC >hg38_chr13:45017688-45017698(+) GAATAATGGAA >hg38_chr13:45026903-45026913(-) taacaaaggag >hg38_chr13:45102425-45102435(-) TGACAATGCAG >hg38_chr13:45119418-45119428(+) tgacaatgtgt >hg38_chr13:45119442-45119452(-) ccacaatgtct >hg38_chr13:45120516-45120526(-) GAACACTGGGA >hg38_chr13:45139771-45139781(-) agacaatggag >hg38_chr13:45139783-45139793(+) gaacaatgctt >hg38_chr13:45168301-45168311(-) agacaaaggtg >hg38_chr13:45171587-45171597(-) ATATAATGTAA >hg38_chr13:45172172-45172182(-) agacaataaca >hg38_chr13:45172228-45172238(-) tcacaatgaaa >hg38_chr13:45189719-45189729(-) CCACAATGGCc >hg38_chr13:45206614-45206624(-) TCATAATGGTA >hg38_chr13:45206643-45206653(+) ATACaataagg >hg38_chr13:45222092-45222102(-) atacaataaac >hg38_chr13:45222113-45222123(-) acacaatggta >hg38_chr13:45252642-45252652(-) CCACAATGTAC >hg38_chr13:45253689-45253699(-) ggacaaaggaa >hg38_chr13:45253707-45253717(-) atacaatgtgg >hg38_chr13:45260361-45260371(+) ACACAAAGGAC >hg38_chr13:45266097-45266107(-) ACACAATGAAG >hg38_chr13:45268054-45268064(+) TAACAATAAGA >hg38_chr13:45268093-45268103(-) AAACAATGTGA >hg38_chr13:45268430-45268440(-) GTATAATAGTA >hg38_chr13:45290172-45290182(+) TAACAATACCG >hg38_chr13:45310575-45310585(-) AGACAAAGGCA >hg38_chr13:45332383-45332393(-) AGACAAAGGAA >hg38_chr13:45337841-45337851(-) AAACAAAGGCA >hg38_chr13:45367514-45367524(+) TAACAATGCCT >hg38_chr13:45417703-45417713(-) AAACAATGTTG >hg38_chr13:45438274-45438284(-) tgacaatgtca >hg38_chr13:45439417-45439427(+) cgacaatacgg >hg38_chr13:45481307-45481317(-) GAACAATGCTT >hg38_chr13:45481875-45481885(+) TAACAATGGAA >hg38_chr13:45500925-45500935(+) atacaatgccc >hg38_chr13:45511793-45511803(-) AGACAATGCTT >hg38_chr13:45524846-45524856(-) ACACAATGATA >hg38_chr13:45529824-45529834(+) AGACAATAAAA >hg38_chr13:45611592-45611602(-) GAACAATGCCC >hg38_chr13:45678490-45678500(-) ATACAATAGCT >hg38_chr13:45723448-45723458(-) AAACAATGAGA >hg38_chr13:45748979-45748989(+) TTACAATAGCC >hg38_chr13:45828782-45828792(-) TGACAATGAGA >hg38_chr13:45864304-45864314(+) CAACAATGAAA >hg38_chr13:45873174-45873184(-) GGACAATAGAA >hg38_chr13:45873715-45873725(-) GAACAATAGAC >hg38_chr13:45873726-45873736(-) AGACAACGGGG >hg38_chr13:45874105-45874115(+) ATACAATAACC >hg38_chr13:45908792-45908802(+) aaacaatggaa >hg38_chr13:45908849-45908859(+) ctacaatagaa >hg38_chr13:45955364-45955374(+) GAACAAAGGAA >hg38_chr13:45967075-45967085(-) AAACAATAACA >hg38_chr13:46020729-46020739(+) CCACAATGAAA >hg38_chr13:46027922-46027932(+) gaacaatggca >hg38_chr13:46079160-46079170(+) AAACTATGGCC >hg38_chr13:46079381-46079391(+) GCACAATGATG >hg38_chr13:46082877-46082887(-) GAACAAAGGTA >hg38_chr13:46142906-46142916(+) AAACAATGTTC >hg38_chr13:46148506-46148516(+) TAACAATGATT >hg38_chr13:46243353-46243363(+) acacaaaggta >hg38_chr13:46243423-46243433(+) tgacaatagga >hg38_chr13:46248704-46248714(+) cgataatgaat >hg38_chr13:46272102-46272112(+) caacaatgggt >hg38_chr13:46272118-46272128(+) ctacaatgtgg >hg38_chr13:46310102-46310112(-) aaacaatgctc >hg38_chr13:46355025-46355035(+) CAACAATGGTG >hg38_chr13:46390088-46390098(-) CCACAATGGAG >hg38_chr13:46559420-46559430(-) AAACAATAGTA >hg38_chr13:46567863-46567873(+) AGATAATGCGT >hg38_chr13:46571605-46571615(-) GAACAAAGGCA >hg38_chr13:46614184-46614194(+) cgacaatactt >hg38_chr13:46614772-46614782(+) GAATAATGACG >hg38_chr13:46614796-46614806(-) ATACAATAGAG >hg38_chr13:46625028-46625038(-) GGATAATGGCA >hg38_chr13:46630732-46630742(-) TTACAAAGGAA >hg38_chr13:46630765-46630775(+) GAACAATGGTG >hg38_chr13:46656067-46656077(+) GAACAATGTGC >hg38_chr13:46817341-46817351(-) taacaatagga >hg38_chr13:46824957-46824967(-) AAACAATGGAT >hg38_chr13:46834869-46834879(-) AGACAATAGCG >hg38_chr13:46835008-46835018(-) ACACAATACCG >hg38_chr13:46839513-46839523(+) TGACAATAGCT >hg38_chr13:46916157-46916167(-) agaCAAAGGAC >hg38_chr13:46962676-46962686(+) tgataatggat >hg38_chr13:46992614-46992624(+) ctacaatgcct >hg38_chr13:46992635-46992645(-) CTACAATAGCC >hg38_chr13:47009573-47009583(+) aaacaatgggc >hg38_chr13:47012704-47012714(+) acacaatggaa >hg38_chr13:47012775-47012785(-) taacaatgttt >hg38_chr13:47020095-47020105(+) AAACAATGAGT >hg38_chr13:47020113-47020123(-) AAACAATGACA >hg38_chr13:47023655-47023665(-) GCACAAAGGAA >hg38_chr13:47033362-47033372(-) ccacaatgtca >hg38_chr13:47047711-47047721(+) ACACAATAGGC >hg38_chr13:47049062-47049072(-) GAACAATGGGG >hg38_chr13:47069703-47069713(+) TAACAAAGGTA >hg38_chr13:47094849-47094859(+) TCACAATGTCA >hg38_chr13:47099799-47099809(-) AAACAAAGGGT >hg38_chr13:47136698-47136708(+) TTACAATGCTT >hg38_chr13:47146888-47146898(+) AAACAATGTTG >hg38_chr13:47165208-47165218(-) gtacaatacca >hg38_chr13:47172472-47172482(+) GAACAATGCTA >hg38_chr13:47185097-47185107(+) AGACAATAGAT >hg38_chr13:47198708-47198718(-) AAACAAAGGAG >hg38_chr13:47213473-47213483(-) atacaatgaga >hg38_chr13:47227498-47227508(-) agacaataaca >hg38_chr13:47233593-47233603(+) GAACAATGAAG >hg38_chr13:47270279-47270289(-) TGACAATGCGT >hg38_chr13:47270316-47270326(-) gaacaataatt >hg38_chr13:47388589-47388599(+) acacaatagct >hg38_chr13:47397961-47397971(+) TGACAATGCAT >hg38_chr13:47428392-47428402(-) ACATAATGGAT >hg38_chr13:47438053-47438063(+) ACACAATAGCT >hg38_chr13:47438807-47438817(+) TGACAATGATA >hg38_chr13:47484309-47484319(-) GGACAATGAAG >hg38_chr13:47496240-47496250(+) tcacaatgctg >hg38_chr13:47501056-47501066(+) caacaatgcca >hg38_chr13:47622184-47622194(+) AAACAATAGGT >hg38_chr13:47622194-47622204(-) GGACAATGGAA >hg38_chr13:47628927-47628937(+) GAACAATGTGT >hg38_chr13:47655219-47655229(-) aaacaatgctg >hg38_chr13:47655280-47655290(-) gtacaatagat >hg38_chr13:47664921-47664931(+) AAACAATAGCA >hg38_chr13:47664945-47664955(+) ATACAAAGGCA >hg38_chr13:47796785-47796795(+) CAACAATGACA >hg38_chr13:47796857-47796867(+) AGACAAAGGTA >hg38_chr13:47828596-47828606(+) GCACAATGAGC >hg38_chr13:47838970-47838980(-) TAACAATGAAC >hg38_chr13:47902416-47902426(-) gaacaaaggct >hg38_chr13:47925771-47925781(+) CCACAATGTGA >hg38_chr13:47925796-47925806(+) ACACAAAGGAG >hg38_chr13:47933571-47933581(+) AAACAATGGAC >hg38_chr13:47947536-47947546(+) ttacaatagca >hg38_chr13:48038232-48038242(-) TGACAATATCG >hg38_chr13:48086478-48086488(+) AGACAATAGAC >hg38_chr13:48155988-48155998(+) TTACAAAGGAG >hg38_chr13:48160023-48160033(-) tcacaaaggaa >hg38_chr13:48214128-48214138(+) ACACAATGACC >hg38_chr13:48214151-48214161(-) GAACAATAGAA >hg38_chr13:48222789-48222799(+) AGACAAAGGCA >hg38_chr13:48235852-48235862(-) AAACAATGGTC >hg38_chr13:48236700-48236710(-) TGACAATGTGC >hg38_chr13:48342935-48342945(-) ACACAATGAAA >hg38_chr13:48398069-48398079(+) GGACAATGAAG >hg38_chr13:48400464-48400474(-) TGACAATGCAC >hg38_chr13:48484643-48484653(-) AGATAATGGAC >hg38_chr13:48485073-48485083(-) AAACAAAGGTT >hg38_chr13:48489287-48489297(-) TGACAATGTGC >hg38_chr13:48495840-48495850(-) AGACAATAGGA >hg38_chr13:48505249-48505259(+) gcacaatgtct >hg38_chr13:48505277-48505287(+) TGACAATAGAG >hg38_chr13:48559892-48559902(-) caacaatgtag >hg38_chr13:48567954-48567964(+) TAACAATGACA >hg38_chr13:48608573-48608583(-) agacaataata >hg38_chr13:48615347-48615357(-) ACACAATGAAA >hg38_chr13:48633775-48633785(-) AAACAATATTA >hg38_chr13:48665846-48665856(-) atacaatgata >hg38_chr13:48665904-48665914(-) aaacaataaga >hg38_chr13:48692961-48692971(-) AGACAATGGTT >hg38_chr13:48708935-48708945(-) GTACAATGGTC >hg38_chr13:48763963-48763973(-) caacaatgaag >hg38_chr13:48768674-48768684(-) gaacaatgaag >hg38_chr13:48840443-48840453(+) atacaatggtt >hg38_chr13:48859378-48859388(-) GGACAATGGTT >hg38_chr13:48876559-48876569(-) GAACAATGTCA >hg38_chr13:48911772-48911782(-) taacaaaggac >hg38_chr13:48922585-48922595(-) GGACAACGGGA >hg38_chr13:48922648-48922658(+) TAACAATGGGA >hg38_chr13:48922670-48922680(+) TGACAATGTCA >hg38_chr13:48938304-48938314(-) gaataatggat >hg38_chr13:48975252-48975262(-) AAACAATGTGG >hg38_chr13:48983002-48983012(-) TGACAATGATT >hg38_chr13:48985665-48985675(+) atacaatacaC >hg38_chr13:49004664-49004674(+) TAATAATGGCG >hg38_chr13:49006186-49006196(+) TGATAATGGCA >hg38_chr13:49006962-49006972(-) acataatgggc >hg38_chr13:49006976-49006986(-) gcacaatgttt >hg38_chr13:49035342-49035352(-) AGACAATGTCT >hg38_chr13:49060077-49060087(+) ccacaatggag >hg38_chr13:49086962-49086972(-) ACACAATGTAC >hg38_chr13:49094231-49094241(+) TAACAATAGGC >hg38_chr13:49113663-49113673(-) GAACAAAGGTC >hg38_chr13:49140774-49140784(+) acacaatgaat >hg38_chr13:49141192-49141202(+) gaataatggta >hg38_chr13:49183976-49183986(+) ggacaataaat >hg38_chr13:49184858-49184868(-) ccacaatgaag >hg38_chr13:49215243-49215253(+) AGACAATGCTT >hg38_chr13:49269521-49269531(-) ACACAATGCAA >hg38_chr13:49278970-49278980(-) ttacaatggct >hg38_chr13:49279022-49279032(-) acacaatggta >hg38_chr13:49279032-49279042(+) ttacaatggcc >hg38_chr13:49299438-49299448(-) ACACAATGGCT >hg38_chr13:49373001-49373011(+) ttacaaaggtt >hg38_chr13:49373018-49373028(+) gaacaaaggat >hg38_chr13:49400285-49400295(+) GAACAATGATT >hg38_chr13:49436273-49436283(+) acacaatgagc >hg38_chr13:49443878-49443888(-) GAACAATGGGG >hg38_chr13:49446662-49446672(-) atacactggaa >hg38_chr13:49447258-49447268(-) TAACAATAGCA >hg38_chr13:49540701-49540711(+) AAACAATGAAC >hg38_chr13:49607190-49607200(-) AAACAATAGTG >hg38_chr13:49656130-49656140(+) CTACAATATAA >hg38_chr13:49664784-49664794(+) GGACAAAGGTT >hg38_chr13:49664822-49664832(+) GGACAATAAGA >hg38_chr13:49690844-49690854(+) CGACAATAGCC >hg38_chr13:49713441-49713451(+) TGATAATGGCA >hg38_chr13:49739375-49739385(+) GCATAATGGTA >hg38_chr13:49791476-49791486(+) AGACAATGGCA >hg38_chr13:49791724-49791734(+) ACACAATAGAT >hg38_chr13:49791739-49791749(-) GGACAATAGTT >hg38_chr13:49836864-49836874(-) ggacaaaggac >hg38_chr13:49858757-49858767(-) ACGCAATGGTA >hg38_chr13:49915837-49915847(+) acacaatgcta >hg38_chr13:49928887-49928897(+) CTACAATGAGT >hg38_chr13:49928901-49928911(+) AAACAATATAT >hg38_chr13:50006623-50006633(-) GAACAATGAAG >hg38_chr13:50085124-50085134(-) TTACAATCGGG >hg38_chr13:50094676-50094686(+) ggacaatggac >hg38_chr13:50104750-50104760(+) TCACAATGCAG >hg38_chr13:50109860-50109870(-) GGACAATGTTA >hg38_chr13:50111877-50111887(+) GCACAATGGAA >hg38_chr13:50124277-50124287(+) ACACAATGGGA >hg38_chr13:50128082-50128092(+) GGACAAAGGCG >hg38_chr13:50131189-50131199(-) ATACGATGGAC >hg38_chr13:50141269-50141279(+) TCACAATGAGG >hg38_chr13:50144277-50144287(+) TTACAATAGAA >hg38_chr13:50170562-50170572(+) GGACAATGGCT >hg38_chr13:50215164-50215174(+) gcacaatagtg >hg38_chr13:50215890-50215900(+) caacaatgaca >hg38_chr13:50216421-50216431(+) ttacagtggaa >hg38_chr13:50216430-50216440(+) aaataatggaa >hg38_chr13:50216454-50216464(+) aaacaatagag >hg38_chr13:50249299-50249309(+) agacaatggga >hg38_chr13:50259040-50259050(-) gtacaatggct >hg38_chr13:50275649-50275659(-) gaacaataggc >hg38_chr13:50280562-50280572(-) gaacaatgtga >hg38_chr13:50282244-50282254(-) AGACAATGACA >hg38_chr13:50317611-50317621(+) tgacaaaggaa >hg38_chr13:50322816-50322826(-) gtacaaaggta >hg38_chr13:50340240-50340250(-) GAACAAAGGAA >hg38_chr13:50354788-50354798(+) TAACAATGGGT >hg38_chr13:50393741-50393751(+) tcacaataggg >hg38_chr13:50419672-50419682(+) CAACAATAGCA >hg38_chr13:50467282-50467292(+) ATACAATGTGC >hg38_chr13:50484605-50484615(+) AAACAATGGAC >hg38_chr13:50490692-50490702(-) gaacaatgaca >hg38_chr13:50565566-50565576(-) acataatggca >hg38_chr13:50566849-50566859(-) tcacaatgcct >hg38_chr13:50592604-50592614(-) ttataatggag >hg38_chr13:50636664-50636674(-) TGACAATGATT >hg38_chr13:50636695-50636705(-) gtacaatgagA >hg38_chr13:50651450-50651460(+) GAACAAAGGCC >hg38_chr13:50651478-50651488(-) GAACAATAGAG >hg38_chr13:50661388-50661398(-) gaataatggaa >hg38_chr13:50681726-50681736(+) AAATAATGGTG >hg38_chr13:50690078-50690088(+) GGATAATGGGC >hg38_chr13:50692925-50692935(+) caacaatagat >hg38_chr13:50699915-50699925(+) TAACaatatta >hg38_chr13:50735226-50735236(+) aaacaatggta >hg38_chr13:50739423-50739433(+) GAACAAAGGGC >hg38_chr13:50764659-50764669(+) ACACAATGGAT >hg38_chr13:50787322-50787332(-) TAACAGTGGGA >hg38_chr13:50873787-50873797(-) tcacaatgctc >hg38_chr13:50901952-50901962(+) CAACAATGCCT >hg38_chr13:50901958-50901968(-) ACACAAAGGCA >hg38_chr13:50902649-50902659(+) TTACTATGGAA >hg38_chr13:50909571-50909581(-) GGACAATAGCA >hg38_chr13:50922805-50922815(+) acacaataggg >hg38_chr13:50946285-50946295(-) GAACAATGAAT >hg38_chr13:50948969-50948979(-) AAACAATGGAG >hg38_chr13:50989690-50989700(+) CCACAATAGAA >hg38_chr13:50990179-50990189(+) caacaatagcC >hg38_chr13:50990810-50990820(+) TAACAATGGCT >hg38_chr13:51009568-51009578(-) GTACAATGACA >hg38_chr13:51025755-51025765(+) AGACAATGAGC >hg38_chr13:51033700-51033710(-) GAACAAAGGCT >hg38_chr13:51070111-51070121(+) TGACAATGATA >hg38_chr13:51094644-51094654(-) gtacaataaat >hg38_chr13:51095169-51095179(-) aaacaatggag >hg38_chr13:51095207-51095217(-) ttacaataaat >hg38_chr13:51097128-51097138(-) gtacaatggag >hg38_chr13:51097599-51097609(-) TGACAATAGCC >hg38_chr13:51126005-51126015(+) TTACAATAACA >hg38_chr13:51148451-51148461(+) GAACAATGGTG >hg38_chr13:51156885-51156895(-) TAACAATTGCG >hg38_chr13:51246803-51246813(-) ACACAAAGGTT >hg38_chr13:51298948-51298958(-) GAACAATGGTG >hg38_chr13:51312148-51312158(+) agacaatgact >hg38_chr13:51312380-51312390(-) gaacaaaggaa >hg38_chr13:51322160-51322170(+) TGACAATGTTT >hg38_chr13:51328640-51328650(-) ACACAATGGGA >hg38_chr13:51340716-51340726(+) GAACAATGAGT >hg38_chr13:51351862-51351872(-) ggacaaaggga >hg38_chr13:51352211-51352221(+) taacaatatta >hg38_chr13:51365300-51365310(+) TTACAATAATA >hg38_chr13:51366322-51366332(+) AAACCATGGTA >hg38_chr13:51399118-51399128(-) ttacaatgatg >hg38_chr13:51399154-51399164(+) atacaatggta >hg38_chr13:51400695-51400705(-) taacaatgtgt >hg38_chr13:51400711-51400721(+) aaacaatgttt >hg38_chr13:51407347-51407357(+) AGACAATGGAA >hg38_chr13:51407365-51407375(-) TCACAATGTTT >hg38_chr13:51411952-51411962(+) atacaatggaa >hg38_chr13:51412314-51412324(+) GGACAATAACA >hg38_chr13:51421371-51421381(+) AAACAAAGGGG >hg38_chr13:51424319-51424329(-) CAACAATGGAG >hg38_chr13:51425465-51425475(+) TAACATTGGTA >hg38_chr13:51435001-51435011(-) TAACAATGTAA >hg38_chr13:51438861-51438871(-) AGACAATGACA >hg38_chr13:51445566-51445576(+) gtacaatggct >hg38_chr13:51446697-51446707(+) atacaatagag >hg38_chr13:51449622-51449632(+) AAATAATGGCA >hg38_chr13:51450202-51450212(-) TAACAAAGGAA >hg38_chr13:51450224-51450234(-) GAACAATGTAT >hg38_chr13:51450273-51450283(+) TTACAATAGAC >hg38_chr13:51474437-51474447(+) ATACAATGATA >hg38_chr13:51474504-51474514(-) AAACAATGACT >hg38_chr13:51475047-51475057(+) TAATAATGGTA >hg38_chr13:51496637-51496647(-) TAACAATAGAG >hg38_chr13:51496992-51497002(+) CTACAATAGAC >hg38_chr13:51506187-51506197(+) gcacaatgttg >hg38_chr13:51525376-51525386(-) caacaatggtc >hg38_chr13:51553097-51553107(-) tcacAATGAAT >hg38_chr13:51576983-51576993(+) atacaatggtg >hg38_chr13:51583936-51583946(-) TAACAATGAAG >hg38_chr13:51588297-51588307(-) AAACAAAGGAG >hg38_chr13:51602213-51602223(+) ttataatggag >hg38_chr13:51605913-51605923(-) TGACAATGAAA >hg38_chr13:51612746-51612756(-) GGACAATGCAC >hg38_chr13:51618853-51618863(-) TCACAATGGCA >hg38_chr13:51620678-51620688(-) GAACAATGGCT >hg38_chr13:51643372-51643382(-) aAACAATGAAA >hg38_chr13:51656564-51656574(-) ttacaataaaa >hg38_chr13:51663759-51663769(+) AAATAATGGTT >hg38_chr13:51663801-51663811(+) GCACAATATAT >hg38_chr13:51666883-51666893(-) AAACAATAGAC >hg38_chr13:51689718-51689728(+) AAACAATGTCC >hg38_chr13:51689726-51689736(-) AAACAATGGGA >hg38_chr13:51714784-51714794(-) taacaatgcat >hg38_chr13:51730149-51730159(+) aaacaatcgtg >hg38_chr13:51733856-51733866(+) agacaaTAGCA >hg38_chr13:51739233-51739243(+) GAACAAAGGAG >hg38_chr13:51787075-51787085(-) GTACAATACAC >hg38_chr13:51801754-51801764(+) ATACAATAGAG >hg38_chr13:51805902-51805912(+) AAACAaagggc >hg38_chr13:51815283-51815293(-) GGACAAAGGAA >hg38_chr13:51865981-51865991(+) GAACAATGGCC >hg38_chr13:51874893-51874903(+) AAACAATGCTT >hg38_chr13:51906565-51906575(-) TTACAATGAAA >hg38_chr13:52007089-52007099(+) AAACAATGTGG >hg38_chr13:52111577-52111587(+) AAACAATGGCC >hg38_chr13:52112342-52112352(+) TTACAATAAAC >hg38_chr13:52112812-52112822(+) ATAcaatagaa >hg38_chr13:52161258-52161268(+) TCATAATGGCA >hg38_chr13:52172245-52172255(-) taacaatgacc >hg38_chr13:52366663-52366673(+) CGACAAAGGCA >hg38_chr13:52428485-52428495(+) AAACAAAGGGG >hg38_chr13:52428543-52428553(+) AAACACTGGAT >hg38_chr13:52435457-52435467(+) TGACAATGAAA >hg38_chr13:52450507-52450517(-) CTACGATGGCG >hg38_chr13:52453400-52453410(-) acacaatgggg >hg38_chr13:52453411-52453421(-) ggacaatgggg >hg38_chr13:52461101-52461111(+) ATACAGTGGTG >hg38_chr13:52461115-52461125(-) ATACAATGTTT >hg38_chr13:52469292-52469302(-) agacaatgcaa >hg38_chr13:52599311-52599321(+) aaacaaaGGCA >hg38_chr13:52623758-52623768(-) TAACTATGGTA >hg38_chr13:52651304-52651314(-) tgataatggac >hg38_chr13:52666912-52666922(+) CAACAAAGGTA >hg38_chr13:52667224-52667234(+) TGACAATGTCT >hg38_chr13:52723022-52723032(-) CCACAATAGCG >hg38_chr13:52734976-52734986(-) GGACACTGGTA >hg38_chr13:52737633-52737643(-) TAACAATAGCT >hg38_chr13:52751408-52751418(-) GAACAATAGAT >hg38_chr13:52785955-52785965(-) ATACAATGGTT >hg38_chr13:52833735-52833745(-) ATACAAAGGCA >hg38_chr13:52849582-52849592(-) ACATAATGGAT >hg38_chr13:52851733-52851743(+) CCACAATGGCC >hg38_chr13:52852516-52852526(+) AGACAATGAGA >hg38_chr13:52880355-52880365(-) AAACAATGGGG >hg38_chr13:52880414-52880424(-) CAACAATGAGC >hg38_chr13:52906353-52906363(+) AAATAATGGGT >hg38_chr13:52961378-52961388(+) ACACTATGGGT >hg38_chr13:52961388-52961398(+) TAACAAAGGAG >hg38_chr13:52961420-52961430(+) TTACAATAGGG >hg38_chr13:52961434-52961444(-) acacaATGCAG >hg38_chr13:52982559-52982569(-) ATACAATGGGG >hg38_chr13:52982591-52982601(-) CAACAATAGGT >hg38_chr13:52983991-52984001(-) CAACAATGAAA >hg38_chr13:52994742-52994752(+) GAACAAAGGAA >hg38_chr13:52994773-52994783(+) ACACAAAGGTT >hg38_chr13:53014775-53014785(+) atataatggga >hg38_chr13:53014783-53014793(+) ggacaatgcat >hg38_chr13:53061264-53061274(-) GCACAATAGTA >hg38_chr13:53076915-53076925(-) TAACAATACGT >hg38_chr13:53092897-53092907(+) AAACAATGTCA >hg38_chr13:53121868-53121878(-) TTACAATGTAT >hg38_chr13:53121929-53121939(-) ATACAAAGGAT >hg38_chr13:53145057-53145067(-) TGACAATGGTT >hg38_chr13:53165276-53165286(-) GAATAATGGCT >hg38_chr13:53171999-53172009(-) AGACAATGGAA >hg38_chr13:53189574-53189584(+) CTACAATGATT >hg38_chr13:53211248-53211258(-) ACACAATGGAT >hg38_chr13:53233634-53233644(-) taacaatggaa >hg38_chr13:53269881-53269891(-) GAACAATGGTA >hg38_chr13:53295831-53295841(+) AAACAATGACC >hg38_chr13:53327975-53327985(-) TGACAATGGGT >hg38_chr13:53343178-53343188(+) gaacaatggat >hg38_chr13:53524955-53524965(-) TTACACTGGAA >hg38_chr13:53545825-53545835(+) gtacaaagggt >hg38_chr13:53575210-53575220(-) GTacaatgaat >hg38_chr13:53591045-53591055(-) AGACAATAGGA >hg38_chr13:53591813-53591823(+) TTACAATGATC >hg38_chr13:53647160-53647170(-) gaacaatggga >hg38_chr13:53695261-53695271(+) GAACAATATAG >hg38_chr13:53713134-53713144(-) TAACAAAGGtt >hg38_chr13:53713168-53713178(-) GGACAATGCAA >hg38_chr13:53739778-53739788(-) gcacaatggtg >hg38_chr13:53782870-53782880(-) GGACAATGGCA >hg38_chr13:53836013-53836023(-) taacaatatta >hg38_chr13:53939694-53939704(-) gaataatggcc >hg38_chr13:53977986-53977996(+) agacaaaggac >hg38_chr13:54033745-54033755(-) agacaatgggg >hg38_chr13:54078580-54078590(+) AAACAATGTCC >hg38_chr13:54078610-54078620(-) TGACAATGGAT >hg38_chr13:54133794-54133804(-) AAACAAAGGAG >hg38_chr13:54133825-54133835(-) TGACAATAGCT >hg38_chr13:54147199-54147209(+) gaacaataggt >hg38_chr13:54153922-54153932(-) TTACAATGCAA >hg38_chr13:54162284-54162294(-) agacaataaat >hg38_chr13:54194422-54194432(+) AAACAAAGGAG >hg38_chr13:54226958-54226968(-) gaacaaaggag >hg38_chr13:54226983-54226993(+) caacaatggcc >hg38_chr13:54307011-54307021(+) acacaatgaat >hg38_chr13:54323871-54323881(-) ACACAATGAAC >hg38_chr13:54373048-54373058(+) acacaatggac >hg38_chr13:54373433-54373443(-) ACACAAAGGCA >hg38_chr13:54383845-54383855(+) AGACAATAGAG >hg38_chr13:54387881-54387891(+) ttacaatgaca >hg38_chr13:54415218-54415228(-) gaacaatgggg >hg38_chr13:54416281-54416291(-) acacaatggga >hg38_chr13:54423756-54423766(-) atacactggca >hg38_chr13:54550932-54550942(+) CTACAAAGGAT >hg38_chr13:54571996-54572006(+) acacaatggat >hg38_chr13:54843497-54843507(-) agacattggcg >hg38_chr13:54875617-54875627(-) AAACAAAGGGA >hg38_chr13:54902462-54902472(-) caacaatgcat >hg38_chr13:54902509-54902519(-) gaacaatataa >hg38_chr13:54902518-54902528(-) gaacaatggga >hg38_chr13:54902537-54902547(+) aaacaaaggct >hg38_chr13:54934292-54934302(-) acactatggga >hg38_chr13:55230243-55230253(-) aaacaatgaag >hg38_chr13:55419940-55419950(+) GAACAATAGCA >hg38_chr13:55437334-55437344(+) taacaatagac >hg38_chr13:55437629-55437639(+) ccacaatgcaa >hg38_chr13:55517532-55517542(-) caacaatagac >hg38_chr13:55538641-55538651(+) aaacaatggag >hg38_chr13:55553959-55553969(+) ATACAATATAA >hg38_chr13:55564529-55564539(+) agacaatgcgg >hg38_chr13:55705203-55705213(-) AAACAATAGGA >hg38_chr13:55880426-55880436(-) gtacaatgctg >hg38_chr13:55880464-55880474(-) aaacaaaggaa >hg38_chr13:55995111-55995121(-) tcacaatgtac >hg38_chr13:56080393-56080403(-) GTACAAAGGAA >hg38_chr13:56110924-56110934(-) acacaatgaga >hg38_chr13:56134662-56134672(-) tgacaatggag >hg38_chr13:56167125-56167135(-) agacaatagga >hg38_chr13:56237201-56237211(+) CTACAATGAAT >hg38_chr13:56368817-56368827(+) tcaCaatgcct >hg38_chr13:56467790-56467800(-) ACACAATAGGT >hg38_chr13:56478534-56478544(-) AAACAATGATA >hg38_chr13:56514851-56514861(-) TTACAATGCTT >hg38_chr13:56560196-56560206(-) TGACAATAGAG >hg38_chr13:56560223-56560233(+) TGACAATGACA >hg38_chr13:56560229-56560239(+) TGACAATGGCA >hg38_chr13:56571343-56571353(+) ccacaatggtt >hg38_chr13:56571402-56571412(-) taacaatggtt >hg38_chr13:56572584-56572594(-) CCACAATGGTG >hg38_chr13:56670737-56670747(+) taacaatacat >hg38_chr13:56815422-56815432(+) aaacaatggac >hg38_chr13:56815435-56815445(+) caacaatgcac >hg38_chr13:56965772-56965782(-) TTACAATGGAA >hg38_chr13:56975894-56975904(-) agacaatggga >hg38_chr13:57046227-57046237(+) AAACAATGAGA >hg38_chr13:57046305-57046315(+) AGACACTGGCG >hg38_chr13:57298323-57298333(-) AAACAATGGCT >hg38_chr13:57380305-57380315(+) gcacaatgaat >hg38_chr13:57380339-57380349(-) gtacaatacaa >hg38_chr13:57405384-57405394(-) tcacaatggtg >hg38_chr13:57506985-57506995(+) ttacaatggtg >hg38_chr13:57641157-57641167(-) TGACAATAGGT >hg38_chr13:57724429-57724439(-) AGACAATGGTT >hg38_chr13:57739306-57739316(+) TTACAATGGTC >hg38_chr13:57859588-57859598(-) AAACAATGGCT >hg38_chr13:57955310-57955320(-) gtacaaaggag >hg38_chr13:57955342-57955352(+) tgacaatgacc >hg38_chr13:58051959-58051969(+) agacaatggtt >hg38_chr13:58079095-58079105(+) taacaatgctc >hg38_chr13:58079103-58079113(-) acacaatagag >hg38_chr13:58080898-58080908(-) ttacaatagag >hg38_chr13:58083189-58083199(+) AAACAATGAAG >hg38_chr13:58171758-58171768(+) GGACAATGGGG >hg38_chr13:58396321-58396331(+) TAACAATGATT >hg38_chr13:58396907-58396917(+) GCACAATAGAT >hg38_chr13:58501156-58501166(-) AAACAATAGAA >hg38_chr13:58517771-58517781(-) ctacaatgaaa >hg38_chr13:58517842-58517852(+) agacaatgcct >hg38_chr13:58579068-58579078(-) atacaatgagg >hg38_chr13:58579111-58579121(-) TCACAATAggg >hg38_chr13:58706438-58706448(+) CAATAATGGGT >hg38_chr13:58712476-58712486(+) agacaaaggaa >hg38_chr13:58819319-58819329(-) GAACAATGATT >hg38_chr13:58819368-58819378(+) ATACAATAAAT >hg38_chr13:58868175-58868185(+) gaataatggac >hg38_chr13:58919748-58919758(-) GAACAATAAAT >hg38_chr13:58933637-58933647(+) ACACAATGTAT >hg38_chr13:58972178-58972188(-) TGACAATGGAG >hg38_chr13:58972657-58972667(-) CCACAATGAAT >hg38_chr13:58994336-58994346(+) tgacaatataa >hg38_chr13:58994784-58994794(+) CAACAATGGAG >hg38_chr13:59048049-59048059(-) CGACAATagct >hg38_chr13:59058276-59058286(+) AGACAATAATA >hg38_chr13:59086243-59086253(-) ATACAATAGTT >hg38_chr13:59154572-59154582(-) AAACAATGCTG >hg38_chr13:59154615-59154625(-) TAACAGTGGAA >hg38_chr13:59158391-59158401(+) gtacaatgaat >hg38_chr13:59170512-59170522(+) TAACTATGGTA >hg38_chr13:59192938-59192948(+) aaacaatggcc >hg38_chr13:59247439-59247449(-) TCACAATGGCC >hg38_chr13:59251085-59251095(+) CTACAATGGGG >hg38_chr13:59318546-59318556(-) TGACAAAGGAC >hg38_chr13:59338911-59338921(-) atacaatgaac >hg38_chr13:59338942-59338952(-) AAACAAAGGGC >hg38_chr13:59339795-59339805(+) ACACAATAGGT >hg38_chr13:59340175-59340185(-) aaacaatgcac >hg38_chr13:59340618-59340628(+) ATAcagtggaa >hg38_chr13:59355374-59355384(-) agacaatgatt >hg38_chr13:59374586-59374596(+) GAACAAAGGCG >hg38_chr13:59391705-59391715(-) agacaatagtc >hg38_chr13:59391798-59391808(-) tgacaatgcct >hg38_chr13:59416931-59416941(+) CAACAATGTCT >hg38_chr13:59416945-59416955(+) AGACAAAGGCA >hg38_chr13:59441793-59441803(+) TGACAAAGGTA >hg38_chr13:59459011-59459021(+) GCACAAAGGAA >hg38_chr13:59459261-59459271(-) TTACAAAGGCT >hg38_chr13:59463777-59463787(+) AGACAAAGGAG >hg38_chr13:59463802-59463812(-) GTACAATAACC >hg38_chr13:59479909-59479919(+) AGACAATGGGG >hg38_chr13:59492139-59492149(+) AAACAAAGGCT >hg38_chr13:59495447-59495457(-) GTACAATATAA >hg38_chr13:59504537-59504547(-) tcacaatagga >hg38_chr13:59643705-59643715(+) AAACAATGGTT >hg38_chr13:59661909-59661919(+) CAACAATGAGA >hg38_chr13:59684481-59684491(+) gaacaatgctc >hg38_chr13:59686866-59686876(+) CAACAATGAAA >hg38_chr13:59687719-59687729(+) AGACAATGAAC >hg38_chr13:59687729-59687739(+) CCACAATGTAG >hg38_chr13:59688186-59688196(-) CAACAATAGAG >hg38_chr13:59710499-59710509(-) TAACAATAAGA >hg38_chr13:59711224-59711234(+) TAACAATGGAG >hg38_chr13:59711253-59711263(-) GTACAAAGGAG >hg38_chr13:59711451-59711461(+) CCACAATGATG >hg38_chr13:59717985-59717995(+) TAACAATACAA >hg38_chr13:59776767-59776777(-) acacaatagtc >hg38_chr13:59778037-59778047(-) gaacaatgaga >hg38_chr13:59787898-59787908(-) ggacaaaggca >hg38_chr13:59787912-59787922(+) ccacaatgtga >hg38_chr13:59790504-59790514(-) AAACAAAGGTA >hg38_chr13:59790801-59790811(+) TAACAATTGTA >hg38_chr13:59790849-59790859(+) GAACAATGAAA >hg38_chr13:59803146-59803156(+) ATACAATAAAT >hg38_chr13:59803188-59803198(-) TCACAATGTGG >hg38_chr13:59803671-59803681(+) GGACAAAGGAT >hg38_chr13:59815085-59815095(-) caacaatgaat >hg38_chr13:59832507-59832517(+) CAACAATGCCT >hg38_chr13:59832697-59832707(-) GTACAATAAGC >hg38_chr13:59832704-59832714(+) GTACAATGCAT >hg38_chr13:59837981-59837991(+) ATACAATAAAA >hg38_chr13:59838182-59838192(-) CTACAATGCAT >hg38_chr13:59864822-59864832(+) GCATAATGGGA >hg38_chr13:59866943-59866953(-) CTATAATGGTG >hg38_chr13:59872983-59872993(+) TAACAAAGGTG >hg38_chr13:59880181-59880191(+) GAACAAAGGGG >hg38_chr13:59932749-59932759(+) GGACAAAGGAT >hg38_chr13:59932786-59932796(+) GGACAAAGGGA >hg38_chr13:59934237-59934247(+) TGACAATAGGC >hg38_chr13:59942135-59942145(-) GTATAATGGTT >hg38_chr13:59952051-59952061(+) ctacaatggaa >hg38_chr13:59975137-59975147(-) TAATAATGGCA >hg38_chr13:59981282-59981292(+) TAACAATATGA >hg38_chr13:59982608-59982618(-) ccacaatgatt >hg38_chr13:59983572-59983582(-) gaacaatggtg >hg38_chr13:59983912-59983922(+) GTACAATTGAT >hg38_chr13:60012272-60012282(+) GAACAATGGAG >hg38_chr13:60036577-60036587(+) aaacaaaggaa >hg38_chr13:60048038-60048048(+) ggacaatgcag >hg38_chr13:60080752-60080762(+) TAACAAAGGAA >hg38_chr13:60087320-60087330(+) ATACAATGTCT >hg38_chr13:60087344-60087354(+) ACATAATGGCC >hg38_chr13:60098667-60098677(-) ACACAATAGTT >hg38_chr13:60144034-60144044(+) CGATAATGGTT >hg38_chr13:60164732-60164742(+) TAACAAAGGGC >hg38_chr13:60335682-60335692(-) AAACAATGGAA >hg38_chr13:60338864-60338874(-) AAACAATGAAG >hg38_chr13:60338879-60338889(-) GGACAATGGGA >hg38_chr13:60342643-60342653(-) aaacaataaat >hg38_chr13:60344470-60344480(+) ATACAATGGGT >hg38_chr13:60352854-60352864(-) acacaatgtgg >hg38_chr13:60410526-60410536(-) acacaaagggt >hg38_chr13:60439473-60439483(+) ACACAATAGCT >hg38_chr13:60440045-60440055(+) TTACAATAGCT >hg38_chr13:60443386-60443396(-) TAACAATGCTT >hg38_chr13:60449498-60449508(+) ATACACTGGGA >hg38_chr13:60482401-60482411(-) taacaatgtgt >hg38_chr13:60510084-60510094(-) AGACAATGAGA >hg38_chr13:60542771-60542781(-) AAACAATGGAA >hg38_chr13:60554299-60554309(-) ATACAATAGTC >hg38_chr13:60560308-60560318(+) acaCAATGGAA >hg38_chr13:60560531-60560541(-) ATATAATGTAA >hg38_chr13:60560573-60560583(+) GGACAATGATG >hg38_chr13:60567194-60567204(-) ACACAATGTGG >hg38_chr13:60572547-60572557(-) TTACAAAGGCT >hg38_chr13:60585068-60585078(-) GGACAATGAGC >hg38_chr13:60598472-60598482(-) tcacaatgaga >hg38_chr13:60629296-60629306(+) ctacaatgtac >hg38_chr13:60675288-60675298(+) TTACAAAGGGG >hg38_chr13:60675320-60675330(-) GAACAAAGGCA >hg38_chr13:60679330-60679340(+) ggacaaaggga >hg38_chr13:60679380-60679390(+) tcacaatgcta >hg38_chr13:60696633-60696643(+) gcataatggac >hg38_chr13:60708059-60708069(-) AGACAAAGGCA >hg38_chr13:60758021-60758031(-) GAACAAAGGAG >hg38_chr13:60758745-60758755(-) ACACAATAtta >hg38_chr13:61014101-61014111(-) ATACAATATAC >hg38_chr13:61044684-61044694(+) TCACAATAGAA >hg38_chr13:61149578-61149588(+) GAACAATGGCT >hg38_chr13:61151260-61151270(+) taacaatgttg >hg38_chr13:61184648-61184658(+) TCACAATGGAG >hg38_chr13:61202256-61202266(-) GAACAAAGGCG >hg38_chr13:61204438-61204448(-) AAACAATAACC >hg38_chr13:61246669-61246679(-) gaacaatggtt >hg38_chr13:61284928-61284938(+) taacaaTGGAT >hg38_chr13:61286323-61286333(-) CCACAATAGAA >hg38_chr13:61286369-61286379(-) GAACAAAGGCC >hg38_chr13:61316936-61316946(-) tgacaaaggaa >hg38_chr13:61335912-61335922(+) TAACAATGTAT >hg38_chr13:61335940-61335950(+) TAACAATAGTA >hg38_chr13:61381813-61381823(-) caacaaaggac >hg38_chr13:61396557-61396567(-) acacaatgctt >hg38_chr13:61527459-61527469(+) TAACAATGAGA >hg38_chr13:61599856-61599866(-) CAACAATGGCT >hg38_chr13:61602799-61602809(+) caacaatgtat >hg38_chr13:61602842-61602852(-) gaacaatagat >hg38_chr13:61608434-61608444(-) ggacaatggag >hg38_chr13:61700893-61700903(-) TCACAGTGGTA >hg38_chr13:61700961-61700971(+) AGACAATGCTT >hg38_chr13:61778750-61778760(-) ggacaaaggac >hg38_chr13:61802253-61802263(-) gcacaatgttg >hg38_chr13:61914299-61914309(+) CTACAATGCTC >hg38_chr13:61914308-61914318(-) TTACAATGGGA >hg38_chr13:62031570-62031580(+) TCACAATGGAT >hg38_chr13:62086031-62086041(+) AGACAATGGCT >hg38_chr13:62110189-62110199(-) TGACAATGAAC >hg38_chr13:62110214-62110224(+) GGTCAATGGCG >hg38_chr13:62185641-62185651(+) TCACAATGCCT >hg38_chr13:62185981-62185991(-) GAACAATGGAT >hg38_chr13:62186024-62186034(-) TTACAATGAGT >hg38_chr13:62258708-62258718(-) GGACTATGGCA >hg38_chr13:62320826-62320836(+) ACACAAAGGGT >hg38_chr13:62473556-62473566(-) taacaatagaa >hg38_chr13:62484001-62484011(-) agacaatgagg >hg38_chr13:62530535-62530545(-) caacaatgtgc >hg38_chr13:62530580-62530590(-) tcacaatggca >hg38_chr13:62558877-62558887(+) TGACAATGTCA >hg38_chr13:62622624-62622634(-) taacaatggct >hg38_chr13:62622673-62622683(+) gaacaaaggaa >hg38_chr13:62626953-62626963(-) tgataatggca >hg38_chr13:62661739-62661749(+) atacaatgata >hg38_chr13:62704951-62704961(-) GTACAATGGCA >hg38_chr13:62815804-62815814(+) tgacaatgttt >hg38_chr13:62826138-62826148(-) CAACAATGTAA >hg38_chr13:62889030-62889040(-) atacaatagta >hg38_chr13:62889062-62889072(+) atacaaagggt >hg38_chr13:62937256-62937266(+) tgacaatagct >hg38_chr13:63096899-63096909(-) GAACACTGGTA >hg38_chr13:63097366-63097376(+) aaacaatgtca >hg38_chr13:63184800-63184810(-) gcataatggtc >hg38_chr13:63191904-63191914(+) aaacaatgcac >hg38_chr13:63323393-63323403(+) acacaatagtg >hg38_chr13:63323444-63323454(+) taacaatatga >hg38_chr13:63406743-63406753(+) caacaatgtat >hg38_chr13:63419618-63419628(+) ttacaatgtat >hg38_chr13:63448721-63448731(+) GTACAAAGGAC >hg38_chr13:63475071-63475081(-) AAACAATAGCA >hg38_chr13:63476515-63476525(-) gtacaattgaa >hg38_chr13:63542935-63542945(-) CCACAATGGAC >hg38_chr13:63556823-63556833(+) agacaataggg >hg38_chr13:63599006-63599016(+) agacaatggcc >hg38_chr13:63613173-63613183(-) TTACAATAGAA >hg38_chr13:63636250-63636260(-) ATACATTGGTA >hg38_chr13:63666694-63666704(-) AGACAATGGGC >hg38_chr13:63666723-63666733(+) TAACAGTGGCA >hg38_chr13:63685070-63685080(-) AGACAATGCTG >hg38_chr13:63685105-63685115(+) CAATAATGGAT >hg38_chr13:63733163-63733173(-) TTACAATGGTC >hg38_chr13:63733183-63733193(-) TTACAATAGAT >hg38_chr13:63847703-63847713(+) gtacagtgggg >hg38_chr13:63857480-63857490(-) AAACAATAAAA >hg38_chr13:63858327-63858337(-) GTACAAAGGCA >hg38_chr13:63865718-63865728(-) ttacaatatat >hg38_chr13:63890241-63890251(+) taacaatgcaa >hg38_chr13:63895601-63895611(+) ATACAAAGGAT >hg38_chr13:63895667-63895677(+) CTACAATGTAA >hg38_chr13:63911459-63911469(-) ATACAATAGAA >hg38_chr13:63953111-63953121(-) taacaatgaat >hg38_chr13:63965250-63965260(-) TAACAATAATA >hg38_chr13:64051214-64051224(+) atacaatagtt >hg38_chr13:64063927-64063937(+) TAACAATGTCA >hg38_chr13:64095276-64095286(+) agacaatggaa >hg38_chr13:64196200-64196210(-) CAACAATGTTC >hg38_chr13:64225288-64225298(-) agacaatgtct >hg38_chr13:64247861-64247871(+) GGACAAAGGTA >hg38_chr13:64248534-64248544(-) GAACAAAGGAA >hg38_chr13:64266514-64266524(+) taacaatagtg >hg38_chr13:64314515-64314525(+) TTACAATGATA >hg38_chr13:64334409-64334419(+) gcacaatgcag >hg38_chr13:64378711-64378721(-) ATACAATAAAG >hg38_chr13:64430684-64430694(-) CCACAATGAAC >hg38_chr13:64434175-64434185(+) AGATAATGGCA >hg38_chr13:64434191-64434201(+) AGACAATGAGC >hg38_chr13:64441736-64441746(+) GAACAATGCCC >hg38_chr13:64480373-64480383(+) TAATAATGGAA >hg38_chr13:64534519-64534529(-) TAACAATGGAC >hg38_chr13:64568601-64568611(-) aaacaaaggtg >hg38_chr13:64617441-64617451(+) CTACAATGCGA >hg38_chr13:64626713-64626723(-) tgacaatgtcc >hg38_chr13:64628725-64628735(+) atacaatgaaa >hg38_chr13:64628763-64628773(+) agacaatgcct >hg38_chr13:64655350-64655360(-) gaacaatggaa >hg38_chr13:64684814-64684824(-) ccacaatgtag >hg38_chr13:64685203-64685213(+) taacaatggca >hg38_chr13:64694360-64694370(-) ACACAATGATA >hg38_chr13:64694378-64694388(+) GCACAATGCTA >hg38_chr13:64694411-64694421(-) CAACAATGATT >hg38_chr13:64703995-64704005(+) TGACAATGTCT >hg38_chr13:64704080-64704090(-) TAACAATAGAC >hg38_chr13:64716718-64716728(+) AGACAATAGCA >hg38_chr13:64723946-64723956(+) AGACAATGCTT >hg38_chr13:64729490-64729500(-) AAACAATGCAC >hg38_chr13:64729538-64729548(+) TAACAATATGT >hg38_chr13:64747001-64747011(-) agacaatagtg >hg38_chr13:64747025-64747035(-) tgacaatgttc >hg38_chr13:64767239-64767249(-) TGACTATGGAA >hg38_chr13:64845382-64845392(+) acacaataaat >hg38_chr13:64845407-64845417(+) taaCAATAGAA >hg38_chr13:64857945-64857955(+) ATACAATAAGG >hg38_chr13:64858273-64858283(-) gaacaataaga >hg38_chr13:64886194-64886204(-) TTATAATGGGC >hg38_chr13:64895177-64895187(+) atacaatacat >hg38_chr13:64909239-64909249(-) TAACAATGTAT >hg38_chr13:64909245-64909255(-) GAACAATAACA >hg38_chr13:64945815-64945825(+) atacaatgtag >hg38_chr13:64953986-64953996(-) tgacaAtgacc >hg38_chr13:64954000-64954010(+) gtacaatagat >hg38_chr13:64974537-64974547(+) ttacagtggga >hg38_chr13:65009359-65009369(+) TCATAATGGAA >hg38_chr13:65013013-65013023(+) GAACAAAGGGA >hg38_chr13:65021962-65021972(-) atacaatgaat >hg38_chr13:65094357-65094367(-) GTACAATAGAT >hg38_chr13:65094364-65094374(+) GTACAATGCAA >hg38_chr13:65131967-65131977(-) taacaatgacc >hg38_chr13:65132019-65132029(+) ATACACTGGTC >hg38_chr13:65132645-65132655(+) TAACAAAGGAT >hg38_chr13:65178675-65178685(-) CTACAATGAAG >hg38_chr13:65178689-65178699(-) AAATAATGGAT >hg38_chr13:65188876-65188886(-) ATACAATGACA >hg38_chr13:65261777-65261787(-) GTATAATGAAT >hg38_chr13:65268296-65268306(-) ccacaatgaaa >hg38_chr13:65268663-65268673(+) GCACAATGAAA >hg38_chr13:65292559-65292569(-) TTACAATGAAA >hg38_chr13:65447427-65447437(+) ccacaatgaaa >hg38_chr13:65492693-65492703(+) aaataatggga >hg38_chr13:65532077-65532087(-) GAACAATGACA >hg38_chr13:65548496-65548506(-) tgacaaaggtc >hg38_chr13:65548565-65548575(-) taacaaaggaa >hg38_chr13:65617802-65617812(+) agacaatgaca >hg38_chr13:65680775-65680785(-) TAACAATGTAA >hg38_chr13:65681194-65681204(-) AAACAATAACA >hg38_chr13:65704618-65704628(+) AAACAATACTA >hg38_chr13:65704628-65704638(+) AGACAATGCAA >hg38_chr13:65737648-65737658(-) ttacaatagtt >hg38_chr13:65750153-65750163(-) tcacaatagca >hg38_chr13:65751650-65751660(-) acacaaaggaa >hg38_chr13:65751660-65751670(-) aaacaatagaa >hg38_chr13:65762801-65762811(+) aaacaaaggtt >hg38_chr13:65789681-65789691(-) agacaataaaa >hg38_chr13:65808310-65808320(+) GAACAATGACC >hg38_chr13:65826919-65826929(+) GGACAATGTGA >hg38_chr13:65826949-65826959(-) GAACAATGCAG >hg38_chr13:65841157-65841167(-) caacaatgaca >hg38_chr13:65855327-65855337(+) GAACAATAGAG >hg38_chr13:65917736-65917746(-) TAACAATGTCA >hg38_chr13:65940785-65940795(-) AAACAATGCTA >hg38_chr13:65973150-65973160(+) agacaatatac >hg38_chr13:65985715-65985725(-) tcacaatgttg >hg38_chr13:66017825-66017835(+) AGACAGTGGAC >hg38_chr13:66034080-66034090(+) AAACTATGGCT >hg38_chr13:66034118-66034128(-) ACACAATGAGA >hg38_chr13:66036084-66036094(+) GGACAATATAC >hg38_chr13:66036906-66036916(-) TTACAATGAAT >hg38_chr13:66061525-66061535(+) taacaatacta >hg38_chr13:66125114-66125124(-) GTACAATGCAC >hg38_chr13:66125753-66125763(+) TGACAATGTGC >hg38_chr13:66197071-66197081(+) aaacaataaaa >hg38_chr13:66214226-66214236(+) ttacaatagca >hg38_chr13:66252157-66252167(+) GGACAATCGCA >hg38_chr13:66265121-66265131(-) ACACAAAGGTA >hg38_chr13:66273588-66273598(-) TTACAATGCCT >hg38_chr13:66301110-66301120(+) GAACAATGAAG >hg38_chr13:66315068-66315078(+) agacaaaggga >hg38_chr13:66339996-66340006(-) AGACAAAGGAG >hg38_chr13:66379566-66379576(+) AAACAAAGGCT >hg38_chr13:66386311-66386321(-) AAACAATAAAA >hg38_chr13:66403931-66403941(-) AAACAATGTAC >hg38_chr13:66406230-66406240(-) gaacaaaggtg >hg38_chr13:66453534-66453544(-) TTACAATAGGC >hg38_chr13:66468569-66468579(+) GTACAATGATG >hg38_chr13:66493813-66493823(+) ACACTATGGGT >hg38_chr13:66504105-66504115(-) GTACAATGACT >hg38_chr13:66514738-66514748(-) TCACAATGCCT >hg38_chr13:66523173-66523183(-) ACACAAAGGTA >hg38_chr13:66530994-66531004(-) ACACAATGGAT >hg38_chr13:66531025-66531035(+) TGACAATGCTG >hg38_chr13:66555677-66555687(+) agacaatatat >hg38_chr13:66567357-66567367(-) AAACAATGGAC >hg38_chr13:66687369-66687379(-) AAACAAAGGAG >hg38_chr13:66745569-66745579(+) GAACAGTGGGA >hg38_chr13:66783242-66783252(-) gaacactggga >hg38_chr13:66801488-66801498(-) GCACAATGCCT >hg38_chr13:66854113-66854123(-) GTACAATACTC >hg38_chr13:66855344-66855354(+) GAATAATGGAT >hg38_chr13:66880134-66880144(-) GCACaatgcag >hg38_chr13:66907514-66907524(-) CTACAATGGAT >hg38_chr13:66930576-66930586(-) CTACAATATAT >hg38_chr13:66950382-66950392(-) taacaatagtg >hg38_chr13:66951648-66951658(-) TCACAATGGAT >hg38_chr13:66985963-66985973(-) AGACAATGTAT >hg38_chr13:66996938-66996948(-) GCACAATGCTA >hg38_chr13:67012553-67012563(-) GAACAATGATT >hg38_chr13:67036778-67036788(+) TAACAATGCGA >hg38_chr13:67045340-67045350(-) GTACAATACGC >hg38_chr13:67073189-67073199(-) tgacaatggac >hg38_chr13:67082446-67082456(-) atacaatggca >hg38_chr13:67099901-67099911(+) AGACAATGAAA >hg38_chr13:67099914-67099924(+) TAATAATGGGT >hg38_chr13:67117328-67117338(-) GGACAATAGTG >hg38_chr13:67119885-67119895(+) TAACACTGGGT >hg38_chr13:67122238-67122248(-) TTACAATGAAC >hg38_chr13:67122258-67122268(+) TTACAATGTGT >hg38_chr13:67127562-67127572(+) GAACAAAGGCT >hg38_chr13:67137665-67137675(-) TAACAATAGCA >hg38_chr13:67143722-67143732(+) AAACAATAGAC >hg38_chr13:67147738-67147748(+) TTACAATGTCC >hg38_chr13:67147745-67147755(-) AAACAGTGGAC >hg38_chr13:67176042-67176052(+) AGACAATGAAA >hg38_chr13:67176999-67177009(+) aaacaatggaa >hg38_chr13:67231824-67231834(+) CTATAATGGGC >hg38_chr13:67232431-67232441(-) GAACAATGTAC >hg38_chr13:67235443-67235453(+) AGACAATGTAC >hg38_chr13:67235450-67235460(-) CAACAATGTAC >hg38_chr13:67238491-67238501(-) TTACAATAAAA >hg38_chr13:67261714-67261724(+) TAACAATGAAG >hg38_chr13:67294539-67294549(+) ccacaatgaga >hg38_chr13:67298183-67298193(+) gcacaatggca >hg38_chr13:67298191-67298201(+) gcacaatagaa >hg38_chr13:67299504-67299514(+) atacaattgaa >hg38_chr13:67373014-67373024(-) ACATAATGGCT >hg38_chr13:67373210-67373220(+) ATACACTGGAG >hg38_chr13:67373242-67373252(-) TAACAAAGGCT >hg38_chr13:67552708-67552718(+) TTACAATGTTT >hg38_chr13:67611568-67611578(-) AAACAATGTAC >hg38_chr13:67754194-67754204(+) gaacaaaggtc >hg38_chr13:67754210-67754220(-) gtacactggaa >hg38_chr13:67757037-67757047(-) gaacaatagat >hg38_chr13:67764172-67764182(-) TAACAATGTAA >hg38_chr13:67790405-67790415(+) AGACAATGGCA >hg38_chr13:67792413-67792423(-) TCACAATGCAA >hg38_chr13:67792450-67792460(+) ATACAATGTAA >hg38_chr13:67828167-67828177(+) AGATAATGGAC >hg38_chr13:68083315-68083325(-) ttacaataatc >hg38_chr13:68234457-68234467(-) gtacaataggc >hg38_chr13:68332297-68332307(+) taaTAATAGTA >hg38_chr13:68380102-68380112(-) TAACAATGGCA >hg38_chr13:68380484-68380494(+) AAACAATACGA >hg38_chr13:68380513-68380523(-) ATACAATGAAG >hg38_chr13:68715401-68715411(-) AAACAATGAGT >hg38_chr13:68798883-68798893(+) atacagtgggt >hg38_chr13:68885389-68885399(-) GGACAATAGGC >hg38_chr13:68885434-68885444(+) GAACAATGGTC >hg38_chr13:68895482-68895492(-) taacaaagggg >hg38_chr13:68968136-68968146(-) AAACAATGCTT >hg38_chr13:68968184-68968194(-) AGACAAAGGGA >hg38_chr13:68970734-68970744(+) AAACAATGCAC >hg38_chr13:69013995-69014005(-) AGACAATGGCT >hg38_chr13:69050956-69050966(+) taacaatgagt >hg38_chr13:69064479-69064489(+) GGACAATGAAT >hg38_chr13:69093115-69093125(-) aaacaatgacc >hg38_chr13:69093134-69093144(-) aaacaatgagg >hg38_chr13:69169808-69169818(-) GGACAATGCTG >hg38_chr13:69173732-69173742(+) GTACAATGTAA >hg38_chr13:69227722-69227732(+) cgacaatggaa >hg38_chr13:69234787-69234797(-) atacaatgaaa >hg38_chr13:69324294-69324304(+) ATACAATGAAT >hg38_chr13:69363494-69363504(+) ATACAATATAA >hg38_chr13:69380185-69380195(-) aaacaataggc >hg38_chr13:69508022-69508032(-) CGATAATGAAA >hg38_chr13:69556234-69556244(-) TAACAATACAC >hg38_chr13:69579835-69579845(+) ATACAATAGGG >hg38_chr13:69579844-69579854(+) GGATAATGGGT >hg38_chr13:69688105-69688115(-) AAACAATGCCA >hg38_chr13:69794012-69794022(+) TCACAATGCCA >hg38_chr13:69819988-69819998(-) AAACAATGCCA >hg38_chr13:69856343-69856353(+) tcacaatgtgg >hg38_chr13:69921318-69921328(-) TCACAATGGAG >hg38_chr13:70243401-70243411(-) AGACAATAACA >hg38_chr13:70249535-70249545(-) tcacaatggta >hg38_chr13:70444882-70444892(-) GCACAATGTAG >hg38_chr13:70503254-70503264(-) tcacaatgaga >hg38_chr13:70535445-70535455(-) ttacaatgaaa >hg38_chr13:70593184-70593194(+) tgacaatagtt >hg38_chr13:70630411-70630421(-) caacaatgata >hg38_chr13:70646970-70646980(+) TTACAATATAC >hg38_chr13:70667354-70667364(-) GAATAATGGAA >hg38_chr13:70667382-70667392(+) TAACAATACAT >hg38_chr13:70732841-70732851(-) AAACAATAACG >hg38_chr13:70744816-70744826(+) tcacaatgctc >hg38_chr13:70792544-70792554(-) agactatggaa >hg38_chr13:70841650-70841660(-) aaacaatggct >hg38_chr13:70989709-70989719(+) taacaatgaat >hg38_chr13:71029879-71029889(+) gaacaataggg >hg38_chr13:71029889-71029899(-) aaataatggcc >hg38_chr13:71056747-71056757(+) tcacaatggca >hg38_chr13:71148560-71148570(-) AAACAAAGGGA >hg38_chr13:71148621-71148631(+) AAACAATGAGG >hg38_chr13:71160302-71160312(+) GAACAATGTTG >hg38_chr13:71160364-71160374(+) TCACAATGAGA >hg38_chr13:71169194-71169204(-) AAACAATGGCT >hg38_chr13:71219161-71219171(+) AGACAAAGGAA >hg38_chr13:71240584-71240594(-) GCACAATGATG >hg38_chr13:71242776-71242786(+) AGACAATAACA >hg38_chr13:71255121-71255131(-) ccacaatgagc >hg38_chr13:71306981-71306991(-) GAACAATGACA >hg38_chr13:71307317-71307327(-) AAACAATGCAA >hg38_chr13:71317258-71317268(-) ACACAATGCTA >hg38_chr13:71401055-71401065(-) TAACAAAGGCA >hg38_chr13:71401071-71401081(-) TGACAATGTCT >hg38_chr13:71437831-71437841(-) GAACAATGCTC >hg38_chr13:71473861-71473871(-) GGACAATAGCA >hg38_chr13:71474633-71474643(+) ATATAATGGCA >hg38_chr13:71515888-71515898(+) GCACAATGACA >hg38_chr13:71564125-71564135(+) GAATAATGGAG >hg38_chr13:71570497-71570507(-) GAACAATGGCT >hg38_chr13:71574027-71574037(-) GTACAATGTCT >hg38_chr13:71639015-71639025(-) TTACAATGCAC >hg38_chr13:71641340-71641350(+) GAACAATATAC >hg38_chr13:71641367-71641377(+) TAACAATAGGA >hg38_chr13:71644645-71644655(+) TCACAATGCTC >hg38_chr13:71649557-71649567(-) Taacaatagcc >hg38_chr13:71672780-71672790(+) CCACAATGTAA >hg38_chr13:71686958-71686968(+) GGACAATAAAA >hg38_chr13:71713116-71713126(+) TAACCATGGTA >hg38_chr13:71713127-71713137(+) AAACAATAGAA >hg38_chr13:71715172-71715182(-) gtataatggaa >hg38_chr13:71715502-71715512(-) AGATAATGGCA >hg38_chr13:71729620-71729630(+) TAACAATGACA >hg38_chr13:71729632-71729642(+) ACACAATGACA >hg38_chr13:71751145-71751155(+) AAATAATGGTG >hg38_chr13:71751199-71751209(-) AAACAATAACT >hg38_chr13:71752240-71752250(+) TAACAATAGTG >hg38_chr13:71760035-71760045(-) ATATAATGGGA >hg38_chr13:71767574-71767584(+) AAACAATGCCC >hg38_chr13:71774874-71774884(-) TAACAATGGCC >hg38_chr13:71801931-71801941(+) GCACAATGCAA >hg38_chr13:71852221-71852231(+) TAACAAAGGCT >hg38_chr13:71860680-71860690(-) ATATAATGGGA >hg38_chr13:71860719-71860729(+) ATACAATGCAA >hg38_chr13:71867603-71867613(+) AAACAATCGCC >hg38_chr13:71872346-71872356(-) TTACAATGCAT >hg38_chr13:71879115-71879125(-) CAACAATGTCC >hg38_chr13:71901164-71901174(-) atacaaaggga >hg38_chr13:71925666-71925676(-) CCACAATGAAA >hg38_chr13:71925734-71925744(+) TCATAATGGAA >hg38_chr13:71948630-71948640(-) TCACAATAGCA >hg38_chr13:71951072-71951082(+) ctacagtggta >hg38_chr13:71968252-71968262(+) ACACAATGgcc >hg38_chr13:71976290-71976300(-) GGACAATGTCT >hg38_chr13:72029995-72030005(+) ggacaaagggt >hg38_chr13:72030623-72030633(+) agataatggga >hg38_chr13:72062746-72062756(-) caacaatagga >hg38_chr13:72062781-72062791(+) tcacaatgtaa >hg38_chr13:72083150-72083160(-) tgacaatgtat >hg38_chr13:72157095-72157105(-) GAACAATGTAG >hg38_chr13:72199852-72199862(-) agacaatgcaa >hg38_chr13:72217861-72217871(+) ccacaatgacg >hg38_chr13:72240676-72240686(-) taaccatggat >hg38_chr13:72257909-72257919(-) GTACAATAACT >hg38_chr13:72280339-72280349(-) TAACAATGTTA >hg38_chr13:72298503-72298513(+) AAACAATGAAA >hg38_chr13:72305136-72305146(-) gaacaatggag >hg38_chr13:72305672-72305682(+) AAACAATAGAG >hg38_chr13:72316757-72316767(+) TGACAAAGGAA >hg38_chr13:72382168-72382178(-) ACACAATGTAA >hg38_chr13:72385087-72385097(-) AGACAAAGGCA >hg38_chr13:72391217-72391227(-) GAACAATGGTG >hg38_chr13:72397573-72397583(+) GGACAATGACT >hg38_chr13:72403651-72403661(-) GAACAATGCAT >hg38_chr13:72431958-72431968(-) TTACAATAAAC >hg38_chr13:72456133-72456143(+) GCACAATGTCT >hg38_chr13:72463003-72463013(+) TTACAAAGGTT >hg38_chr13:72464807-72464817(-) TTACAATGCTT >hg38_chr13:72473113-72473123(-) gcacaatggtg >hg38_chr13:72473181-72473191(-) atacaatgaag >hg38_chr13:72474718-72474728(-) TAACAATGTGT >hg38_chr13:72474729-72474739(-) AGACAATAGCT >hg38_chr13:72494508-72494518(+) AAACAATGGTT >hg38_chr13:72514306-72514316(-) GGACAAAGGAA >hg38_chr13:72518729-72518739(+) ttacaatggcc >hg38_chr13:72527433-72527443(+) GAACAATGAAC >hg38_chr13:72545052-72545062(-) acacaatggag >hg38_chr13:72545071-72545081(+) atacaatatct >hg38_chr13:72586416-72586426(-) aaacaatgtga >hg38_chr13:72587900-72587910(+) TTACAATGTTG >hg38_chr13:72604219-72604229(+) tgataatggtg >hg38_chr13:72621864-72621874(-) TTACTATGGTG >hg38_chr13:72628589-72628599(+) aaacaatggac >hg38_chr13:72638470-72638480(+) aaacaatgtat >hg38_chr13:72659166-72659176(+) gaacaatagaa >hg38_chr13:72659966-72659976(-) TCACAATGACT >hg38_chr13:72685497-72685507(+) ATACAATGCAG >hg38_chr13:72688039-72688049(-) GAACAATAATC >hg38_chr13:72696196-72696206(+) TGACAATGGCA >hg38_chr13:72696212-72696222(+) ATACAATGGAA >hg38_chr13:72696762-72696772(+) TGACAATGTAA >hg38_chr13:72707532-72707542(-) ccacaatgtta >hg38_chr13:72707541-72707551(-) tcacaatagcc >hg38_chr13:72723167-72723177(-) GAACAAAGGCA >hg38_chr13:72738663-72738673(-) CTACAATAGAC >hg38_chr13:72761449-72761459(+) CCACAATGGCA >hg38_chr13:72785862-72785872(-) GGACAATGCAA >hg38_chr13:72816915-72816925(-) CCACAATGAAG >hg38_chr13:72817786-72817796(+) TAACAATGGTT >hg38_chr13:72839016-72839026(-) acataatggtc >hg38_chr13:72888146-72888156(+) gaacaatgggt >hg38_chr13:72959785-72959795(+) AAACAAAGGAA >hg38_chr13:72960860-72960870(-) TTACAATGTCA >hg38_chr13:72961241-72961251(+) taacaatgttc >hg38_chr13:72961855-72961865(+) AAACTATGGTT >hg38_chr13:72970918-72970928(-) AAACAATGTGG >hg38_chr13:73000267-73000277(-) AGACAAAGGGC >hg38_chr13:73002055-73002065(-) GAACAATGACT >hg38_chr13:73039820-73039830(+) AAACAATAATC >hg38_chr13:73054915-73054925(-) TCACAATGGGC >hg38_chr13:73055639-73055649(+) TTACAATAGTT >hg38_chr13:73057805-73057815(-) GAACAATAAAC >hg38_chr13:73066045-73066055(-) CCACAATGTTT >hg38_chr13:73066224-73066234(+) AGACAATGCCT >hg38_chr13:73075687-73075697(-) GAACAAAGGAG >hg38_chr13:73090557-73090567(+) GAACAATGCTT >hg38_chr13:73097225-73097235(+) tgacaatagag >hg38_chr13:73097247-73097257(+) taacaatagag >hg38_chr13:73141712-73141722(-) ATACAATGGAG >hg38_chr13:73168378-73168388(-) agacaatggga >hg38_chr13:73168413-73168423(+) taacaatgatg >hg38_chr13:73168437-73168447(+) TGATAATGGCC >hg38_chr13:73168799-73168809(+) GGACAATGGTG >hg38_chr13:73182192-73182202(+) taacaatgaaa >hg38_chr13:73189924-73189934(-) aaacaatggag >hg38_chr13:73235583-73235593(+) GAACAATGGGA >hg38_chr13:73248896-73248906(+) AGACAATGTCC >hg38_chr13:73262040-73262050(+) gaacaatgggg >hg38_chr13:73262065-73262075(-) tgacaatgcat >hg38_chr13:73282816-73282826(-) ACACAATGAGA >hg38_chr13:73300467-73300477(-) agacaaaggga >hg38_chr13:73341935-73341945(-) TTATAATGGCT >hg38_chr13:73350813-73350823(-) tcacaatagcc >hg38_chr13:73350830-73350840(+) ctacaatgaac >hg38_chr13:73350875-73350885(-) aaataatggtt >hg38_chr13:73351293-73351303(+) TTACAATGTTT >hg38_chr13:73351327-73351337(-) acacaatgtac >hg38_chr13:73352421-73352431(-) gcacaatggaa >hg38_chr13:73365747-73365757(+) GGAGAATGGCG >hg38_chr13:73366569-73366579(-) GAACAATAGGT >hg38_chr13:73421837-73421847(-) tcacaatgatt >hg38_chr13:73479194-73479204(-) AAACAATAACA >hg38_chr13:73519967-73519977(+) GTACAATGAGT >hg38_chr13:73533475-73533485(+) GAACAATGCAT >hg38_chr13:73592324-73592334(+) GTACAATGCCC >hg38_chr13:73602448-73602458(-) gaacactggga >hg38_chr13:73634169-73634179(+) TAACAATAAGA >hg38_chr13:73665637-73665647(-) ATACAATGACT >hg38_chr13:73677249-73677259(-) AAACAATAGTC >hg38_chr13:73683968-73683978(-) ACACAATGAGC >hg38_chr13:73684006-73684016(+) AGACAATGCAC >hg38_chr13:73696459-73696469(-) GGACAATGGCT >hg38_chr13:73696492-73696502(+) GGACAATAGTT >hg38_chr13:73701471-73701481(+) TGACAATAGTC >hg38_chr13:73718350-73718360(+) AAACAAAGGCT >hg38_chr13:73758392-73758402(+) CAACAATAGAc >hg38_chr13:73765751-73765761(+) AAACAATACGC >hg38_chr13:73793517-73793527(-) TAACAAAGGAC >hg38_chr13:73793597-73793607(-) AGACAATAGTT >hg38_chr13:73833431-73833441(+) AAACAATGTAT >hg38_chr13:73836268-73836278(+) TAACAAAGGAA >hg38_chr13:73847532-73847542(+) ATACAATAGGC >hg38_chr13:73849496-73849506(+) ATACAAAGGAA >hg38_chr13:73850111-73850121(+) GAACAATATAC >hg38_chr13:73850130-73850140(+) AAACAATGTGT >hg38_chr13:73850176-73850186(-) AGACAATGTGA >hg38_chr13:73865215-73865225(-) AAACAATGGTA >hg38_chr13:73923269-73923279(+) ATACAATGCTG >hg38_chr13:73923284-73923294(+) TGACAATGGAA >hg38_chr13:73946138-73946148(+) AGACAATGAAG >hg38_chr13:74015868-74015878(-) atacaatgata >hg38_chr13:74028032-74028042(-) atataatggct >hg38_chr13:74040480-74040490(-) ATACAATGGAG >hg38_chr13:74040629-74040639(+) ACACAATGAAT >hg38_chr13:74048121-74048131(+) ggacaatgtgg >hg38_chr13:74048276-74048286(+) acacaatgaaa >hg38_chr13:74048288-74048298(-) tgacaatagaa >hg38_chr13:74057466-74057476(+) AAACGATGGCT >hg38_chr13:74057475-74057485(+) CTACAATGGTA >hg38_chr13:74074906-74074916(+) CTACAAAGGAA >hg38_chr13:74112086-74112096(+) ggacaatgacc >hg38_chr13:74121444-74121454(-) ggataatggtc >hg38_chr13:74135006-74135016(+) CAACAATGGGG >hg38_chr13:74144601-74144611(-) ATACAATGTTC >hg38_chr13:74162815-74162825(+) AAACAATAAAT >hg38_chr13:74179376-74179386(+) GGACAATAGCA >hg38_chr13:74197702-74197712(+) ATATAATGTAA >hg38_chr13:74207259-74207269(+) ttacagtggta >hg38_chr13:74222618-74222628(+) ggacaatgctg >hg38_chr13:74288472-74288482(+) GAACAATGCGG >hg38_chr13:74307917-74307927(-) tcacaatggcc >hg38_chr13:74355850-74355860(+) gcacaatatat >hg38_chr13:74395528-74395538(-) AAACAAAGGGT >hg38_chr13:74420612-74420622(+) TAACAAAGGGG >hg38_chr13:74423723-74423733(-) AGACAATGTAA >hg38_chr13:74435593-74435603(+) caacaatgaat >hg38_chr13:74436629-74436639(-) ACACAATAGGA >hg38_chr13:74437315-74437325(+) TGACAAtatat >hg38_chr13:74444636-74444646(+) AAACAATGAAT >hg38_chr13:74444667-74444677(-) AAACAATAGAG >hg38_chr13:74466224-74466234(+) ATACAATGGAA >hg38_chr13:74525077-74525087(-) agacaaaggat >hg38_chr13:74530112-74530122(-) AAACAATGGCT >hg38_chr13:74530167-74530177(+) Caacaatgtgt >hg38_chr13:74555352-74555362(-) AGACAATGAAA >hg38_chr13:74561379-74561389(+) AAACAATGAAG >hg38_chr13:74596816-74596826(+) AAACAATAGCA >hg38_chr13:74621002-74621012(+) ATACAATGCTC >hg38_chr13:74654973-74654983(+) GGACAATGAGA >hg38_chr13:74674808-74674818(+) atacaataaaa >hg38_chr13:74674843-74674853(+) gaacaatggaa >hg38_chr13:74676115-74676125(+) AAACAATGTTC >hg38_chr13:74676310-74676320(-) aaacaatgtga >hg38_chr13:74678745-74678755(+) CTACAATGCTA >hg38_chr13:74687898-74687908(-) GGACAATGCCC >hg38_chr13:74707201-74707211(+) TAACAATGTGA >hg38_chr13:74707249-74707259(+) GGACAATGATT >hg38_chr13:74731153-74731163(-) ATACAGTGGTG >hg38_chr13:74731180-74731190(-) ACACAATGAAG >hg38_chr13:74736714-74736724(-) GAACAAtaacc >hg38_chr13:74780447-74780457(+) gtacaatacac >hg38_chr13:74780716-74780726(+) GCACAATAGCT >hg38_chr13:74784406-74784416(+) TCACAATGGAT >hg38_chr13:74795701-74795711(-) AGACAAAGGGA >hg38_chr13:74795745-74795755(+) AAACAATGGTG >hg38_chr13:74870138-74870148(+) acacaatagca >hg38_chr13:74919959-74919969(+) ttacaatgatg >hg38_chr13:74966921-74966931(-) AAACAATAGAC >hg38_chr13:74967088-74967098(+) TGATAATGGCA >hg38_chr13:75004859-75004869(-) atataatggaa >hg38_chr13:75055432-75055442(+) ttacaatagag >hg38_chr13:75074414-75074424(+) gtacaatgcct >hg38_chr13:75126157-75126167(+) ttacaatggat >hg38_chr13:75129002-75129012(+) GTACAATGACC >hg38_chr13:75161030-75161040(+) AAACAAAGGGC >hg38_chr13:75170671-75170681(+) GAACAATAGAA >hg38_chr13:75253954-75253964(+) gcacaatgggt >hg38_chr13:75293341-75293351(-) AAACAATGGAG >hg38_chr13:75293378-75293388(-) ATACAATAGCT >hg38_chr13:75293911-75293921(+) ctacAATGGCG >hg38_chr13:75294766-75294776(+) GAACAATAGGG >hg38_chr13:75338295-75338305(+) AAACAATGGGT >hg38_chr13:75378501-75378511(+) TGACAATAGAA >hg38_chr13:75394714-75394724(-) TAACAATGAAC >hg38_chr13:75416538-75416548(+) AGACAAAGACG >hg38_chr13:75423672-75423682(-) CTACAATGGAA >hg38_chr13:75444622-75444632(+) CAACAATGGTA >hg38_chr13:75467221-75467231(-) TTACAAAGGCT >hg38_chr13:75469122-75469132(+) gtacaaaggct >hg38_chr13:75494491-75494501(+) gaacaatggga >hg38_chr13:75538289-75538299(-) GGATAATGGAA >hg38_chr13:75560112-75560122(+) ATACAATGCAT >hg38_chr13:75594621-75594631(-) gcacaatgcct >hg38_chr13:75615360-75615370(-) acacaataata >hg38_chr13:75643769-75643779(+) GGACAATAGCA >hg38_chr13:75670398-75670408(-) acacaatgaca >hg38_chr13:75674166-75674176(+) GAACAATAGAA >hg38_chr13:75674218-75674228(+) AAACAATGCTT >hg38_chr13:75723425-75723435(-) TCACAATGAAC >hg38_chr13:75724969-75724979(-) ACACAATGGAT >hg38_chr13:75741334-75741344(-) TGATAATGGTA >hg38_chr13:75752545-75752555(-) atacaatgtgc >hg38_chr13:75752611-75752621(-) ggacaatgggg >hg38_chr13:75769305-75769315(-) AAACAAAGGAT >hg38_chr13:75771319-75771329(+) AAATAATGGAG >hg38_chr13:75877261-75877271(-) GAACAAAGGAG >hg38_chr13:75888238-75888248(+) TAACAATGCCA >hg38_chr13:75899101-75899111(-) AGACAATGACC >hg38_chr13:75899138-75899148(-) TCACAATGGCA >hg38_chr13:75908101-75908111(+) AAACAAAGGGA >hg38_chr13:75914473-75914483(-) taacaatatac >hg38_chr13:75935930-75935940(-) agacaatgaca >hg38_chr13:75936227-75936237(-) TAACAATAGTG >hg38_chr13:75936273-75936283(+) Agacaatgtga >hg38_chr13:75958665-75958675(-) tgacaatagga >hg38_chr13:75958692-75958702(-) aaacaatgctt >hg38_chr13:75963531-75963541(-) AGACAATGCTG >hg38_chr13:75980118-75980128(+) AAACAATGCTT >hg38_chr13:75980637-75980647(-) acataatggtt >hg38_chr13:75980686-75980696(-) ttacaatggat >hg38_chr13:75986273-75986283(+) ttacaataaat >hg38_chr13:76003796-76003806(-) atacaaaggct >hg38_chr13:76004742-76004752(-) aaacaatatac >hg38_chr13:76008207-76008217(+) TAACAAAGGAC >hg38_chr13:76008233-76008243(-) TCACAATAGAG >hg38_chr13:76023319-76023329(+) GAACAATGTGT >hg38_chr13:76030528-76030538(-) ATACAAAGGAA >hg38_chr13:76083025-76083035(+) ACACAATGACT >hg38_chr13:76116555-76116565(-) taacaatatta >hg38_chr13:76126318-76126328(-) AAACAATGGAG >hg38_chr13:76158097-76158107(-) TGACAAAGGGA >hg38_chr13:76223075-76223085(+) CAACAATGCAT >hg38_chr13:76223750-76223760(+) GAACTATGGGG >hg38_chr13:76233235-76233245(+) caacaatgaat >hg38_chr13:76294081-76294091(-) TAACAATAGTG >hg38_chr13:76377549-76377559(-) gaacaatggaa >hg38_chr13:76377643-76377653(+) gaacaatggcc >hg38_chr13:76494395-76494405(+) TCACAATGCCA >hg38_chr13:76502400-76502410(-) GAACAATAGGC >hg38_chr13:76619915-76619925(+) GGACAATAACA >hg38_chr13:76621998-76622008(+) aaacaatagca >hg38_chr13:76631054-76631064(-) GAACAATGCTA >hg38_chr13:76713158-76713168(+) aaacaatgccc >hg38_chr13:76716099-76716109(-) TCACAATAGTC >hg38_chr13:76723849-76723859(-) caacaatgctt >hg38_chr13:76773660-76773670(-) TAACAATGCTA >hg38_chr13:76773693-76773703(-) ACACAAAGGAA >hg38_chr13:76796808-76796818(+) ACACAATAATA >hg38_chr13:76811050-76811060(-) gcacaatgctt >hg38_chr13:76819993-76820003(-) gcacaatgctg >hg38_chr13:76824119-76824129(+) taacaatgcct >hg38_chr13:76826573-76826583(-) TAACaatagca >hg38_chr13:76826591-76826601(-) CAACAATAGCA >hg38_chr13:76853562-76853572(-) caacaatgtga >hg38_chr13:76870074-76870084(+) ACACAATGCCT >hg38_chr13:76944369-76944379(+) GAACAATGGCA >hg38_chr13:76944383-76944393(+) AGATAATGGCC >hg38_chr13:77031756-77031766(-) atacaatggtg >hg38_chr13:77036696-77036706(-) TTACAATGGCA >hg38_chr13:77045001-77045011(+) ATACAATAAAC >hg38_chr13:77045008-77045018(+) AAACAATGATT >hg38_chr13:77048783-77048793(-) ACACAAAGGAA >hg38_chr13:77090273-77090283(+) GAACAATGCAA >hg38_chr13:77096443-77096453(-) TTACAATAGCA >hg38_chr13:77101433-77101443(+) TTACAATGGTA >hg38_chr13:77115084-77115094(+) AAACAATGGAA >hg38_chr13:77118120-77118130(-) TGACTATGGTA >hg38_chr13:77236321-77236331(+) AGACAATGGGT >hg38_chr13:77256066-77256076(-) GAACAAAGGGC >hg38_chr13:77295624-77295634(-) AAACAATAGAT >hg38_chr13:77296799-77296809(+) AAACAATATAC >hg38_chr13:77298872-77298882(-) tcacaatgcta >hg38_chr13:77304560-77304570(+) caacaatgtat >hg38_chr13:77311456-77311466(+) caacaatgact >hg38_chr13:77311835-77311845(+) acacaatggta >hg38_chr13:77330360-77330370(-) GTACAATATTA >hg38_chr13:77374532-77374542(+) TAACAATAACT >hg38_chr13:77375819-77375829(-) taacaatgatc >hg38_chr13:77429132-77429142(+) CAACAATGTGT >hg38_chr13:77429172-77429182(-) TGACAATGTCT >hg38_chr13:77459847-77459857(+) TTACAATAAGA >hg38_chr13:77478279-77478289(-) CTACAATAGAT >hg38_chr13:77493132-77493142(-) AAACAATATAA >hg38_chr13:77507925-77507935(+) GAACAATGATC >hg38_chr13:77509496-77509506(-) CAACAATGAGC >hg38_chr13:77565867-77565877(-) TCACAATGGAG >hg38_chr13:77619653-77619663(+) TAACAGTGGAC >hg38_chr13:77674977-77674987(+) TCACAATGAGT >hg38_chr13:77675329-77675339(+) AGACAATGCTT >hg38_chr13:77675380-77675390(+) AAACAATAGTC >hg38_chr13:77692841-77692851(-) GTACAATGGCT >hg38_chr13:77696029-77696039(-) ACACAATGAGA >hg38_chr13:77778081-77778091(-) caacaatagga >hg38_chr13:77801022-77801032(-) AAACAATATAC >hg38_chr13:77817953-77817963(-) Atataatgcga >hg38_chr13:77817991-77818001(+) aaacaatgatc >hg38_chr13:77832820-77832830(-) ACACAAAGGAG >hg38_chr13:77907256-77907266(-) ggataatggcc >hg38_chr13:77910724-77910734(+) ttacaataagt >hg38_chr13:77952923-77952933(+) GGACAATGACT >hg38_chr13:77988664-77988674(+) CCACAATGGAC >hg38_chr13:77989043-77989053(-) AGACAATGACA >hg38_chr13:77989116-77989126(+) AAATAATGGTC >hg38_chr13:77990233-77990243(+) taataatggga >hg38_chr13:77990264-77990274(+) gaataatggtg >hg38_chr13:77990288-77990298(-) Taacaataact >hg38_chr13:78020979-78020989(-) AGACAATGGGC >hg38_chr13:78037331-78037341(+) gaacaatgagg >hg38_chr13:78071281-78071291(-) AAACAATGCTG >hg38_chr13:78137770-78137780(-) ATACAATCGAG >hg38_chr13:78148149-78148159(+) GAACAATAGCC >hg38_chr13:78159970-78159980(-) TCACAATAGAG >hg38_chr13:78171103-78171113(+) atataatgata >hg38_chr13:78184976-78184986(+) GGACAATGTGA >hg38_chr13:78188131-78188141(+) AGACAAAGGAG >hg38_chr13:78189725-78189735(+) ttacactggcg >hg38_chr13:78197502-78197512(+) TAACAATGAAG >hg38_chr13:78198628-78198638(+) GTACTATGGGT >hg38_chr13:78202212-78202222(-) AAACACTGGAA >hg38_chr13:78213061-78213071(-) AGACAATGGAA >hg38_chr13:78214372-78214382(-) TTACAATGTAA >hg38_chr13:78247063-78247073(+) GAACAAAGGAC >hg38_chr13:78317156-78317166(+) GCACAATGCAT >hg38_chr13:78324828-78324838(+) AAACAATAGCT >hg38_chr13:78384125-78384135(-) taacaatgatc >hg38_chr13:78384152-78384162(+) tcacaatgata >hg38_chr13:78393631-78393641(-) taacaatgact >hg38_chr13:78400748-78400758(-) GGACAATAACA >hg38_chr13:78405113-78405123(+) cgacactggaa >hg38_chr13:78405763-78405773(+) TAACAATGAGG >hg38_chr13:78564420-78564430(+) AAACAATAAGA >hg38_chr13:78568235-78568245(-) AAACAATGCAA >hg38_chr13:78591260-78591270(+) ATACAATAGAG >hg38_chr13:78629273-78629283(+) ACACAATGACA >hg38_chr13:78630654-78630664(-) ggacaaaggag >hg38_chr13:78651816-78651826(+) TGACAATAGGG >hg38_chr13:78659088-78659098(+) CGACAATGTAA >hg38_chr13:78675663-78675673(+) caacaatgtat >hg38_chr13:78675735-78675745(-) ttacaatggct >hg38_chr13:78690351-78690361(-) TTACAATGAGA >hg38_chr13:78709228-78709238(+) ATACAATGGCT >hg38_chr13:78709706-78709716(+) AGACAATGGGA >hg38_chr13:78714563-78714573(-) AAACAATAGAA >hg38_chr13:78714576-78714586(-) AAACAATGCTG >hg38_chr13:78722309-78722319(-) AAACAATAATA >hg38_chr13:78723662-78723672(+) GGACAATGGAA >hg38_chr13:78772209-78772219(+) aaacaatgcct >hg38_chr13:78773240-78773250(-) ATACAATGCTA >hg38_chr13:78816974-78816984(+) TCACAATGTTC >hg38_chr13:78946832-78946842(+) ctataatggga >hg38_chr13:78946897-78946907(+) ctacaatgcga >hg38_chr13:79028767-79028777(-) TCACAAAGGAT >hg38_chr13:79059984-79059994(-) acacaatggat >hg38_chr13:79066705-79066715(-) ACACAAAGGAA >hg38_chr13:79073523-79073533(+) ccacaatggat >hg38_chr13:79084943-79084953(-) AGATAATGGGT >hg38_chr13:79084987-79084997(-) ACATAATGGCT >hg38_chr13:79138794-79138804(-) GAACAATGTTA >hg38_chr13:79198188-79198198(-) AGACAATGGAT >hg38_chr13:79227042-79227052(-) ggacaatgact >hg38_chr13:79266296-79266306(-) GGACAAAGGCA >hg38_chr13:79266322-79266332(+) GGACAATAGCT >hg38_chr13:79269776-79269786(+) AGACAATGGCT >hg38_chr13:79288533-79288543(+) GCACAATGGAA >hg38_chr13:79312451-79312461(+) CTACAATGCAA >hg38_chr13:79312852-79312862(+) GAACAATGGGT >hg38_chr13:79320071-79320081(-) TGATAATGGTG >hg38_chr13:79320939-79320949(-) GTACAAAGGCT >hg38_chr13:79322785-79322795(+) acacaatgaca >hg38_chr13:79333587-79333597(+) GAACAATGGCA >hg38_chr13:79390220-79390230(-) TAACAATAATA >hg38_chr13:79401512-79401522(+) taacaatgctt >hg38_chr13:79401541-79401551(+) gtacaataata >hg38_chr13:79401564-79401574(+) caacaatgcAT >hg38_chr13:79417150-79417160(-) gaacaatgttg >hg38_chr13:79424260-79424270(-) AAACAATAAAA >hg38_chr13:79429484-79429494(-) gaacagtggtt >hg38_chr13:79429558-79429568(-) aaacaatgctg >hg38_chr13:79446550-79446560(-) AGACAATAAAA >hg38_chr13:79451540-79451550(-) CAACAATGGTC >hg38_chr13:79495728-79495738(-) aaacaatataa >hg38_chr13:79513520-79513530(-) CCACAATGTAT >hg38_chr13:79514981-79514991(+) gaacaatgggg >hg38_chr13:79535421-79535431(-) TGACAATGAGG >hg38_chr13:79549188-79549198(-) TAACAATAATT >hg38_chr13:79552320-79552330(+) ATACAATGCAA >hg38_chr13:79572230-79572240(+) AAACAATGCAA >hg38_chr13:79590114-79590124(-) AGACAATAGCA >hg38_chr13:79642007-79642017(+) AAACAATGAAT >hg38_chr13:79658936-79658946(+) AAACAATAGGC >hg38_chr13:79697725-79697735(+) taacaataata >hg38_chr13:79699830-79699840(+) aaacaatagtg >hg38_chr13:79710766-79710776(-) gtacaatgaaa >hg38_chr13:79710794-79710804(+) atacaatcgtg >hg38_chr13:79711067-79711077(-) atacaatatgc >hg38_chr13:79736546-79736556(-) gcacaatgcag >hg38_chr13:79766462-79766472(+) AGACAATGAAG >hg38_chr13:79766794-79766804(+) AAACAATGAAA >hg38_chr13:79775928-79775938(-) GAACAAAGGTT >hg38_chr13:79846546-79846556(-) AAACAAAGGAT >hg38_chr13:79850984-79850994(+) tcacaatgtgt >hg38_chr13:79905656-79905666(-) ACACAATGATT >hg38_chr13:79936244-79936254(+) AAACAATGCAG >hg38_chr13:79936282-79936292(+) AAACAATGTGC >hg38_chr13:79938283-79938293(+) AGACAATGAGT >hg38_chr13:79966513-79966523(-) TAACTATGGAT >hg38_chr13:79987600-79987610(+) TTACTATGGCA >hg38_chr13:80010948-80010958(-) atacaatgaag >hg38_chr13:80023429-80023439(-) ACACAATGTCT >hg38_chr13:80029385-80029395(+) TGATAATGGTG >hg38_chr13:80038558-80038568(+) gaacaaaggcc >hg38_chr13:80074007-80074017(-) TGACAATGTGG >hg38_chr13:80103370-80103380(-) TTACAATGACA >hg38_chr13:80131467-80131477(-) GAACAATGCCC >hg38_chr13:80131820-80131830(+) GAACAATGGGT >hg38_chr13:80149459-80149469(-) ATACAATAGTC >hg38_chr13:80160291-80160301(+) GAACAATAACT >hg38_chr13:80160300-80160310(-) TTACAATAGAG >hg38_chr13:80166417-80166427(+) TGACAATAGCT >hg38_chr13:80190896-80190906(+) GGACAATGTGA >hg38_chr13:80198280-80198290(-) GAACAATGTCA >hg38_chr13:80213642-80213652(+) tcacaatgtct >hg38_chr13:80213668-80213678(-) gtacaatgtga >hg38_chr13:80213800-80213810(-) AAACAAAGGCA >hg38_chr13:80218146-80218156(+) aaacaaagggg >hg38_chr13:80226800-80226810(+) ttacaatggca >hg38_chr13:80235330-80235340(+) AGACAATGGCA >hg38_chr13:80251643-80251653(-) AGACAATCGAA >hg38_chr13:80288691-80288701(+) agacaaaggat >hg38_chr13:80297014-80297024(-) GAACAATAACA >hg38_chr13:80359917-80359927(-) AGACAATGCAA >hg38_chr13:80359968-80359978(-) AAACAATCGGG >hg38_chr13:80361353-80361363(+) TTACAATGGAA >hg38_chr13:80378571-80378581(+) tgacaatggat >hg38_chr13:80418397-80418407(-) agacaatgtga >hg38_chr13:80442596-80442606(-) ttacaatacta >hg38_chr13:80482486-80482496(-) AAACAATGTTT >hg38_chr13:80523349-80523359(-) gaacaatggcc >hg38_chr13:80544797-80544807(-) AGACAATGGGA >hg38_chr13:80554957-80554967(-) agacaaagggc >hg38_chr13:80599854-80599864(+) gtataatggga >hg38_chr13:80684547-80684557(-) AAACAATAGGT >hg38_chr13:80740450-80740460(+) GGACAATGAGC >hg38_chr13:80794491-80794501(-) GAACAATGACA >hg38_chr13:80794509-80794519(-) TCATAATGGAT >hg38_chr13:80840578-80840588(+) ATACAATGAGG >hg38_chr13:80840615-80840625(-) AAACAATAGTG >hg38_chr13:80884260-80884270(-) tcacaatgcat >hg38_chr13:81017686-81017696(-) ACACAAAGGAT >hg38_chr13:81223511-81223521(-) ttacaatgttg >hg38_chr13:81237026-81237036(-) GGACAATGAGA >hg38_chr13:81258857-81258867(+) AGATAATGGGA >hg38_chr13:81258887-81258897(+) TGACAATGCAT >hg38_chr13:81349822-81349832(+) CAACAATGACA >hg38_chr13:81394795-81394805(-) gaacaaaggtt >hg38_chr13:81449094-81449104(-) agacaatagaa >hg38_chr13:81481253-81481263(-) AAACAATAGCA >hg38_chr13:81588709-81588719(+) TAACAATGCAA >hg38_chr13:81588731-81588741(-) TAACAATAGAC >hg38_chr13:81801173-81801183(-) TCACAATAGAG >hg38_chr13:81801200-81801210(+) TAACTATGGAA >hg38_chr13:81875409-81875419(-) ccacaatagtg >hg38_chr13:81942569-81942579(+) TAACAATGGTG >hg38_chr13:81990830-81990840(+) CCACAATGGAA >hg38_chr13:82085357-82085367(+) taacaaagggc >hg38_chr13:82090334-82090344(-) agacaaaggat >hg38_chr13:82197759-82197769(+) ttacaataaaa >hg38_chr13:82198175-82198185(+) caacaatgtgc >hg38_chr13:82330794-82330804(+) TAATAATGGTA >hg38_chr13:82377215-82377225(+) TCACAATGCCT >hg38_chr13:82565839-82565849(+) taactatggca >hg38_chr13:82566138-82566148(-) ggacaatagta >hg38_chr13:82601631-82601641(-) GTACAAAGGCA >hg38_chr13:82657694-82657704(-) ggacaaaggac >hg38_chr13:82727927-82727937(-) taacaatgcac >hg38_chr13:82727942-82727952(-) aaacaataata >hg38_chr13:82773551-82773561(+) TGATAATGGGC >hg38_chr13:82773897-82773907(-) TGACAATGCAT >hg38_chr13:82801138-82801148(+) ttactatggct >hg38_chr13:82801147-82801157(+) ctacaatggca >hg38_chr13:82801180-82801190(-) tcataatggaa >hg38_chr13:82813683-82813693(-) CTACAATAGTA >hg38_chr13:82840683-82840693(-) tgactatggaa >hg38_chr13:82880201-82880211(+) GAACAATGGGT >hg38_chr13:82963689-82963699(-) GCACAATGTTT >hg38_chr13:82963717-82963727(-) AGATAATGGGG >hg38_chr13:83026063-83026073(+) tgacaatatat >hg38_chr13:83027745-83027755(-) gtacaatgttg >hg38_chr13:83048624-83048634(-) TGACAATGCAT >hg38_chr13:83057194-83057204(+) ctacaatagga >hg38_chr13:83085045-83085055(+) aaacaatgctt >hg38_chr13:83092918-83092928(-) AAACAAAGGGT >hg38_chr13:83150761-83150771(+) AGACAATGCTA >hg38_chr13:83150785-83150795(+) AGACAATGCTA >hg38_chr13:83164437-83164447(+) AAACAATGTGT >hg38_chr13:83190281-83190291(+) GGACAATGACT >hg38_chr13:83194380-83194390(-) tcacaatgtgg >hg38_chr13:83199388-83199398(-) CAACAATGGAG >hg38_chr13:83205007-83205017(+) agataaTGGCA >hg38_chr13:83222401-83222411(-) GTACAATATAG >hg38_chr13:83222437-83222447(-) CCACAATGTTC >hg38_chr13:83261473-83261483(+) tgacaatggaa >hg38_chr13:83272231-83272241(+) AAACAAAGGGA >hg38_chr13:83290892-83290902(+) agacaatgaaa >hg38_chr13:83328838-83328848(-) tgacaatagtt >hg38_chr13:83382750-83382760(+) TAACTATGGGA >hg38_chr13:83382815-83382825(+) acacaatgtag >hg38_chr13:83397152-83397162(-) GTACAATGGCT >hg38_chr13:83475599-83475609(+) taacaaagggc >hg38_chr13:83515083-83515093(-) TAACTATGGTA >hg38_chr13:83515793-83515803(-) GAACAAAGGTT >hg38_chr13:83528078-83528088(-) atacaatgcac >hg38_chr13:83619139-83619149(-) TGACAATATAA >hg38_chr13:83634803-83634813(-) gaacaatggct >hg38_chr13:83690617-83690627(+) TCACAATAGAC >hg38_chr13:83732704-83732714(+) CGacaatgaac >hg38_chr13:83732758-83732768(+) gaacaatgaaT >hg38_chr13:83734818-83734828(-) TTACAATGTAG >hg38_chr13:83764129-83764139(-) CTACAATGGAT >hg38_chr13:83799657-83799667(+) CTACAATGGGT >hg38_chr13:83839468-83839478(-) caacaatggat >hg38_chr13:83893983-83893993(-) AAATAATGGTG >hg38_chr13:83942204-83942214(+) tcacaatgaga >hg38_chr13:83942220-83942230(-) ccacaatgtag >hg38_chr13:83988753-83988763(-) TTACAAAGGGT >hg38_chr13:84057763-84057773(+) GCACAATGACT >hg38_chr13:84121389-84121399(-) AAACAATGATC >hg38_chr13:84140815-84140825(+) TTACAATGCAG >hg38_chr13:84196214-84196224(-) GAACAATGCCT >hg38_chr13:84196238-84196248(-) TCACAATGGCT >hg38_chr13:84277886-84277896(+) ATACAATAAAC >hg38_chr13:84277926-84277936(+) GAACGATGGCT >hg38_chr13:84278753-84278763(-) acacaataccg >hg38_chr13:84278762-84278772(-) tgacaatgaac >hg38_chr13:84315694-84315704(+) GAACAATAACT >hg38_chr13:84319826-84319836(+) aaacaaaggat >hg38_chr13:84359282-84359292(-) GAACAATAGGG >hg38_chr13:84416141-84416151(+) tcataatggtc >hg38_chr13:84668898-84668908(+) ggacaaagggt >hg38_chr13:84730769-84730779(-) AAACAATGTGG >hg38_chr13:84742645-84742655(-) agacaataggt >hg38_chr13:84771518-84771528(-) TAATAATGGTG >hg38_chr13:84789901-84789911(+) taacaatgcaa >hg38_chr13:84796768-84796778(+) TAATAATGGTA >hg38_chr13:84853392-84853402(-) AGATAATGGAT >hg38_chr13:84881359-84881369(+) AAACAATACCG >hg38_chr13:84881371-84881381(-) AAACAATAAGT >hg38_chr13:84902396-84902406(+) CGACAGTGGCA >hg38_chr13:84964056-84964066(+) TTACAAAGGGT >hg38_chr13:84973824-84973834(-) gcacAATGCAC >hg38_chr13:85080012-85080022(-) TTACTATGGAT >hg38_chr13:85148177-85148187(-) gaacaaaggcc >hg38_chr13:85163620-85163630(-) agacaatagga >hg38_chr13:85211737-85211747(-) GCACAATGAAG >hg38_chr13:85235703-85235713(+) gaacaatggaa >hg38_chr13:85237107-85237117(+) atacaatagtg >hg38_chr13:85237127-85237137(-) atacaatatca >hg38_chr13:85283716-85283726(+) AAACAATAGGG >hg38_chr13:85288923-85288933(-) agacaatgggg >hg38_chr13:85298519-85298529(-) aaacaaaggga >hg38_chr13:85349742-85349752(-) TCACAATGTGA >hg38_chr13:85386743-85386753(-) AAACAAAGGAA >hg38_chr13:85438009-85438019(+) acacaataata >hg38_chr13:85447267-85447277(+) ATATAATGGCT >hg38_chr13:85448307-85448317(+) GCACAATGGTA >hg38_chr13:85448466-85448476(+) ATACAATGTGT >hg38_chr13:85477696-85477706(-) tgacaatgaaa >hg38_chr13:85477754-85477764(-) aaacaatatta >hg38_chr13:85486934-85486944(+) CAACAATAGAG >hg38_chr13:85528735-85528745(-) GGACAATGATG >hg38_chr13:85564828-85564838(+) acacaataaaa >hg38_chr13:85578320-85578330(+) agacaatggtt >hg38_chr13:85588551-85588561(+) GCACAATAGTG >hg38_chr13:85608530-85608540(-) agacaaaggtt >hg38_chr13:85629517-85629527(-) AAACAAAGGGG >hg38_chr13:85633384-85633394(-) TAACAATAATT >hg38_chr13:85695044-85695054(-) AGACAATGTGG >hg38_chr13:85695087-85695097(-) AGACAATAGGA >hg38_chr13:85766828-85766838(+) AAACAATAACA >hg38_chr13:85766862-85766872(+) AAACAATGCAA >hg38_chr13:85797066-85797076(-) AGACAATGCAT >hg38_chr13:85798764-85798774(-) TAACACTGGAG >hg38_chr13:85832071-85832081(+) TTACAATGCTA >hg38_chr13:85887615-85887625(+) tcacaatgacc >hg38_chr13:85889443-85889453(-) GGACAATGCAT >hg38_chr13:85985940-85985950(-) ctacaatgcta >hg38_chr13:85985978-85985988(+) tgacaatagat >hg38_chr13:86155970-86155980(-) ggacaataggt >hg38_chr13:86178710-86178720(+) aaacaatgaat >hg38_chr13:86313379-86313389(-) ATACAATAACC >hg38_chr13:86446439-86446449(+) taacaaaggct >hg38_chr13:86578931-86578941(+) TAACAATATTA >hg38_chr13:86750110-86750120(-) TAACAATAACT >hg38_chr13:86788851-86788861(+) acacaataggt >hg38_chr13:86810612-86810622(+) tcacaatgata >hg38_chr13:86814114-86814124(-) GAACAAAGGGA >hg38_chr13:86821878-86821888(+) gaacaaaggat >hg38_chr13:86862276-86862286(+) aaacaatgagg >hg38_chr13:86862306-86862316(+) caacaatgggg >hg38_chr13:86870054-86870064(-) ATACAATGATC >hg38_chr13:86870072-86870082(+) ATACAATAGCA >hg38_chr13:86881616-86881626(+) taacaatgaaa >hg38_chr13:86992109-86992119(-) ttacaataaga >hg38_chr13:87042734-87042744(-) TAACAAAGGTT >hg38_chr13:87084436-87084446(-) gcacaatgtca >hg38_chr13:87128183-87128193(+) AAACAAAGGAT >hg38_chr13:87128237-87128247(+) AGACAATGATG >hg38_chr13:87128684-87128694(+) ACACAATGGCA >hg38_chr13:87153554-87153564(-) GAACAATGGGT >hg38_chr13:87169111-87169121(-) gtacaatggGA >hg38_chr13:87169142-87169152(-) ttacaatgatt >hg38_chr13:87274348-87274358(-) GAACAATGTGA >hg38_chr13:87426970-87426980(-) GAACAATAGGA >hg38_chr13:87666430-87666440(-) GCACAATGCTC >hg38_chr13:87671434-87671444(+) CGACAATAAAC >hg38_chr13:87723911-87723921(-) TTACAATGGAC >hg38_chr13:87723936-87723946(-) GTACAATAGCT >hg38_chr13:87731620-87731630(-) aaacaatagta >hg38_chr13:87777447-87777457(-) atacaaaggac >hg38_chr13:87838263-87838273(-) ACACAATGCAT >hg38_chr13:87919922-87919932(-) GTACAATGTGA >hg38_chr13:87973461-87973471(-) agacaatgaaa >hg38_chr13:88016406-88016416(-) taacaatgcat >hg38_chr13:88016442-88016452(-) ggacaataaca >hg38_chr13:88017228-88017238(+) gaacaaaggca >hg38_chr13:88046030-88046040(-) ttacaaaggag >hg38_chr13:88046045-88046055(-) acacaatggct >hg38_chr13:88054797-88054807(-) TCACAATGCTT >hg38_chr13:88054843-88054853(+) TGACTATGGGA >hg38_chr13:88063794-88063804(+) ATACAATATAG >hg38_chr13:88063834-88063844(+) AAacaatagct >hg38_chr13:88104335-88104345(-) gaacaatgccc >hg38_chr13:88124324-88124334(+) AGACAATAGTA >hg38_chr13:88266792-88266802(-) acacaatggat >hg38_chr13:88266847-88266857(-) ccacaatgaga >hg38_chr13:88760904-88760914(-) taacAATAGTA >hg38_chr13:88862246-88862256(-) atacaatagtg >hg38_chr13:88946414-88946424(-) TTACAATGAGC >hg38_chr13:88946481-88946491(-) AGACAATGGGC >hg38_chr13:89008350-89008360(+) gaacagtggat >hg38_chr13:89077910-89077920(-) ttacaatgaga >hg38_chr13:89114034-89114044(-) CCACAATGCAA >hg38_chr13:89114099-89114109(-) TAACAATGGGA >hg38_chr13:89206144-89206154(+) atacaatagca >hg38_chr13:89323364-89323374(-) GAACAATGGGG >hg38_chr13:89337073-89337083(+) caacaatggca >hg38_chr13:89364666-89364676(-) CCACAATGGCT >hg38_chr13:89480648-89480658(+) AAACAATAATA >hg38_chr13:89496649-89496659(+) TAACAATGGGT >hg38_chr13:89514880-89514890(-) tcacaatgacc >hg38_chr13:89584231-89584241(-) CCACAATGCCG >hg38_chr13:89962085-89962095(+) TTACAATAGGA >hg38_chr13:90020761-90020771(+) TGACAATGAAG >hg38_chr13:90050384-90050394(-) AAACAATGGAA >hg38_chr13:90067649-90067659(+) TTACAATGAAA >hg38_chr13:90196594-90196604(+) GCACAATAGAA >hg38_chr13:90255705-90255715(-) TAACAATGAGA >hg38_chr13:90258348-90258358(-) CAACAATGCAG >hg38_chr13:90258878-90258888(-) CCACAATGCAT >hg38_chr13:90281763-90281773(-) CCACAATGCAA >hg38_chr13:90321836-90321846(+) TGACAATAGTG >hg38_chr13:90352045-90352055(+) AGACAATGCAG >hg38_chr13:90387494-90387504(+) gaacaaaggca >hg38_chr13:90387505-90387515(+) acataatggag >hg38_chr13:90505628-90505638(-) aaacaatagaa >hg38_chr13:90519921-90519931(+) aaacaaagggc >hg38_chr13:90543735-90543745(+) tcacaatagca >hg38_chr13:90567444-90567454(-) atacaatggaa >hg38_chr13:90594858-90594868(-) AAACAATGCTg >hg38_chr13:90675392-90675402(-) AGACAATGGTT >hg38_chr13:90696382-90696392(+) ACACAATAGTA >hg38_chr13:90696419-90696429(+) ATACAATGCAT >hg38_chr13:90791299-90791309(-) TAACAATGACA >hg38_chr13:90801712-90801722(-) CCACAATAGGA >hg38_chr13:90805883-90805893(+) TTATAATGGCA >hg38_chr13:90830816-90830826(+) GCACAATGGGA >hg38_chr13:90855799-90855809(-) TAACAATGTGC >hg38_chr13:90861312-90861322(+) CAACAATAGAG >hg38_chr13:90864358-90864368(+) GAACAATAGGT >hg38_chr13:90867502-90867512(-) CAACAATGGAG >hg38_chr13:90867520-90867530(+) AAATAATGGTG >hg38_chr13:90868511-90868521(+) GTACAATGAAT >hg38_chr13:90955837-90955847(-) ACACAATGAAC >hg38_chr13:90968672-90968682(-) TCACAATGGCA >hg38_chr13:90974695-90974705(-) TAACAATAGTC >hg38_chr13:91017663-91017673(+) ATACAATAAGT >hg38_chr13:91083261-91083271(-) GAACAATAGCA >hg38_chr13:91119031-91119041(-) ATACAATGCTT >hg38_chr13:91124334-91124344(-) agacaatgttc >hg38_chr13:91126478-91126488(+) ATACAATGATG >hg38_chr13:91155372-91155382(-) GCACAATGGAC >hg38_chr13:91155382-91155392(-) GAACAATCGAG >hg38_chr13:91204066-91204076(+) GGACAATGTTT >hg38_chr13:91290982-91290992(+) aaacaatgccc >hg38_chr13:91292819-91292829(+) agacaatagaa >hg38_chr13:91308439-91308449(+) gaacaaaggat >hg38_chr13:91308481-91308491(-) agacaatgata >hg38_chr13:91334057-91334067(-) ccacaatgaga >hg38_chr13:91348842-91348852(-) GAACAATCGGC >hg38_chr13:91361802-91361812(-) CAACAATAGGC >hg38_chr13:91363140-91363150(-) TTACAATGAAT >hg38_chr13:91369716-91369726(+) aaacaatgtca >hg38_chr13:91406756-91406766(-) agacaatggtg >hg38_chr13:91407348-91407358(+) AGACAAAGGTT >hg38_chr13:91470929-91470939(-) TTACAATAAAA >hg38_chr13:91545005-91545015(+) taacaataggg >hg38_chr13:91577558-91577568(-) CAACAATAGGT >hg38_chr13:91652521-91652531(+) ggacagtggat >hg38_chr13:91652578-91652588(+) gcacaaaggga >hg38_chr13:91888860-91888870(-) ATACAATGGGA >hg38_chr13:91913735-91913745(-) GCACAATGGCT >hg38_chr13:91950811-91950821(-) ttacaataggg >hg38_chr13:92158356-92158366(+) CTACAAAGGAA >hg38_chr13:92158383-92158393(+) ACACAATGCCC >hg38_chr13:92187820-92187830(-) GAACAATGATG >hg38_chr13:92187832-92187842(+) GTACAATATTC >hg38_chr13:92188332-92188342(+) ATACAATAGGA >hg38_chr13:92200281-92200291(-) GCACAATAGCG >hg38_chr13:92214786-92214796(-) gcacaatgcag >hg38_chr13:92271155-92271165(-) AAACAAAGGAG >hg38_chr13:92271168-92271178(+) GAACAATGTCC >hg38_chr13:92288599-92288609(-) aaacactggta >hg38_chr13:92361085-92361095(-) cgacaatggct >hg38_chr13:92510545-92510555(-) ATACAATAGTC >hg38_chr13:92513060-92513070(-) GCACAAAGGTA >hg38_chr13:92527498-92527508(+) aaacaaaggtc >hg38_chr13:92717150-92717160(-) AAACAATGAAT >hg38_chr13:92843722-92843732(-) AGACAATGTCA >hg38_chr13:92948332-92948342(-) aaacaatgaat >hg38_chr13:92978123-92978133(-) ccacaatgaaa >hg38_chr13:92978154-92978164(-) atataatggta >hg38_chr13:93039236-93039246(-) CCACAATGGTC >hg38_chr13:93048654-93048664(+) AAACAATAATA >hg38_chr13:93048703-93048713(+) TAACAATATCA >hg38_chr13:93080711-93080721(-) AAACAAAGGTT >hg38_chr13:93085876-93085886(+) ccacaatgagt >hg38_chr13:93174300-93174310(+) tcataatggag >hg38_chr13:93187743-93187753(-) TTACAATGATG >hg38_chr13:93201385-93201395(+) CGACAATGGTC >hg38_chr13:93204295-93204305(+) ATACAATGTAA >hg38_chr13:93223137-93223147(+) GCACAATGCCC >hg38_chr13:93258049-93258059(-) TGACAATGTAA >hg38_chr13:93269516-93269526(-) GGACAATAGAA >hg38_chr13:93269533-93269543(-) TTACAATAAGA >hg38_chr13:93293946-93293956(-) ttacaatggac >hg38_chr13:93309059-93309069(+) GAACAATGAAC >hg38_chr13:93316272-93316282(-) GGACAATGGAC >hg38_chr13:93317898-93317908(+) TTACAAAGGAG >hg38_chr13:93338209-93338219(-) TTACAATGCCC >hg38_chr13:93355204-93355214(-) GTACAATAAGT >hg38_chr13:93378321-93378331(-) AAACAATGGAG >hg38_chr13:93381260-93381270(+) ACACAGTGGAC >hg38_chr13:93381267-93381277(-) GCACAATGTCC >hg38_chr13:93394448-93394458(+) AAACAATGTTG >hg38_chr13:93450909-93450919(+) agataatggac >hg38_chr13:93497233-93497243(-) ACACAATGTGA >hg38_chr13:93509506-93509516(+) GAACAATATAA >hg38_chr13:93552344-93552354(+) TTACAATGCTG >hg38_chr13:93615501-93615511(-) GGACAAAGGAG >hg38_chr13:93624486-93624496(-) TCACAATGAAA >hg38_chr13:93628338-93628348(-) TTACAAAGGAG >hg38_chr13:93629382-93629392(-) TAATAATGGTG >hg38_chr13:93678134-93678144(-) gaacaatatag >hg38_chr13:93748853-93748863(-) CAATAATGGAA >hg38_chr13:93748856-93748866(-) TAACAATAATG >hg38_chr13:93757552-93757562(-) TGACAAAGGGA >hg38_chr13:93786981-93786991(-) CAACAATAGGA >hg38_chr13:93791145-93791155(-) ACACAATAGGT >hg38_chr13:93801197-93801207(-) AGACAATATAG >hg38_chr13:93810326-93810336(-) CAACAATGGGG >hg38_chr13:93820262-93820272(-) TAATAATGTAT >hg38_chr13:93890193-93890203(-) ATACAATAATC >hg38_chr13:93963690-93963700(-) CAACAATGAAA >hg38_chr13:93963714-93963724(-) CTACAATGAGT >hg38_chr13:93964374-93964384(-) TAATAATGGGC >hg38_chr13:94024900-94024910(+) GCACAATAGAG >hg38_chr13:94024947-94024957(-) TTACAATGATG >hg38_chr13:94133835-94133845(-) ACACAATGGCT >hg38_chr13:94188431-94188441(+) TAACAAAGGAT >hg38_chr13:94193733-94193743(-) CGACAATGATC >hg38_chr13:94204338-94204348(+) ACACAATGGGA >hg38_chr13:94256883-94256893(-) ACACAAAGGAA >hg38_chr13:94294956-94294966(-) AAACAATGTGT >hg38_chr13:94294984-94294994(-) GCACAATGTAC >hg38_chr13:94303970-94303980(-) AAACAATACTA >hg38_chr13:94312530-94312540(-) TGACAATGAAA >hg38_chr13:94316818-94316828(-) TCACAATGTGC >hg38_chr13:94317922-94317932(+) TAACAATAGGT >hg38_chr13:94350526-94350536(-) CCACAATGGGC >hg38_chr13:94350540-94350550(+) CCACAATGAGA >hg38_chr13:94350589-94350599(-) TAACAATGCCT >hg38_chr13:94394869-94394879(-) GAACAATAAGA >hg38_chr13:94399860-94399870(-) CAACAATGGCT >hg38_chr13:94412723-94412733(-) acataatgggg >hg38_chr13:94419390-94419400(+) taactatgggt >hg38_chr13:94438254-94438264(-) TAACAATATTC >hg38_chr13:94484979-94484989(-) ggacaaaggca >hg38_chr13:94512168-94512178(+) taacaatGTTa >hg38_chr13:94546360-94546370(-) ggacaatgagg >hg38_chr13:94621630-94621640(-) TGACAATGGAT >hg38_chr13:94626571-94626581(+) TTACAAAGGGT >hg38_chr13:94627017-94627027(+) TTACAATAGGT >hg38_chr13:94629484-94629494(-) tcacaatagag >hg38_chr13:94714858-94714868(-) TAACAATGCAA >hg38_chr13:94716316-94716326(-) GGACAATGATT >hg38_chr13:94722796-94722806(-) TTACAATGTGG >hg38_chr13:94727972-94727982(+) gcacaatataa >hg38_chr13:94735975-94735985(-) tgacaaaggga >hg38_chr13:94735986-94735996(-) caacaatagca >hg38_chr13:94748756-94748766(-) TTACAATGCAG >hg38_chr13:94754203-94754213(-) CTACAATAGGC >hg38_chr13:94770328-94770338(+) aaacaatggcc >hg38_chr13:94806561-94806571(-) acacaatggca >hg38_chr13:94814286-94814296(+) AAACAATGTCC >hg38_chr13:94880496-94880506(-) ACACAATGGAG >hg38_chr13:94928758-94928768(-) ATACAATGAGA >hg38_chr13:94948175-94948185(+) CAACAATAGCT >hg38_chr13:94965918-94965928(-) TTACAATGCTT >hg38_chr13:94974450-94974460(+) CAACAATGCAA >hg38_chr13:94994782-94994792(+) GAACAATAAGA >hg38_chr13:94996659-94996669(+) AGACAATGGAT >hg38_chr13:95000113-95000123(-) gaacaatggga >hg38_chr13:95027241-95027251(-) AAACAATGCTC >hg38_chr13:95068146-95068156(+) AAACAATGCCC >hg38_chr13:95073726-95073736(-) TAATAATGGAA >hg38_chr13:95075075-95075085(+) ctacaatggca >hg38_chr13:95100170-95100180(-) AAACAATAGTG >hg38_chr13:95100951-95100961(+) ACACAATGAAA >hg38_chr13:95113796-95113806(-) AAACAATGCAG >hg38_chr13:95113842-95113852(+) AAACAATGAAT >hg38_chr13:95115418-95115428(-) GGACAATAGAG >hg38_chr13:95128304-95128314(+) AAACAATGATT >hg38_chr13:95128333-95128343(+) ACACAATGGCT >hg38_chr13:95134020-95134030(+) ACACAATGATA >hg38_chr13:95153832-95153842(+) TAACAATAGCA >hg38_chr13:95182650-95182660(+) tcacaatagcc >hg38_chr13:95294016-95294026(+) acacaatgagg >hg38_chr13:95294032-95294042(-) atacagtggct >hg38_chr13:95324482-95324492(-) AAACAAAGGCC >hg38_chr13:95353011-95353021(+) ggacaaaggtt >hg38_chr13:95461352-95461362(+) TCATAATGGAG >hg38_chr13:95486062-95486072(-) ccacaatgcac >hg38_chr13:95505341-95505351(-) AAACAATGGGG >hg38_chr13:95505393-95505403(+) TTACAATGGGC >hg38_chr13:95513241-95513251(-) TGACTATGGAT >hg38_chr13:95521229-95521239(+) tgacaatagta >hg38_chr13:95546611-95546621(+) CCACAATGTGA >hg38_chr13:95588351-95588361(-) AAACAATGATT >hg38_chr13:95588358-95588368(-) AGACAATAAAC >hg38_chr13:95653984-95653994(-) gaacaatggca >hg38_chr13:95661212-95661222(-) AGACAATGCAA >hg38_chr13:95673239-95673249(+) taaccatggta >hg38_chr13:95678942-95678952(-) AGACAATGGTC >hg38_chr13:95679934-95679944(-) AAACAAAGGCA >hg38_chr13:95684617-95684627(+) agacaatggga >hg38_chr13:95686713-95686723(-) ctacaatagag >hg38_chr13:95687432-95687442(-) ggacaatgatg >hg38_chr13:95711599-95711609(-) tcacaaAGGTA >hg38_chr13:95721345-95721355(-) tcacaatagtc >hg38_chr13:95731568-95731578(-) aaacaaagggc >hg38_chr13:95759982-95759992(+) CAACAATGAAT >hg38_chr13:95772579-95772589(-) ccacaatagat >hg38_chr13:95812017-95812027(+) ttacaataaat >hg38_chr13:95819690-95819700(+) atacaataaca >hg38_chr13:95819696-95819706(+) taacaatagaa >hg38_chr13:95831483-95831493(-) aaacaatgaaa >hg38_chr13:95833814-95833824(+) agacaatggga >hg38_chr13:95834406-95834416(+) caacaatgcac >hg38_chr13:95839848-95839858(-) ccacaatgaga >hg38_chr13:95839879-95839889(+) tgacaatggca >hg38_chr13:95872920-95872930(+) ctacaatgatt >hg38_chr13:95878724-95878734(-) AAACAATAGTG >hg38_chr13:95924671-95924681(-) ccacaatgtca >hg38_chr13:95944456-95944466(+) atacaacggaa >hg38_chr13:95953177-95953187(-) TAACAATGTCA >hg38_chr13:95961639-95961649(+) aaacaataata >hg38_chr13:95986865-95986875(-) AAACAATGTTG >hg38_chr13:95986876-95986886(-) GCACAAAGGTA >hg38_chr13:95987142-95987152(+) ttacaatgact >hg38_chr13:95988588-95988598(+) TAACAATGGCC >hg38_chr13:95993047-95993057(+) agacaatggga >hg38_chr13:96022117-96022127(+) agataatggag >hg38_chr13:96025447-96025457(+) AAACAATGTCT >hg38_chr13:96087825-96087835(-) AAACAATGCAT >hg38_chr13:96123139-96123149(-) tcacaatgtgt >hg38_chr13:96155038-96155048(+) gcactatggaa >hg38_chr13:96155072-96155082(-) gaacaatatat >hg38_chr13:96216189-96216199(-) Caacaatggtt >hg38_chr13:96315075-96315085(-) TAACAATTGTA >hg38_chr13:96394704-96394714(-) CAACAATAGGA >hg38_chr13:96394737-96394747(-) AGACAATGTAC >hg38_chr13:96501888-96501898(+) ATACAATTGTA >hg38_chr13:96501922-96501932(+) AAACAATGAGT >hg38_chr13:96507328-96507338(-) AAACAATGTCT >hg38_chr13:96629480-96629490(-) gaacaaaggca >hg38_chr13:96712831-96712841(+) AAACAATGCAG >hg38_chr13:96728558-96728568(-) GCACAATGCAA >hg38_chr13:96868590-96868600(+) gaacaatagca >hg38_chr13:96942691-96942701(-) CAACAATGGGG >hg38_chr13:96956382-96956392(-) tcacaatagta >hg38_chr13:96991123-96991133(+) AAACAATAGTT >hg38_chr13:97004209-97004219(+) ggataatggaa >hg38_chr13:97004248-97004258(+) taacaatgctg >hg38_chr13:97004694-97004704(-) ggacaatggag >hg38_chr13:97092179-97092189(-) atacaatagaG >hg38_chr13:97092601-97092611(+) GAACAATGCAA >hg38_chr13:97092614-97092624(-) TCACAATGTGA >hg38_chr13:97113672-97113682(-) ATACTATGGCA >hg38_chr13:97114817-97114827(+) ggacaatgaca >hg38_chr13:97116806-97116816(-) AAACAATGGGT >hg38_chr13:97116856-97116866(+) TAACAATGATG >hg38_chr13:97141703-97141713(-) GCACAATCGTC >hg38_chr13:97227503-97227513(+) ttacaaagggc >hg38_chr13:97240616-97240626(-) GAACAATGGGA >hg38_chr13:97244562-97244572(-) AAACAAAGGCA >hg38_chr13:97244572-97244582(+) TTACAATGTAG >hg38_chr13:97247056-97247066(-) AGACAATAGGT >hg38_chr13:97249261-97249271(-) AAACAGTGGAA >hg38_chr13:97249306-97249316(-) GAACAATAGGT >hg38_chr13:97251598-97251608(-) aaacaatgcaa >hg38_chr13:97251608-97251618(-) taacaatacaa >hg38_chr13:97277027-97277037(+) CTACAATGCAA >hg38_chr13:97295452-97295462(-) ACATAATGGTT >hg38_chr13:97309211-97309221(+) ggacaatggaa >hg38_chr13:97332782-97332792(-) TAACAATGGGT >hg38_chr13:97333807-97333817(+) CCACAATAGAT >hg38_chr13:97353130-97353140(-) AAACTATGGTA >hg38_chr13:97353196-97353206(-) TGACAATGCTG >hg38_chr13:97353843-97353853(-) GAACAATCGAA >hg38_chr13:97359699-97359709(+) ATACAGTGGGC >hg38_chr13:97391384-97391394(-) TAACAATGTTT >hg38_chr13:97424575-97424585(-) TAACAATGTGA >hg38_chr13:97455697-97455707(-) taacaaaggtt >hg38_chr13:97504957-97504967(-) TTACAATGCCC >hg38_chr13:97575978-97575988(+) GAACAATGGAG >hg38_chr13:97576040-97576050(-) agacaataaaa >hg38_chr13:97615435-97615445(+) GAACTATGGAC >hg38_chr13:97621711-97621721(+) GGACGATGGTA >hg38_chr13:97779540-97779550(+) TCACAATGATC >hg38_chr13:97811097-97811107(-) gcacaatgcat >hg38_chr13:97811115-97811125(+) ttacaatggct >hg38_chr13:97896048-97896058(+) atacaatggaa >hg38_chr13:97911651-97911661(+) AGACAATGACA >hg38_chr13:97930841-97930851(-) ggacaatggat >hg38_chr13:97930864-97930874(+) atacaatatgc >hg38_chr13:97978740-97978750(-) TGACAATAGAG >hg38_chr13:97978786-97978796(-) AGACACTGGTA >hg38_chr13:97979506-97979516(+) ATACAATAACG >hg38_chr13:97999714-97999724(+) AGACAATAGAA >hg38_chr13:98009604-98009614(-) TGATAATGGTT >hg38_chr13:98018509-98018519(+) AGACAATGGGG >hg38_chr13:98033737-98033747(+) GAACAATAGAA >hg38_chr13:98057817-98057827(+) caacaatgaca >hg38_chr13:98063375-98063385(+) gaacaatagag >hg38_chr13:98098039-98098049(-) GCACAATGAGG >hg38_chr13:98143509-98143519(+) GAACAATGAcc >hg38_chr13:98164671-98164681(-) CAACAATGAAG >hg38_chr13:98173590-98173600(-) AAACAATGCTT >hg38_chr13:98180901-98180911(-) atacaattgat >hg38_chr13:98199394-98199404(-) GCACAATATCG >hg38_chr13:98239880-98239890(-) AAACAATAGCA >hg38_chr13:98273765-98273775(+) CCACAATGAAC >hg38_chr13:98291951-98291961(+) AAACAATAGCT >hg38_chr13:98332840-98332850(+) TGACAATGGGA >hg38_chr13:98338596-98338606(-) tcacaatagcc >hg38_chr13:98374109-98374119(-) ATACAAAGGAC >hg38_chr13:98445441-98445451(+) TAACAATGCTC >hg38_chr13:98476209-98476219(+) TAACAAAGGCT >hg38_chr13:98478897-98478907(-) AAACAATGCAG >hg38_chr13:98488391-98488401(+) acacaaaggat >hg38_chr13:98488429-98488439(-) ttacaatagga >hg38_chr13:98515776-98515786(+) CAACAATGAAG >hg38_chr13:98516272-98516282(+) CCACAATGGCC >hg38_chr13:98524838-98524848(-) GGACAATAGTA >hg38_chr13:98524878-98524888(+) GTACAATAAAG >hg38_chr13:98583042-98583052(+) GAACAATGGAA >hg38_chr13:98615032-98615042(+) tcacaatagcc >hg38_chr13:98688498-98688508(-) CTACAATGCCA >hg38_chr13:98688535-98688545(-) TCACAATGAGT >hg38_chr13:98693884-98693894(-) gaacaaaggga >hg38_chr13:98699433-98699443(+) gcacaatgcat >hg38_chr13:98738355-98738365(+) agacaatgggg >hg38_chr13:98778975-98778985(-) aaacaatgagt >hg38_chr13:98834426-98834436(-) CAACAATGAGC >hg38_chr13:98843034-98843044(+) CTACAAAGGAT >hg38_chr13:98852707-98852717(-) AAACAATGTCG >hg38_chr13:98874348-98874358(-) acacaatagaa >hg38_chr13:98910718-98910728(-) GAACAATGAGG >hg38_chr13:98921934-98921944(+) AGACAATGTCC >hg38_chr13:98921980-98921990(-) GAACAATGCTC >hg38_chr13:98948247-98948257(+) GTACAATGCTG >hg38_chr13:98971879-98971889(-) AGACAATGGTG >hg38_chr13:98972989-98972999(-) CTACAATGCTC >hg38_chr13:98976633-98976643(+) GAACAATGAAT >hg38_chr13:98976653-98976663(+) AAACAATGTAG >hg38_chr13:98977076-98977086(-) GTACAATAAAG >hg38_chr13:98978172-98978182(+) GAACAATACAC >hg38_chr13:99023183-99023193(+) tcacaatagtc >hg38_chr13:99150736-99150746(-) CGACAATGAAT >hg38_chr13:99151461-99151471(-) ATACAATATAG >hg38_chr13:99151496-99151506(-) atacaatacaC >hg38_chr13:99151501-99151511(-) atacaatacaa >hg38_chr13:99151506-99151516(-) atacaatacaa >hg38_chr13:99151511-99151521(-) atacaatacaa >hg38_chr13:99151516-99151526(-) atacaatacaa >hg38_chr13:99151521-99151531(-) atacaatacaa >hg38_chr13:99151526-99151536(-) atacaatacaa >hg38_chr13:99151531-99151541(-) atacaatacaa >hg38_chr13:99158942-99158952(-) taacaacggca >hg38_chr13:99218721-99218731(-) AAACACTGGAA >hg38_chr13:99246232-99246242(+) TAATAATGTAT >hg38_chr13:99313635-99313645(+) AGACAAAGGGA >hg38_chr13:99411157-99411167(-) caacaatgcag >hg38_chr13:99411208-99411218(-) atacaatggaa >hg38_chr13:99417875-99417885(-) TGACAATGACG >hg38_chr13:99432769-99432779(+) agacaatagtg >hg38_chr13:99437291-99437301(-) acacaatagcg >hg38_chr13:99437302-99437312(-) taacaatagtt >hg38_chr13:99469122-99469132(-) gcacaatgcca >hg38_chr13:99531750-99531760(-) AGACAAAGGAG >hg38_chr13:99546206-99546216(+) AAACAATAGGG >hg38_chr13:99613629-99613639(+) GAACAATGGAA >hg38_chr13:99617868-99617878(-) gcacaaaggga >hg38_chr13:99660270-99660280(-) ACACAATGCTT >hg38_chr13:99660296-99660306(+) GAACAGTGGTA >hg38_chr13:99661932-99661942(-) AAACAAAGGGA >hg38_chr13:99664601-99664611(-) GGACAATAATA >hg38_chr13:99664629-99664639(+) AAACAATAAAT >hg38_chr13:99678465-99678475(-) CAACAATGTAG >hg38_chr13:99687933-99687943(+) GAACAATGCAA >hg38_chr13:99693992-99694002(+) TTACAATAGGT >hg38_chr13:99703018-99703028(-) GGACAATGAAT >hg38_chr13:99704112-99704122(-) TAACAATATAA >hg38_chr13:99709443-99709453(-) GGACAAAGGCA >hg38_chr13:99722278-99722288(-) AAACAATAAGT >hg38_chr13:99725396-99725406(+) TAACAATGTGA >hg38_chr13:99743322-99743332(+) GAACAAAGGGA >hg38_chr13:99746213-99746223(-) TAACCATGGAT >hg38_chr13:99746961-99746971(-) ACACAATGCGA >hg38_chr13:99749836-99749846(-) TTACTATGGCA >hg38_chr13:99865006-99865016(+) TAACAATGTAT >hg38_chr13:99865029-99865039(+) TGACAATGGTT >hg38_chr13:99865045-99865055(+) TGACAATGGAA >hg38_chr13:99870725-99870735(-) CAACAATGGAA >hg38_chr13:99871103-99871113(-) CGACAATATTT >hg38_chr13:99878387-99878397(-) AAACAATGAAT >hg38_chr13:99892403-99892413(-) GAACAATGGCT >hg38_chr13:99896272-99896282(-) GCACAAAGGCG >hg38_chr13:99951181-99951191(+) AGACAATGGTT >hg38_chr13:99959508-99959518(-) AAACAATAGTT >hg38_chr13:99960121-99960131(+) TGACAAAGGCG >hg38_chr13:99962034-99962044(-) TGACAATGAGC >hg38_chr13:99973450-99973460(+) AGACAATGCTA >hg38_chr13:99981305-99981315(+) GAACAATAACC >hg38_chr13:99984006-99984016(-) GAACAATAGGC >hg38_chr13:99987044-99987054(-) AGATAATGGAG >hg38_chr13:99993215-99993225(+) TCACAATGTAT >hg38_chr13:99996422-99996432(+) ACACAATGTAT >hg38_chr13:99996444-99996454(-) CAACAATGTAT >hg38_chr13:99996633-99996643(+) AAACAATAGAA >hg38_chr13:100019965-100019975(+) agacaatgaga >hg38_chr13:100041502-100041512(-) aaacaatgata >hg38_chr13:100068501-100068511(+) agacaataaaa >hg38_chr13:100089116-100089126(+) CAACAATGGCG >hg38_chr13:100091391-100091401(+) gtacaatgttt >hg38_chr13:100091403-100091413(+) acacaataggt >hg38_chr13:100091424-100091434(+) TAACAATAGAG >hg38_chr13:100129893-100129903(-) taacaatgcta >hg38_chr13:100139103-100139113(-) ctactatggat >hg38_chr13:100148725-100148735(-) AAACAAAGGTG >hg38_chr13:100157018-100157028(-) CCACAATGGGG >hg38_chr13:100157032-100157042(+) GGACAAAGGTA >hg38_chr13:100157066-100157076(+) GGACAAAGGGA >hg38_chr13:100175626-100175636(-) CCACAATGAAA >hg38_chr13:100211592-100211602(-) gaacaatcggg >hg38_chr13:100235417-100235427(+) AAACAATAGAG >hg38_chr13:100239109-100239119(+) ACataatggta >hg38_chr13:100239987-100239997(-) tgacaatgcct >hg38_chr13:100251542-100251552(-) GCACAATGATT >hg38_chr13:100251556-100251566(+) GAACAATGTAG >hg38_chr13:100287323-100287333(-) atacaatAAGC >hg38_chr13:100301670-100301680(+) AAACAATGAGG >hg38_chr13:100312641-100312651(-) aaacaatgttg >hg38_chr13:100327430-100327440(-) atacaatggaa >hg38_chr13:100348322-100348332(+) AAACAATAGTG >hg38_chr13:100349873-100349883(-) ACACAATGACT >hg38_chr13:100349890-100349900(-) ATACAATACAA >hg38_chr13:100358012-100358022(-) TAACCATGGTA >hg38_chr13:100359535-100359545(+) caacaatggga >hg38_chr13:100368553-100368563(+) AAACAATGGGT >hg38_chr13:100383882-100383892(-) AAACAATGCGT >hg38_chr13:100391916-100391926(-) TAACAATAACC >hg38_chr13:100392730-100392740(-) ACACAATGGAA >hg38_chr13:100394986-100394996(-) ACACAATGCAT >hg38_chr13:100438941-100438951(+) aaacaatggaa >hg38_chr13:100438979-100438989(+) aaacaatggag >hg38_chr13:100462817-100462827(-) TGACAATGGGC >hg38_chr13:100473319-100473329(+) AAACAATAGGC >hg38_chr13:100480463-100480473(+) TGACAGTGGTA >hg38_chr13:100499045-100499055(-) ccacaataacg >hg38_chr13:100499691-100499701(-) ACATAATGGGA >hg38_chr13:100513362-100513372(+) GAACAATAAAC >hg38_chr13:100514670-100514680(-) AAACAATCGGG >hg38_chr13:100514755-100514765(-) AGACAATAACA >hg38_chr13:100514940-100514950(-) AGACAATGGGG >hg38_chr13:100550472-100550482(-) CTATAATGGTG >hg38_chr13:100630721-100630731(-) GAACAAAGGAA >hg38_chr13:100668587-100668597(+) TAACAATAGCT >hg38_chr13:100673495-100673505(+) ACACAATCGTT >hg38_chr13:100681186-100681196(+) gaacaatgcta >hg38_chr13:100789200-100789210(-) CAACAATGGGT >hg38_chr13:100789241-100789251(-) agacaaaggac >hg38_chr13:100808476-100808486(-) GCACAATAGGG >hg38_chr13:100808511-100808521(-) TAACAATGAAC >hg38_chr13:100809257-100809267(+) aaacaaaggga >hg38_chr13:100824888-100824898(+) caacaatgaag >hg38_chr13:100824911-100824921(+) ATACAATGCAC >hg38_chr13:100825972-100825982(+) ACACAATAAAA >hg38_chr13:100834515-100834525(+) gaacaatatga >hg38_chr13:100834568-100834578(+) TGACAATGGTC >hg38_chr13:100875496-100875506(+) GCACAATAGGT >hg38_chr13:100880486-100880496(+) ggacaatgctt >hg38_chr13:100958642-100958652(-) taacaatagat >hg38_chr13:101002635-101002645(-) tgacaatgacc >hg38_chr13:101017337-101017347(-) CAACAATGGAG >hg38_chr13:101049396-101049406(+) GGACAATAGTC >hg38_chr13:101053352-101053362(+) ATACATTGGTA >hg38_chr13:101053392-101053402(+) CAACAATGATG >hg38_chr13:101053555-101053565(-) CTACAATGAAC >hg38_chr13:101065432-101065442(-) CCACAATGGCG >hg38_chr13:101116659-101116669(+) ACACAATACCG >hg38_chr13:101116935-101116945(+) TGACAATGTGA >hg38_chr13:101119014-101119024(+) GAACAATAATT >hg38_chr13:101185680-101185690(-) CCACAATGAAA >hg38_chr13:101239266-101239276(-) GGACAATGACA >hg38_chr13:101243502-101243512(-) ACACAAAGGGA >hg38_chr13:101284200-101284210(+) GAACAATGata >hg38_chr13:101295224-101295234(+) TAACACTGGAC >hg38_chr13:101320081-101320091(-) GGACAATAGAA >hg38_chr13:101347888-101347898(+) TTACAATAATA >hg38_chr13:101382230-101382240(+) TTACAATGAGG >hg38_chr13:101382246-101382256(+) TTACAATGTTA >hg38_chr13:101382282-101382292(-) ACACAAAGGGA >hg38_chr13:101393011-101393021(+) agacaatagaa >hg38_chr13:101399958-101399968(+) ATACAATGTGA >hg38_chr13:101416365-101416375(+) CGACAGTGGGC >hg38_chr13:101443946-101443956(+) aaacaataata >hg38_chr13:101465016-101465026(+) AAACAATGACG >hg38_chr13:101492797-101492807(-) agacaatatac >hg38_chr13:101513109-101513119(+) GTACTATGGCA >hg38_chr13:101517785-101517795(+) TTACAATGGGT >hg38_chr13:101522810-101522820(-) AAACAATGTCT >hg38_chr13:101536625-101536635(-) GCACAATGAAT >hg38_chr13:101536656-101536666(+) GTACAATATGT >hg38_chr13:101572420-101572430(-) GTACAGTGGTG >hg38_chr13:101574303-101574313(-) AGACAATAGCA >hg38_chr13:101579451-101579461(+) ACATAATGGGG >hg38_chr13:101590598-101590608(-) TAACAATAACA >hg38_chr13:101590625-101590635(-) GAACAATAACA >hg38_chr13:101609638-101609648(-) TAACAATAGCA >hg38_chr13:101614153-101614163(+) GGACAATGATT >hg38_chr13:101634744-101634754(+) aaacaaaggaa >hg38_chr13:101635587-101635597(-) GAACAATAGCA >hg38_chr13:101662039-101662049(-) AAACAATGATA >hg38_chr13:101662098-101662108(-) AGACAATGAAA >hg38_chr13:101680759-101680769(-) gcataatggga >hg38_chr13:101708182-101708192(-) TTACAATGGCA >hg38_chr13:101709605-101709615(-) TGACAATGCCA >hg38_chr13:101727279-101727289(+) TTACAAAGGAA >hg38_chr13:101728215-101728225(-) TAACAAAGGCT >hg38_chr13:101756036-101756046(-) TAACAATGGCA >hg38_chr13:101760384-101760394(-) TAACAATAGGT >hg38_chr13:101772041-101772051(-) CAACAATGCCT >hg38_chr13:101857030-101857040(-) AAACAATGACA >hg38_chr13:101882295-101882305(-) AGACAATGTAA >hg38_chr13:101938997-101939007(-) gtacaatgttt >hg38_chr13:102054422-102054432(-) AAACAGTGGTA >hg38_chr13:102054449-102054459(+) AGACAATGAAT >hg38_chr13:102131018-102131028(-) CCACAATGCAA >hg38_chr13:102170579-102170589(+) CGACAATGACC >hg38_chr13:102193753-102193763(+) gaacaatagca >hg38_chr13:102196149-102196159(+) ATACAATAGCT >hg38_chr13:102196183-102196193(+) TGACAATCGTC >hg38_chr13:102212861-102212871(-) TGACAATAGAC >hg38_chr13:102227805-102227815(-) ACACAATGGGA >hg38_chr13:102249439-102249449(+) GAACAATGGGA >hg38_chr13:102278392-102278402(+) ATACAGTGGTA >hg38_chr13:102289754-102289764(+) agacaatgctc >hg38_chr13:102320825-102320835(-) TCACAATGAAC >hg38_chr13:102341447-102341457(+) agacaatagca >hg38_chr13:102376572-102376582(+) ACACAATGGTC >hg38_chr13:102402020-102402030(-) ACACAATGGCA >hg38_chr13:102429643-102429653(-) atacaatgaaa >hg38_chr13:102487885-102487895(-) AGACAATAGAG >hg38_chr13:102495082-102495092(+) acacaataggg >hg38_chr13:102495142-102495152(+) aaacaaaggag >hg38_chr13:102508603-102508613(+) aaacaatagtt >hg38_chr13:102518245-102518255(+) ATATAATGGCC >hg38_chr13:102535879-102535889(+) AGACAATGGGG >hg38_chr13:102596296-102596306(+) CTACAAAGGCG >hg38_chr13:102651549-102651559(-) CAACAATGCTT >hg38_chr13:102666920-102666930(+) CGACACTGGGT >hg38_chr13:102705343-102705353(+) AAACAATGAGT >hg38_chr13:102707643-102707653(+) TAACGATGGCA >hg38_chr13:102739009-102739019(-) ATACAATGGAA >hg38_chr13:102761880-102761890(+) tAACAATGATG >hg38_chr13:102798932-102798942(+) GGACAATGATG >hg38_chr13:102812830-102812840(+) caacaatggct >hg38_chr13:102826607-102826617(+) ATATAATGGAA >hg38_chr13:102847178-102847188(-) AAACAATAGTC >hg38_chr13:102916247-102916257(+) TAACTATGGTT >hg38_chr13:102948257-102948267(+) AAACAATGGAG >hg38_chr13:102948297-102948307(-) TCACAATGATT >hg38_chr13:102962537-102962547(-) ATACAATGGAG >hg38_chr13:102974518-102974528(-) TGATAATGGCT >hg38_chr13:102974562-102974572(-) CCACAATGAAT >hg38_chr13:102977779-102977789(+) TTACAATGAAA >hg38_chr13:102993400-102993410(-) caacaatgtat >hg38_chr13:103040325-103040335(+) CCACAATGACT >hg38_chr13:103040347-103040357(-) ATACAATATAA >hg38_chr13:103068227-103068237(-) GGACAATGGCA >hg38_chr13:103070295-103070305(-) ACACAATAGAA >hg38_chr13:103113857-103113867(+) GAACAATAGTA >hg38_chr13:103148889-103148899(-) ACACAATGAAT >hg38_chr13:103165859-103165869(+) aaacaaaggtc >hg38_chr13:103203709-103203719(-) ggacaaaggag >hg38_chr13:103351474-103351484(-) GAACAATGACA >hg38_chr13:103353240-103353250(-) ACACAAAGGAC >hg38_chr13:103387989-103387999(-) GCACAATGGAG >hg38_chr13:103397150-103397160(+) acacaatggtg >hg38_chr13:103432288-103432298(+) TGACAATAACG >hg38_chr13:103516118-103516128(+) ggacaaaggca >hg38_chr13:103516162-103516172(-) ggacaatggga >hg38_chr13:103562037-103562047(+) TAACAATGTGC >hg38_chr13:103572305-103572315(-) gtacaatattc >hg38_chr13:103715881-103715891(-) ACACAATGGCT >hg38_chr13:103926196-103926206(-) GAACAATGACA >hg38_chr13:104008735-104008745(-) GCACAATGCAT >hg38_chr13:104024264-104024274(+) CAACAATGATT >hg38_chr13:104090473-104090483(+) AAACAAAGGTA >hg38_chr13:104181236-104181246(+) ACACAATGCAT >hg38_chr13:104241375-104241385(+) AGATAATGGTT >hg38_chr13:104256790-104256800(-) ATACAATAAAC >hg38_chr13:104288860-104288870(+) ACACAATGAGA >hg38_chr13:104447740-104447750(+) ATACAATATCC >hg38_chr13:104463706-104463716(-) aaacaatgaag >hg38_chr13:104534863-104534873(+) gaacaatgggg >hg38_chr13:104553241-104553251(-) ACACAATGAAT >hg38_chr13:104553300-104553310(-) TCACAATAGAT >hg38_chr13:104571690-104571700(+) GAACAATGGCA >hg38_chr13:104597535-104597545(-) TGACAATGTGC >hg38_chr13:104732973-104732983(+) ATACAAAGGAA >hg38_chr13:104732985-104732995(-) TGACAATGGCA >hg38_chr13:104735081-104735091(-) AGACAATGCTG >hg38_chr13:104748593-104748603(-) AAACAATGATG >hg38_chr13:104779740-104779750(+) TTACAATATAA >hg38_chr13:104811651-104811661(-) ttacaaaggta >hg38_chr13:104814136-104814146(-) TGACAATAGCA >hg38_chr13:105032832-105032842(+) AGACAATAGAA >hg38_chr13:105117192-105117202(+) ACACAATGTAG >hg38_chr13:105117218-105117228(-) CAACAATAGCC >hg38_chr13:105117857-105117867(+) TCACAATGCTC >hg38_chr13:105137420-105137430(-) taacaatgcac >hg38_chr13:105196409-105196419(-) taacaatatga >hg38_chr13:105273351-105273361(-) acacaatagtg >hg38_chr13:105279294-105279304(-) GCACAATAGCA >hg38_chr13:105282713-105282723(-) GAACAATGCGC >hg38_chr13:105283055-105283065(+) AAACAGTGGAA >hg38_chr13:105283068-105283078(-) TGACAATGACC >hg38_chr13:105286947-105286957(+) CAACAATGAAA >hg38_chr13:105298055-105298065(-) AGATAATGACG >hg38_chr13:105318163-105318173(+) GTACAATAAAA >hg38_chr13:105318485-105318495(-) ACACAATGTAT >hg38_chr13:105318496-105318506(-) TCACAATGCAA >hg38_chr13:105318548-105318558(-) AAACAATGAAG >hg38_chr13:105364064-105364074(-) GAACAAAGGCT >hg38_chr13:105424947-105424957(+) CAACAATGGAC >hg38_chr13:105488417-105488427(+) ACACAATGCTA >hg38_chr13:105488963-105488973(+) ggataatggga >hg38_chr13:105501494-105501504(-) AAACAATGGCA >hg38_chr13:105513560-105513570(+) AGACAATAGGA >hg38_chr13:105526378-105526388(-) ACACAATGGGA >hg38_chr13:105526410-105526420(-) AGACAATGAAT >hg38_chr13:105576999-105577009(+) GAACAAAGGTT >hg38_chr13:105589943-105589953(-) GGACAATGTTA >hg38_chr13:105622309-105622319(+) TAACAATGAAA >hg38_chr13:105648464-105648474(-) taacaatggcc >hg38_chr13:105659712-105659722(+) gaataatggtt >hg38_chr13:105720070-105720080(+) GTACAATAAGC >hg38_chr13:105760682-105760692(-) GAACAATGCAG >hg38_chr13:105780352-105780362(+) ATACACTGGTT >hg38_chr13:105814403-105814413(+) TTACAAGGGCG >hg38_chr13:105817200-105817210(-) TGACTATGGGA >hg38_chr13:105878416-105878426(+) AAACAATGGTG >hg38_chr13:105920673-105920683(+) ACACAATGCCT >hg38_chr13:105954784-105954794(+) GAACAATGGAC >hg38_chr13:105992146-105992156(+) TGACAATGGAG >hg38_chr13:105996849-105996859(+) AAACAATGCGT >hg38_chr13:105997727-105997737(+) GAACAATAAAG >hg38_chr13:106020579-106020589(+) GTATAATGGAT >hg38_chr13:106020607-106020617(+) AGACAATGTTG >hg38_chr13:106029132-106029142(+) AAACAATGCAA >hg38_chr13:106029154-106029164(-) ctacaataggc >hg38_chr13:106038299-106038309(-) ggacaatggag >hg38_chr13:106044951-106044961(-) AAACAATGACT >hg38_chr13:106045866-106045876(+) GAACAATGATC >hg38_chr13:106057245-106057255(-) caacaatggtg >hg38_chr13:106086382-106086392(+) AAACAATAGTT >hg38_chr13:106086439-106086449(-) AAACAATGCCA >hg38_chr13:106086452-106086462(+) AAACAATAAGA >hg38_chr13:106089995-106090005(+) TAACAATAAGA >hg38_chr13:106098898-106098908(-) GGACAATGATG >hg38_chr13:106098948-106098958(+) TCACAATGGGA >hg38_chr13:106100779-106100789(-) AAACAAAGGTG >hg38_chr13:106116983-106116993(+) AGACAATGCAA >hg38_chr13:106129558-106129568(+) CTACAATGATC >hg38_chr13:106129567-106129577(+) TCACAATATAT >hg38_chr13:106146332-106146342(-) ACATAATGGGA >hg38_chr13:106177317-106177327(-) tgacaatgcat >hg38_chr13:106202185-106202195(+) caacaatgctc >hg38_chr13:106213189-106213199(-) GAACAATGGGG >hg38_chr13:106243401-106243411(+) tcacaatagcc >hg38_chr13:106246433-106246443(+) ACACAAAGGAC >hg38_chr13:106246859-106246869(+) TGACAATGTAA >hg38_chr13:106340407-106340417(-) tcacaatgtgt >hg38_chr13:106340432-106340442(+) gaacaaagacg >hg38_chr13:106340485-106340495(+) agacaatggaa >hg38_chr13:106349026-106349036(-) ACACAATGGTT >hg38_chr13:106349709-106349719(-) AGACAAAGGCA >hg38_chr13:106349720-106349730(-) TAACAAAGGGA >hg38_chr13:106350911-106350921(-) AAATAATGCGT >hg38_chr13:106350945-106350955(+) AAACAATAGAT >hg38_chr13:106352732-106352742(+) atacaatggaa >hg38_chr13:106403227-106403237(-) AGACAATATAT >hg38_chr13:106416166-106416176(+) GTACAATACAC >hg38_chr13:106416994-106417004(+) taacaaaggga >hg38_chr13:106417002-106417012(+) ggacaatgCCA >hg38_chr13:106423523-106423533(+) AAACAAAGGTT >hg38_chr13:106423550-106423560(+) AAACAAAGGCC >hg38_chr13:106441049-106441059(+) GAACAATGCCC >hg38_chr13:106467866-106467876(+) TTACAATGTTT >hg38_chr13:106467883-106467893(-) AGATAATGGAA >hg38_chr13:106513355-106513365(+) AAACAATGGGT >hg38_chr13:106520381-106520391(+) TGACAAAGGAT >hg38_chr13:106530156-106530166(+) AGACAATGAAG >hg38_chr13:106531554-106531564(+) ACACAATGGAA >hg38_chr13:106541886-106541896(+) ACACAAAGGCA >hg38_chr13:106541924-106541934(-) CAACAATGTAG >hg38_chr13:106553604-106553614(+) aaacaatggct >hg38_chr13:106559934-106559944(+) GAACAATAAAT >hg38_chr13:106560650-106560660(-) gaacaatatat >hg38_chr13:106562075-106562085(-) gaacaaaggga >hg38_chr13:106565666-106565676(-) AAACAATGGAA >hg38_chr13:106565680-106565690(-) AAACAATGCAA >hg38_chr13:106617762-106617772(-) gaacaatggac >hg38_chr13:106634945-106634955(-) CAACAATGGGA >hg38_chr13:106661387-106661397(+) GGACAATGACA >hg38_chr13:106661395-106661405(-) ACACAATGTGT >hg38_chr13:106661442-106661452(+) GCATAATGGGA >hg38_chr13:106664608-106664618(-) TAACACTGGGA >hg38_chr13:106680971-106680981(+) GAACAATAAGA >hg38_chr13:106681252-106681262(-) TCACAATAGGA >hg38_chr13:106681936-106681946(+) GTATAATGGCA >hg38_chr13:106752848-106752858(-) AAACAATGGGC >hg38_chr13:106753001-106753011(-) TCACAATGGAA >hg38_chr13:106753019-106753029(-) TTACAATGTCC >hg38_chr13:106753060-106753070(-) GAACAATCGCC >hg38_chr13:106835623-106835633(+) TAACAATGAGT >hg38_chr13:106835665-106835675(+) ATACAATAGAA >hg38_chr13:106872513-106872523(+) CTACAAAGGTA >hg38_chr13:106898108-106898118(+) caacaatgagc >hg38_chr13:106913859-106913869(-) GAACAATAAAA >hg38_chr13:106918903-106918913(+) GAACAATGCTC >hg38_chr13:106919649-106919659(-) GGACAATATAT >hg38_chr13:106919657-106919667(-) CAACAATGGGA >hg38_chr13:106919961-106919971(-) AGACAATAAAC >hg38_chr13:106920008-106920018(+) CGACAATGGGG >hg38_chr13:106986313-106986323(+) CAACAATGCGG >hg38_chr13:107008494-107008504(+) TAACAAAGGAA >hg38_chr13:107009630-107009640(+) GCACAATAGGT >hg38_chr13:107030632-107030642(-) CGACCATGGGA >hg38_chr13:107031324-107031334(+) ctacaatgtta >hg38_chr13:107110752-107110762(-) taacaatggcc >hg38_chr13:107125683-107125693(+) GTATAATGGGG >hg38_chr13:107133742-107133752(-) tcacaatgggt >hg38_chr13:107156598-107156608(+) GCACAATGCCT >hg38_chr13:107181881-107181891(-) AAACAATAACA >hg38_chr13:107235960-107235970(-) CTACAATAGTG >hg38_chr13:107271475-107271485(+) ggacaatggaa >hg38_chr13:107305183-107305193(+) CTACAATGTGT >hg38_chr13:107342954-107342964(+) caacaatggat >hg38_chr13:107356954-107356964(-) GTAGAATGGTA >hg38_chr13:107359956-107359966(+) TTACTATGGGC >hg38_chr13:107377909-107377919(-) GAACAATGGCT >hg38_chr13:107377960-107377970(-) AAACAAAGGAT >hg38_chr13:107458440-107458450(-) CGACAGTGGTT >hg38_chr13:107512741-107512751(-) tgacaatgacc >hg38_chr13:107513669-107513679(-) ggacaatgtga >hg38_chr13:107516320-107516330(-) ACACAATGCTT >hg38_chr13:107562241-107562251(-) TGACAATGAAG >hg38_chr13:107563312-107563322(-) TCACAACGGAT >hg38_chr13:107572354-107572364(-) ttataatgggc >hg38_chr13:107581217-107581227(-) AGACAATGACA >hg38_chr13:107682456-107682466(-) GCACAATGCTG >hg38_chr13:107712429-107712439(+) GAACAATAAAC >hg38_chr13:107752512-107752522(+) gaataatggaa >hg38_chr13:107767381-107767391(-) GGACAATCGGT >hg38_chr13:107770689-107770699(-) TTACAATAGGC >hg38_chr13:107770740-107770750(-) TTACAATGAGC >hg38_chr13:107817926-107817936(-) taacaataaat >hg38_chr13:107821821-107821831(-) TAACAATGACA >hg38_chr13:107832677-107832687(-) ccacaatggac >hg38_chr13:107842576-107842586(+) TCACAATGCCT >hg38_chr13:107864766-107864776(-) CAACAATAGGC >hg38_chr13:107910496-107910506(+) TAACAATGGAT >hg38_chr13:107977353-107977363(+) GAACTATGGAC >hg38_chr13:108005356-108005366(+) GAACAATAGTT >hg38_chr13:108165530-108165540(-) GTATAATGTAT >hg38_chr13:108165549-108165559(+) ACACAATGATA >hg38_chr13:108192619-108192629(+) acacaataata >hg38_chr13:108197897-108197907(-) AGACAATGGTT >hg38_chr13:108213988-108213998(+) TAACAATAAGC >hg38_chr13:108214033-108214043(+) ACACAATAACG >hg38_chr13:108223452-108223462(+) acacaatgtgt >hg38_chr13:108227074-108227084(-) GCACTATGGAA >hg38_chr13:108336531-108336541(+) TCACAATGAAT >hg38_chr13:108348935-108348945(-) CAACAATAGTA >hg38_chr13:108444680-108444690(-) CAACAATGAGG >hg38_chr13:108451306-108451316(-) CGACAATAAAG >hg38_chr13:108495251-108495261(-) GAACAAAGGCC >hg38_chr13:108560240-108560250(+) ATACACTGGGA >hg38_chr13:108614135-108614145(+) atacaatatcc >hg38_chr13:108614156-108614166(-) acacaatggat >hg38_chr13:108614302-108614312(-) caacaatagtt >hg38_chr13:108618381-108618391(-) GCACAATGCCT >hg38_chr13:108742848-108742858(+) GAACAATGGAC >hg38_chr13:108755161-108755171(-) ACACAATGACT >hg38_chr13:108778240-108778250(+) GAACAATGGGA >hg38_chr13:108786576-108786586(-) GAACAATGAGG >hg38_chr13:108788494-108788504(+) GCATAATGGAT >hg38_chr13:108788503-108788513(-) GTATAATGTAT >hg38_chr13:108815212-108815222(-) taacaatattc >hg38_chr13:108826677-108826687(+) gaacaataaaa >hg38_chr13:108865704-108865714(-) atacaaaggca >hg38_chr13:108865714-108865724(-) tgacaatgaga >hg38_chr13:108936914-108936924(-) acacaatagaa >hg38_chr13:108961726-108961736(-) GTACTATGTCG >hg38_chr13:108990219-108990229(-) taacaatgatg >hg38_chr13:108992676-108992686(+) AGACAATGCAA >hg38_chr13:109040026-109040036(+) atacaaaggga >hg38_chr13:109056531-109056541(-) CAACAATGTAC >hg38_chr13:109056597-109056607(+) AAATAATGGCC >hg38_chr13:109058197-109058207(+) GGACAATGTTT >hg38_chr13:109123284-109123294(+) GGACAATAGAC >hg38_chr13:109127187-109127197(-) ACATAATGACG >hg38_chr13:109147314-109147324(-) AAACAATGAAT >hg38_chr13:109147346-109147356(+) TTACAATGACT >hg38_chr13:109160859-109160869(-) GGACAATGGCA >hg38_chr13:109163020-109163030(+) GTATAATGGAG >hg38_chr13:109199407-109199417(-) GTACAAAGGAC >hg38_chr13:109243808-109243818(+) AAACAATAGAA >hg38_chr13:109249433-109249443(+) ttacaatggga >hg38_chr13:109249831-109249841(+) aaacaataaac >hg38_chr13:109261333-109261343(-) acacaatgtat >hg38_chr13:109275566-109275576(+) GAACAAAGGCG >hg38_chr13:109297417-109297427(+) AAACAATACTA >hg38_chr13:109297431-109297441(+) AAACAATGGAA >hg38_chr13:109302348-109302358(+) TATCAATGGTA >hg38_chr13:109307390-109307400(-) GGACAATGAGG >hg38_chr13:109355820-109355830(+) GAACAATGCTG >hg38_chr13:109357370-109357380(+) AGACAATGGTT >hg38_chr13:109357826-109357836(+) ggACAATGGAA >hg38_chr13:109369427-109369437(-) GAACAAAGGAG >hg38_chr13:109400485-109400495(+) ACACAAAGGAA >hg38_chr13:109440514-109440524(+) atacaatgcac >hg38_chr13:109517774-109517784(-) GGACAATGAAG >hg38_chr13:109537268-109537278(-) GAACAATGGGG >hg38_chr13:109548639-109548649(+) ATACAATGAAA >hg38_chr13:109563043-109563053(-) TAACAATATAT >hg38_chr13:109564480-109564490(-) acacaatggaa >hg38_chr13:109590137-109590147(+) AAACAAAGGCT >hg38_chr13:109592890-109592900(-) GTACAAAGGAC >hg38_chr13:109592902-109592912(-) TGACAATAATA >hg38_chr13:109592936-109592946(-) GTACAAAGGGT >hg38_chr13:109647906-109647916(+) tgacaatggga >hg38_chr13:109750369-109750379(+) agacaatggaa >hg38_chr13:109753913-109753923(+) ATACAATGCAC >hg38_chr13:109778482-109778492(-) AGACAATAGCG >hg38_chr13:109791577-109791587(+) ATACAGTGGTG >hg38_chr13:109801298-109801308(-) AGACAATGACA >hg38_chr13:109819075-109819085(-) TGACAATGCAT >hg38_chr13:109900892-109900902(-) GCACAATGGCC >hg38_chr13:109924119-109924129(-) ACACAATAGAA >hg38_chr13:109924762-109924772(-) atacaatatta >hg38_chr13:109925541-109925551(+) GTACAATAGGT >hg38_chr13:110036544-110036554(+) ACACAATGAAA >hg38_chr13:110036553-110036563(+) AAACAATGGGG >hg38_chr13:110070804-110070814(+) TAACAATATGT >hg38_chr13:110094785-110094795(+) ACACAATAGTG >hg38_chr13:110096095-110096105(+) AAACAATGGTC >hg38_chr13:110121635-110121645(-) acacaatgcag >hg38_chr13:110132588-110132598(-) GGACAAAGGAA >hg38_chr13:110134924-110134934(-) ACACAATGTCC >hg38_chr13:110137193-110137203(+) ggacaatgggg >hg38_chr13:110139122-110139132(+) GAACAATGAGT >hg38_chr13:110144136-110144146(-) gtacaatgtta >hg38_chr13:110187701-110187711(-) GCACAATGAAC >hg38_chr13:110187737-110187747(+) GAACAATCGCT >hg38_chr13:110191437-110191447(+) GAACAATGCCT >hg38_chr13:110267084-110267094(+) TCACAAAGGAA >hg38_chr13:110267136-110267146(+) GCACAATGAGC >hg38_chr13:110287236-110287246(+) CAACAATAGTA >hg38_chr13:110300752-110300762(+) AAACAATGGTA >hg38_chr13:110300774-110300784(+) GAACAATGTAC >hg38_chr13:110302748-110302758(+) TAAGAATGGTA >hg38_chr13:110302824-110302834(+) GAACAATGCTC >hg38_chr13:110308606-110308616(-) AAACAATACTA >hg38_chr13:110308636-110308646(+) GAACAATGGCA >hg38_chr13:110338919-110338929(+) GGACAATGTTT >hg38_chr13:110372153-110372163(-) AGACAATGGGA >hg38_chr13:110372862-110372872(-) AGACAATGGGA >hg38_chr13:110372900-110372910(-) GAACAATGACC >hg38_chr13:110382372-110382382(+) GAACAATGTGC >hg38_chr13:110409879-110409889(-) TAACAAAGGGC >hg38_chr13:110419491-110419501(-) AAACAAAGGTG >hg38_chr13:110454369-110454379(-) TTACAATGGAA >hg38_chr13:110466487-110466497(+) AGACAAAGGGC >hg38_chr13:110486248-110486258(-) GAACAAAGGCT >hg38_chr13:110486310-110486320(+) TAACAATGGTG >hg38_chr13:110547060-110547070(+) AAACAATAGAA >hg38_chr13:110579769-110579779(-) atacaataaaa >hg38_chr13:110590118-110590128(+) ataccatggaa >hg38_chr13:110617394-110617404(-) TGACAATAGGC >hg38_chr13:110680994-110681004(-) CAACAATGGCA >hg38_chr13:110712896-110712906(+) ACACAAAGGGA >hg38_chr13:110818150-110818160(-) aaacaaaggaa >hg38_chr13:110826974-110826984(+) GTACAATGCCC >hg38_chr13:110826981-110826991(-) AGACAATGGGC >hg38_chr13:110842078-110842088(+) tgacaatagca >hg38_chr13:110862951-110862961(-) ggataatggcc >hg38_chr13:110886376-110886386(-) CCACAATAGAA >hg38_chr13:110908693-110908703(-) ACACAATAGCC >hg38_chr13:110916524-110916534(+) GTACAATGCTG >hg38_chr13:110977338-110977348(+) ctacaATGCAC >hg38_chr13:110978710-110978720(-) taacaatggac >hg38_chr13:111006171-111006181(-) gaacaatcggc >hg38_chr13:111155553-111155563(-) CGACAAAGGGT >hg38_chr13:111156526-111156536(-) ACACAATGACT >hg38_chr13:111177229-111177239(-) CCACAATGCac >hg38_chr13:111202486-111202496(+) AGACAATGGAA >hg38_chr13:111202903-111202913(-) AGACAATGAGA >hg38_chr13:111215143-111215153(+) atacaatgcgt >hg38_chr13:111218824-111218834(-) Aaacaatagca >hg38_chr13:111235949-111235959(+) GTATAATGGAG >hg38_chr13:111236008-111236018(+) AGACAATGAGA >hg38_chr13:111250176-111250186(+) AAACAATAGAA >hg38_chr13:111260894-111260904(-) aaacaatgtaa >hg38_chr13:111331231-111331241(-) ttacaatggct >hg38_chr13:111331258-111331268(-) ccacaatgaga >hg38_chr13:111332642-111332652(+) atacagtggta >hg38_chr13:111351089-111351099(-) gcacaatgcaa >hg38_chr13:111360382-111360392(+) TTACAATGGCA >hg38_chr13:111360435-111360445(+) TCACAATGGGG >hg38_chr13:111378235-111378245(-) caacaatgaaa >hg38_chr13:111429199-111429209(-) gaacaatgtag >hg38_chr13:111429237-111429247(-) CCACAATGTCC >hg38_chr13:111434588-111434598(+) GCACAATGGCT >hg38_chr13:111494772-111494782(+) tcacaatgctt >hg38_chr13:111510017-111510027(-) GGACAATAGAT >hg38_chr13:111584358-111584368(+) AGACACTGGCG >hg38_chr13:111586910-111586920(-) GAACAAAGACG >hg38_chr13:111597160-111597170(+) AAACACTGGAA >hg38_chr13:111617120-111617130(+) AGACAATGAGG >hg38_chr13:111634617-111634627(+) GTACGATGGTA >hg38_chr13:111658385-111658395(-) GCACAAAGGAA >hg38_chr13:111846134-111846144(-) AAACAATGAGC >hg38_chr13:111847368-111847378(-) taacaatgtat >hg38_chr13:111847380-111847390(+) taacaatgtag >hg38_chr13:111847395-111847405(-) gtacaacggtg >hg38_chr13:111847402-111847412(+) gtacaatagat >hg38_chr13:111853745-111853755(-) CTACAATGTCT >hg38_chr13:111972041-111972051(+) ACACAATAGGA >hg38_chr13:112061217-112061227(+) GCACAATAGAC >hg38_chr13:112074894-112074904(+) TGACAATGGGG >hg38_chr13:112074927-112074937(-) AAACAATGTCT >hg38_chr13:112096631-112096641(+) ACACAATGAAC >hg38_chr13:112102572-112102582(-) CCACAATGTCT >hg38_chr13:112106727-112106737(-) GGACAATGGGC >hg38_chr13:112124828-112124838(-) agacaataaaa >hg38_chr13:112145812-112145822(+) AGACGATGGCA >hg38_chr13:112145857-112145867(+) AGACAATAGAG >hg38_chr13:112159899-112159909(+) GGACAATATAC >hg38_chr13:112162075-112162085(+) CAACAATAGAC >hg38_chr13:112598423-112598433(+) aaacaatgtct >hg38_chr13:112608048-112608058(+) caacaatgctt >hg38_chr13:112651608-112651618(-) GCACAATAGCT >hg38_chr13:112691567-112691577(-) GAACAATGAAG >hg38_chr13:112694236-112694246(-) tcacaatagct >hg38_chr13:112702933-112702943(+) TGACTATGGAA >hg38_chr13:112702970-112702980(-) AAACAATGTAT >hg38_chr13:112733541-112733551(-) CAACAATAGAA >hg38_chr13:112736034-112736044(-) ACACAAAGGCA >hg38_chr13:112757238-112757248(+) GAACAAAGACG >hg38_chr13:112780753-112780763(+) ggacaatgggt >hg38_chr13:112849776-112849786(+) taacaatagag >hg38_chr13:112860155-112860165(-) TAACAATATAA >hg38_chr13:112902754-112902764(-) AGACAATAGCT >hg38_chr13:112946604-112946614(-) AAACAAtgacc >hg38_chr13:112957102-112957112(+) GGATAATGGTG >hg38_chr13:113013493-113013503(-) acaCAGTGGAA >hg38_chr13:113022891-113022901(+) TAACAATGATG >hg38_chr13:113023270-113023280(+) GAACAATTGTA >hg38_chr13:113098504-113098514(-) ATACAATAAAG >hg38_chr13:113098553-113098563(-) AGACAAAGGCA >hg38_chr13:113135615-113135625(-) cgacaatgtaa >hg38_chr13:113179372-113179382(+) tgacaatgggc >hg38_chr13:113205633-113205643(+) atacaatggaa >hg38_chr13:113216814-113216824(+) AAACAAAGGCT >hg38_chr13:113277904-113277914(+) ATACAATGAAC >hg38_chr13:113295841-113295851(-) agacaatgact >hg38_chr13:113298783-113298793(-) GAACAATGCAG >hg38_chr13:113391382-113391392(+) taacaatgcca >hg38_chr13:113450045-113450055(+) gaacaatgcct >hg38_chr13:113469043-113469053(+) ggacaatggag >hg38_chr13:113493523-113493533(-) AAACAATGAGG >hg38_chr13:113872811-113872821(-) aaacaatagta >hg38_chr13:113872827-113872837(+) aaacaatgctt >hg38_chr13:113911405-113911415(-) gtataatgata >hg38_chr13:113911412-113911422(+) atacaatgtcc >hg38_chr13:113935670-113935680(-) AAACAATGGTT >hg38_chr13:114045572-114045582(+) aaacaaaggcc >hg38_chr13:114071636-114071646(+) TGACAATGCCC >hg38_chr13:114078695-114078705(+) AAACAAAGGCC >hg38_chr13:114229623-114229633(+) CGACAATACCA >hg38_chr13:114230610-114230620(-) aaataatgggt >hg38_chr13:114265263-114265273(-) GTACAATACCA >hg38_chr13:114265525-114265535(+) CTATAATGGGA >hg38_chr13:114311944-114311954(+) CTACAATAGCT >hg38_chr13:114315510-114315520(-) gaacaatggtc >hg38_chr13:114319068-114319078(-) GTACAATAGTC >hg38_chr13:114319102-114319112(-) ATACAGTGGAA >hg38_chr14:19870604-19870614(-) GAACAATAGGT >hg38_chr14:19934484-19934494(-) AAACAATAACC >hg38_chr14:19934496-19934506(-) TAACAATAAAT >hg38_chr14:20214678-20214688(-) taataatggta >hg38_chr14:20289725-20289735(+) GAACACTGGAA >hg38_chr14:20316009-20316019(+) aaacaatggtt >hg38_chr14:20327377-20327387(-) TGACAAAGGAT >hg38_chr14:20328845-20328855(+) ATACAAAGGAC >hg38_chr14:20337018-20337028(-) gcacaatagtt >hg38_chr14:20343961-20343971(-) aaataatggtg >hg38_chr14:20344029-20344039(-) ttacaatggat >hg38_chr14:20352024-20352034(-) AAACAATGCTT >hg38_chr14:20355984-20355994(-) GCACAATGTAG >hg38_chr14:20455014-20455024(+) ACACAATGTGC >hg38_chr14:20467242-20467252(+) AGACAATGGAG >hg38_chr14:20483287-20483297(-) acacaatgtca >hg38_chr14:20499342-20499352(-) ttacaataaaa >hg38_chr14:20530305-20530315(+) aaacaatagtt >hg38_chr14:20671946-20671956(+) CTACAATAGGT >hg38_chr14:20675051-20675061(+) ttacaataaaa >hg38_chr14:20681080-20681090(-) AAACAATGAAA >hg38_chr14:20682064-20682074(+) AGACAATAGCC >hg38_chr14:20698238-20698248(+) ACACAATGATG >hg38_chr14:20728613-20728623(+) GAACAATAACA >hg38_chr14:20728637-20728647(+) GAACAATAATA >hg38_chr14:20749530-20749540(+) agacaatgtga >hg38_chr14:20772828-20772838(-) ggacaatagat >hg38_chr14:20781942-20781952(-) AGACAATGCTG >hg38_chr14:20784131-20784141(-) AGACAATAAAA >hg38_chr14:20794948-20794958(-) tgacaatagag >hg38_chr14:20800283-20800293(+) gaacaatggct >hg38_chr14:20857843-20857853(-) ATACAATAATA >hg38_chr14:21026403-21026413(+) GAACAATGGCC >hg38_chr14:21048725-21048735(-) CCACAATGATA >hg38_chr14:21069658-21069668(-) TGACAATGGGC >hg38_chr14:21203582-21203592(-) ACATAATGGCC >hg38_chr14:21205772-21205782(+) TAACAATAGTT >hg38_chr14:21214066-21214076(-) TGACAATGTGG >hg38_chr14:21215406-21215416(+) ATACAATAGAT >hg38_chr14:21215421-21215431(+) CAACAATAGCT >hg38_chr14:21219460-21219470(-) tgacaaaggta >hg38_chr14:21227430-21227440(+) GAACAATAGAA >hg38_chr14:21246842-21246852(+) ggacaatgaag >hg38_chr14:21248618-21248628(+) TAACAATACTA >hg38_chr14:21248923-21248933(+) TGACAATGAGT >hg38_chr14:21269706-21269716(-) ATACAAAGGCG >hg38_chr14:21308996-21309006(+) agacaagggcg >hg38_chr14:21338638-21338648(-) tgacaatatat >hg38_chr14:21347349-21347359(-) ttacaatgtgc >hg38_chr14:21347417-21347427(-) CAACAATGCGA >hg38_chr14:21374682-21374692(-) acacaatgaaa >hg38_chr14:21379067-21379077(-) gaacaGTGGTA >hg38_chr14:21436067-21436077(+) AGACAATGAAC >hg38_chr14:21475647-21475657(-) CAACAATGCTT >hg38_chr14:21475665-21475675(-) ATACAATGCTT >hg38_chr14:21486660-21486670(-) AGACAATGTCT >hg38_chr14:21514505-21514515(+) caacaatgcct >hg38_chr14:21527220-21527230(+) AAACAATGCGG >hg38_chr14:21528521-21528531(-) TCACAATGCTA >hg38_chr14:21536386-21536396(+) CAACAATGAGA >hg38_chr14:21537202-21537212(-) TTACAATGGGA >hg38_chr14:21557750-21557760(+) CGACAATGAGT >hg38_chr14:21597393-21597403(+) gaacaataaac >hg38_chr14:21607472-21607482(+) GTACAGTGGGG >hg38_chr14:21744440-21744450(+) taacaataaat >hg38_chr14:21773541-21773551(+) AGACAATGGAG >hg38_chr14:21843854-21843864(+) ggacaatggtg >hg38_chr14:22016712-22016722(-) TAACAATACAC >hg38_chr14:22056784-22056794(+) tgataatggta >hg38_chr14:22073557-22073567(-) aaacaatggct >hg38_chr14:22121332-22121342(-) TAACAAAGGCA >hg38_chr14:22121600-22121610(-) CTACAATGGGG >hg38_chr14:22136238-22136248(-) acacaaagggt >hg38_chr14:22182437-22182447(+) GTACAATGGAG >hg38_chr14:22188503-22188513(+) gtacaatatgt >hg38_chr14:22188527-22188537(-) ATACAATACGT >hg38_chr14:22191545-22191555(+) GAACAATAAGA >hg38_chr14:22201448-22201458(+) CTATAATGGAC >hg38_chr14:22211268-22211278(+) agataatggat >hg38_chr14:22213201-22213211(-) taacaatggtg >hg38_chr14:22213231-22213241(+) gtacaataaca >hg38_chr14:22232729-22232739(-) agacaatgggg >hg38_chr14:22245008-22245018(-) CAACAATGTCA >hg38_chr14:22272563-22272573(+) ACACAATGAGA >hg38_chr14:22300599-22300609(-) AGATAATGGAA >hg38_chr14:22316333-22316343(-) aaacaatgctg >hg38_chr14:22375487-22375497(+) TAACAATGGCT >hg38_chr14:22396506-22396516(+) GAACAATAGCA >hg38_chr14:22427914-22427924(+) TCACAATGAAA >hg38_chr14:22427947-22427957(+) AAACAAAGGGG >hg38_chr14:22428791-22428801(+) atacaataaga >hg38_chr14:22438129-22438139(-) aaacaatgatt >hg38_chr14:22438997-22439007(-) GCACAATGAAA >hg38_chr14:22448668-22448678(-) ttacaaagggt >hg38_chr14:22456295-22456305(-) ACACAATGGTT >hg38_chr14:22466565-22466575(-) ACACAATGCAG >hg38_chr14:22473155-22473165(-) CTACAATGTCA >hg38_chr14:22495917-22495927(+) TAACAATGACA >hg38_chr14:22508762-22508772(+) AGACAATGCAC >hg38_chr14:22518288-22518298(-) GAACAAAGGGA >hg38_chr14:22557157-22557167(-) AGACAAAGGGA >hg38_chr14:22564671-22564681(+) TAACAATCGAA >hg38_chr14:22569544-22569554(+) taacaatgtga >hg38_chr14:22653244-22653254(-) CAACAATGAGG >hg38_chr14:22705314-22705324(+) tcacaatagcc >hg38_chr14:22748964-22748974(+) GAACAATGGTG >hg38_chr14:22813130-22813140(+) TAACAAAGGGC >hg38_chr14:22819608-22819618(-) GGACAATGGGC >hg38_chr14:22883875-22883885(-) tgaCAATGCGT >hg38_chr14:22918855-22918865(+) AAACAAAGGTT >hg38_chr14:22929529-22929539(+) GCACAGTGGCG >hg38_chr14:22971626-22971636(-) TTACAATGAAA >hg38_chr14:22993430-22993440(-) TAATAATGGTC >hg38_chr14:23000855-23000865(+) TGACAATGCAT >hg38_chr14:23003258-23003268(+) GCACAATGGGC >hg38_chr14:23069202-23069212(-) TGATAATGGCC >hg38_chr14:23075083-23075093(-) ATACAATGCCA >hg38_chr14:23092536-23092546(+) TAACAAAGGAG >hg38_chr14:23113684-23113694(-) CAACAATAGGC >hg38_chr14:23161354-23161364(-) GTATAATGGAG >hg38_chr14:23171266-23171276(+) GGACAATGAAA >hg38_chr14:23240218-23240228(+) CCACAATGATA >hg38_chr14:23280279-23280289(+) GAACAAAGGTT >hg38_chr14:23317633-23317643(-) atataatggta >hg38_chr14:23322499-23322509(-) GCACAAAGGGA >hg38_chr14:23364436-23364446(-) GGACAAAGGGA >hg38_chr14:23376684-23376694(-) GGACAAAGGCG >hg38_chr14:23442896-23442906(+) GAACAAAGCGA >hg38_chr14:23452872-23452882(-) cgacaatatct >hg38_chr14:23478077-23478087(-) ATACAATGAAA >hg38_chr14:23482023-23482033(-) GAACAATGAGA >hg38_chr14:23486142-23486152(+) TTACAATGACC >hg38_chr14:23514096-23514106(-) ACAcaatgcca >hg38_chr14:23514152-23514162(-) GCACAATAGCC >hg38_chr14:23537510-23537520(-) CTACAATGGGG >hg38_chr14:23551754-23551764(+) ACACAATGGAA >hg38_chr14:23556068-23556078(+) CAACAATGAGG >hg38_chr14:23592490-23592500(-) aaacaatagag >hg38_chr14:23607749-23607759(-) TCACAATGCAT >hg38_chr14:23631973-23631983(+) TTACAATAGGT >hg38_chr14:23640609-23640619(-) TCACAATAGCA >hg38_chr14:23670539-23670549(-) gaataatggct >hg38_chr14:23718478-23718488(+) AGACAGTGGAA >hg38_chr14:23830286-23830296(-) ccacaatgtat >hg38_chr14:24090680-24090690(+) GGACAATGCAG >hg38_chr14:24094410-24094420(-) GTACAATGCGG >hg38_chr14:24141726-24141736(-) AGACAAAGGCG >hg38_chr14:24141757-24141767(-) TCACAATGGTG >hg38_chr14:24171891-24171901(+) TCACAATGGAC >hg38_chr14:24180216-24180226(-) GAACAATAGCT >hg38_chr14:24208951-24208961(+) ACACAATAGCA >hg38_chr14:24222149-24222159(+) caacaatggtt >hg38_chr14:24227185-24227195(-) tgacaatagca >hg38_chr14:24235027-24235037(+) acacaatggcc >hg38_chr14:24239333-24239343(+) GAACAATGAGT >hg38_chr14:24242157-24242167(+) ATACAAAGGCG >hg38_chr14:24380576-24380586(-) ACACAAAGGCG >hg38_chr14:24480225-24480235(-) agacaatgggg >hg38_chr14:24636213-24636223(-) ctacaatagcc >hg38_chr14:24666985-24666995(+) TCACAATGTCT >hg38_chr14:24677714-24677724(-) AAACAATAAAA >hg38_chr14:24736467-24736477(-) acacaatggga >hg38_chr14:24736541-24736551(-) tgacaatagcc >hg38_chr14:24777040-24777050(-) ctacaatgtca >hg38_chr14:24806370-24806380(+) atacaatgcaa >hg38_chr14:24852826-24852836(+) agacaatgtca >hg38_chr14:24858282-24858292(-) CGACAATGAAA >hg38_chr14:24858317-24858327(+) AGACAAAGGAA >hg38_chr14:24882967-24882977(-) aaacaatgtca >hg38_chr14:24903377-24903387(-) agaCAATGACC >hg38_chr14:24915386-24915396(+) AAACAATGGac >hg38_chr14:24938883-24938893(-) CCACAATGGTT >hg38_chr14:24967470-24967480(-) AAACAATAGCC >hg38_chr14:24969629-24969639(-) GGACAATAGAT >hg38_chr14:25003830-25003840(+) ACACAATGGCA >hg38_chr14:25066403-25066413(+) ATACAATAGCA >hg38_chr14:25066433-25066443(-) CCACAATAGCT >hg38_chr14:25110036-25110046(-) ATACAATGACA >hg38_chr14:25110235-25110245(+) CCACAATAGAC >hg38_chr14:25110278-25110288(+) AGACAATGGGA >hg38_chr14:25142927-25142937(-) gaacaatgctt >hg38_chr14:25152445-25152455(-) GGACAAAGGGC >hg38_chr14:25166792-25166802(-) gaacaataggc >hg38_chr14:25247772-25247782(-) GCACAAAGGGA >hg38_chr14:25271626-25271636(+) AGACAATGCAG >hg38_chr14:25304086-25304096(+) acacaatggaa >hg38_chr14:25406847-25406857(-) GCACAATGGGC >hg38_chr14:25412205-25412215(+) CAACAATGAAA >hg38_chr14:25436779-25436789(-) ATACAATGAAA >hg38_chr14:25459646-25459656(+) tgacaaaggat >hg38_chr14:25459694-25459704(-) caacaatagag >hg38_chr14:25507199-25507209(-) CAATAATGGAG >hg38_chr14:25516874-25516884(+) CAACAATGGGG >hg38_chr14:25607467-25607477(-) ACACAATGCAT >hg38_chr14:25607484-25607494(+) TGACAATGGTC >hg38_chr14:25607527-25607537(-) TAACAATGGTC >hg38_chr14:25652019-25652029(+) agacaatgtga >hg38_chr14:25672693-25672703(-) TTACAATAAAA >hg38_chr14:25757044-25757054(+) AAACAAAGGAA >hg38_chr14:25878464-25878474(+) ACACAAAGGAT >hg38_chr14:25973477-25973487(+) ggacaatgatg >hg38_chr14:26008371-26008381(-) TAACAATGAGC >hg38_chr14:26071479-26071489(+) acacaatgaag >hg38_chr14:26071500-26071510(-) ggataatggtg >hg38_chr14:26114158-26114168(+) AAATAATGGAG >hg38_chr14:26138911-26138921(-) ACACAATGACA >hg38_chr14:26199799-26199809(+) CCACAATAGCC >hg38_chr14:26213090-26213100(+) AAACAATGTCC >hg38_chr14:26213166-26213176(+) AAACAAAGGCA >hg38_chr14:26215580-26215590(+) GAACAATCGCT >hg38_chr14:26236749-26236759(-) TGACAATAGAA >hg38_chr14:26261912-26261922(+) ACACAAAGGAA >hg38_chr14:26265403-26265413(-) ACACACTGGTA >hg38_chr14:26272691-26272701(-) GAACAATAGCA >hg38_chr14:26282195-26282205(+) gaacaatgaga >hg38_chr14:26319263-26319273(+) ttacaaagacg >hg38_chr14:26319300-26319310(+) tgataatggca >hg38_chr14:26377355-26377365(+) tcacaatggga >hg38_chr14:26446390-26446400(-) GAACAATGAGT >hg38_chr14:26523593-26523603(-) ttacaatggca >hg38_chr14:26525414-26525424(+) TTACAATGAGG >hg38_chr14:26565158-26565168(+) ACACAATAGCT >hg38_chr14:26594978-26594988(+) ACATAATGGAT >hg38_chr14:26596543-26596553(+) CTACAATGCAT >hg38_chr14:26622544-26622554(-) ccacaatagaa >hg38_chr14:26781433-26781443(+) gtacaatagcc >hg38_chr14:26781464-26781474(-) gaacaatagac >hg38_chr14:26872287-26872297(-) CGACAATAGCA >hg38_chr14:26926808-26926818(+) ATACAAAGGTC >hg38_chr14:26972466-26972476(+) GAACAATGGAA >hg38_chr14:26972490-26972500(-) CTACAATGAAC >hg38_chr14:27093410-27093420(+) GAACAAAGGGA >hg38_chr14:27164271-27164281(-) ttacaatgctc >hg38_chr14:27189724-27189734(-) GTATAATGTAA >hg38_chr14:27411167-27411177(-) GTAGAATGGTA >hg38_chr14:27428298-27428308(+) AGACAATAGAG >hg38_chr14:27428353-27428363(+) AAACAATAACT >hg38_chr14:27436497-27436507(+) CTACAATGTCA >hg38_chr14:27528486-27528496(+) GTACAATACTA >hg38_chr14:27528549-27528559(-) GAACAAAGGCA >hg38_chr14:27689177-27689187(-) TTACAATAAAA >hg38_chr14:27689208-27689218(+) GAACAATAAAG >hg38_chr14:27869673-27869683(+) TCACAATGTAT >hg38_chr14:27886413-27886423(+) TTACTATGGAA >hg38_chr14:27971164-27971174(-) acacaataaaa >hg38_chr14:28012816-28012826(+) TCACAAAGGCG >hg38_chr14:28030877-28030887(-) AAACAATAAAA >hg38_chr14:28076862-28076872(-) aaacaatgaaa >hg38_chr14:28086352-28086362(+) GTATAATGGAC >hg38_chr14:28096600-28096610(+) GTACAATGAGA >hg38_chr14:28163479-28163489(-) ACATAATGGTG >hg38_chr14:28258245-28258255(-) acacaatggat >hg38_chr14:28258256-28258266(-) taacaataagt >hg38_chr14:28301343-28301353(+) aaacagtggta >hg38_chr14:28306218-28306228(+) CAACAATAGCC >hg38_chr14:28308998-28309008(-) aaacaatggtt >hg38_chr14:28407485-28407495(+) ATACAATGAGC >hg38_chr14:28608598-28608608(-) GCACAATGATG >hg38_chr14:28608623-28608633(-) GCATAATGGAT >hg38_chr14:28613680-28613690(+) ggacaatgtaa >hg38_chr14:28621759-28621769(+) AAACAATGGGA >hg38_chr14:28652625-28652635(+) atacaatagtt >hg38_chr14:28654083-28654093(+) GGACAATGGGA >hg38_chr14:28700308-28700318(+) CCACAATGGTA >hg38_chr14:28723800-28723810(+) GCACAATAGCT >hg38_chr14:28729432-28729442(-) ttacaaaggga >hg38_chr14:28742636-28742646(+) GCACAATGCAT >hg38_chr14:28776294-28776304(+) AAACAATGCAG >hg38_chr14:28812695-28812705(+) GAACAATGCAA >hg38_chr14:28828146-28828156(-) aaacaataaaa >hg38_chr14:28872471-28872481(+) GTACAATGCAG >hg38_chr14:28891243-28891253(-) TAATAATGGTT >hg38_chr14:28975382-28975392(-) ATACAGTGGTA >hg38_chr14:28975382-28975392(-) ATACAGTGGTA >hg38_chr14:29047796-29047806(+) agacaatgcag >hg38_chr14:29053415-29053425(-) GAACAATGCTC >hg38_chr14:29063163-29063173(+) GAACAAAGGTA >hg38_chr14:29063188-29063198(+) TAATAATGGAG >hg38_chr14:29103536-29103546(-) AAACAATGGAT >hg38_chr14:29109337-29109347(-) tcacaatagcc >hg38_chr14:29136701-29136711(+) tgacactggcg >hg38_chr14:29138541-29138551(-) caacaatagaa >hg38_chr14:29138594-29138604(-) gaacaatgctg >hg38_chr14:29144851-29144861(+) AAACAATGTGT >hg38_chr14:29205883-29205893(+) ACACAATGAAT >hg38_chr14:29216084-29216094(+) ATACAATAGGT >hg38_chr14:29223658-29223668(+) AAACAATATAG >hg38_chr14:29262100-29262110(-) aaacaatagag >hg38_chr14:29266985-29266995(+) GTACAAAGGAT >hg38_chr14:29278739-29278749(-) GGACAATGAAG >hg38_chr14:29287694-29287704(-) AAACAATGAGT >hg38_chr14:29287710-29287720(+) TAAGAATGGTA >hg38_chr14:29312774-29312784(+) acacaatgcta >hg38_chr14:29339552-29339562(-) CCACAATGATC >hg38_chr14:29340254-29340264(-) gtacaatgctt >hg38_chr14:29376497-29376507(-) GTACAATAGAC >hg38_chr14:29376504-29376514(+) GTACAATGACT >hg38_chr14:29390190-29390200(-) ACACAAAGGAC >hg38_chr14:29390718-29390728(-) AGACAATGGCT >hg38_chr14:29392461-29392471(-) GTACAATGATG >hg38_chr14:29454448-29454458(-) GGACAATGCCG >hg38_chr14:29462498-29462508(-) AGACAATAGTC >hg38_chr14:29468429-29468439(+) atacaatatta >hg38_chr14:29536565-29536575(-) AGATAATGGGT >hg38_chr14:29538258-29538268(-) gtacaatggcc >hg38_chr14:29601386-29601396(+) GCATAATGGAG >hg38_chr14:29606713-29606723(-) GGACAATGATG >hg38_chr14:29606770-29606780(-) GTACAGTGGTC >hg38_chr14:29628069-29628079(+) AAACAAAGGCA >hg38_chr14:29668429-29668439(+) ACACAATAGGC >hg38_chr14:29670632-29670642(-) CCACAATAGTG >hg38_chr14:29680275-29680285(-) agataatggta >hg38_chr14:29681767-29681777(-) GGACAATGTAA >hg38_chr14:29736828-29736838(+) AGACAATGTGC >hg38_chr14:29740243-29740253(+) GCACAATGAAG >hg38_chr14:29765576-29765586(+) taacaatgctc >hg38_chr14:29765591-29765601(-) caacaatgctt >hg38_chr14:29767825-29767835(+) TCACAATAATA >hg38_chr14:29810529-29810539(-) GAACAATGAAA >hg38_chr14:29821805-29821815(+) AAACAATAGCA >hg38_chr14:29833594-29833604(-) AAACAATAAAT >hg38_chr14:29868782-29868792(+) GAACAATGAAA >hg38_chr14:29897359-29897369(+) ATACAATGGGT >hg38_chr14:29925913-29925923(+) CCACAATAGAC >hg38_chr14:29927257-29927267(+) CGACAATGGAG >hg38_chr14:29941544-29941554(+) agacaatggga >hg38_chr14:29949604-29949614(+) gtacaatatta >hg38_chr14:29971338-29971348(-) tcacaatagtg >hg38_chr14:30024943-30024953(+) aaacaaaggca >hg38_chr14:30034365-30034375(-) TGACAATGGCC >hg38_chr14:30064783-30064793(+) CCACAATAGTA >hg38_chr14:30082417-30082427(+) AAACAATGACA >hg38_chr14:30138126-30138136(-) AGACAAAGGGA >hg38_chr14:30138155-30138165(-) GGACAATGATA >hg38_chr14:30179896-30179906(+) AGACAATGGCT >hg38_chr14:30192652-30192662(-) acataatggct >hg38_chr14:30213741-30213751(+) gcacaatgctt >hg38_chr14:30214708-30214718(+) AAACAAAGGCA >hg38_chr14:30227649-30227659(+) GAACAATGGAG >hg38_chr14:30228252-30228262(+) gaacaataaag >hg38_chr14:30228322-30228332(+) GGACAATGGGA >hg38_chr14:30260563-30260573(-) ttacaatgtgt >hg38_chr14:30285648-30285658(-) gaacaatgcct >hg38_chr14:30305392-30305402(-) GAACAATAGCC >hg38_chr14:30329560-30329570(-) AAACTATGGAT >hg38_chr14:30329589-30329599(+) TAACAAAGGTC >hg38_chr14:30329597-30329607(-) GAACAAAGGAC >hg38_chr14:30338439-30338449(+) AAACACTGGAC >hg38_chr14:30338478-30338488(+) GTACAATAACA >hg38_chr14:30426732-30426742(-) AAACAAAGGGG >hg38_chr14:30426744-30426754(+) AGACAATGTCA >hg38_chr14:30433160-30433170(+) TTACAATAGCC >hg38_chr14:30433168-30433178(-) AAACAAAGGGC >hg38_chr14:30441136-30441146(-) TGACAATGCTT >hg38_chr14:30443524-30443534(+) GAATAATGGGG >hg38_chr14:30460024-30460034(-) ttacaaagtcg >hg38_chr14:30460245-30460255(-) ACATAATGGGT >hg38_chr14:30469817-30469827(+) GAACAATGTCC >hg38_chr14:30470539-30470549(-) ACACAATAGGC >hg38_chr14:30470567-30470577(-) TCACAATGATA >hg38_chr14:30480720-30480730(+) ggacaatgaag >hg38_chr14:30500375-30500385(+) CAACAATGAAA >hg38_chr14:30501325-30501335(+) TCACAATGCAG >hg38_chr14:30506349-30506359(-) tgacaatggaa >hg38_chr14:30506429-30506439(-) agacaatatat >hg38_chr14:30508494-30508504(-) AAACAATGTTA >hg38_chr14:30521691-30521701(+) TAACAATAGCA >hg38_chr14:30521734-30521744(+) AGACAATGAAG >hg38_chr14:30522959-30522969(-) CTACAATAGCT >hg38_chr14:30529137-30529147(+) taacaatgcct >hg38_chr14:30552188-30552198(+) aaacaatgaac >hg38_chr14:30552210-30552220(+) aaacaatgtgg >hg38_chr14:30552526-30552536(-) atacaatgact >hg38_chr14:30559968-30559978(+) AAACAATAGGG >hg38_chr14:30567399-30567409(-) aaacaataggt >hg38_chr14:30571341-30571351(-) ccacaatgcat >hg38_chr14:30572019-30572029(-) agacaatgctc >hg38_chr14:30572456-30572466(-) acacaatgtca >hg38_chr14:30608721-30608731(+) agacaATGCAA >hg38_chr14:30613543-30613553(-) taacaaaggtt >hg38_chr14:30613909-30613919(+) taacaatacta >hg38_chr14:30614403-30614413(-) ccacaatgcta >hg38_chr14:30615899-30615909(+) TAACAATGATA >hg38_chr14:30616043-30616053(+) ATACAATAAGT >hg38_chr14:30619657-30619667(-) AGATAATGGTC >hg38_chr14:30651054-30651064(-) TAACAATAATA >hg38_chr14:30657294-30657304(-) TGACAATGGAG >hg38_chr14:30662572-30662582(-) ACACAATGCCA >hg38_chr14:30662608-30662618(+) AAACAATAGAT >hg38_chr14:30692834-30692844(-) TGACAATGATG >hg38_chr14:30702468-30702478(+) GAACAATGCCT >hg38_chr14:30712984-30712994(-) ATACAATATTC >hg38_chr14:30713296-30713306(-) ATACAATATTC >hg38_chr14:30713301-30713311(-) ATACAATACAA >hg38_chr14:30716428-30716438(+) TGACAATGAAA >hg38_chr14:30716952-30716962(+) ATACAATGAAG >hg38_chr14:30722493-30722503(-) AAACAATGGCC >hg38_chr14:30723204-30723214(+) taataatggta >hg38_chr14:30723225-30723235(+) gtacaatgaag >hg38_chr14:30780378-30780388(+) atacaatgaga >hg38_chr14:30810514-30810524(+) TAACAATACTA >hg38_chr14:30810520-30810530(-) GTACAATAGTA >hg38_chr14:30863414-30863424(+) taacaatagtc >hg38_chr14:30863447-30863457(+) tcacaaaggac >hg38_chr14:30866301-30866311(+) aaacaatcggg >hg38_chr14:30866346-30866356(-) aaacaatgatc >hg38_chr14:30871086-30871096(+) TGACAATGCCA >hg38_chr14:30871131-30871141(+) TAACAATGCCA >hg38_chr14:30889108-30889118(+) AAACAATGCAA >hg38_chr14:30898988-30898998(+) taataatagta >hg38_chr14:30906627-30906637(-) TAACAGTGGAA >hg38_chr14:30906639-30906649(+) ATACAATAGTT >hg38_chr14:30921575-30921585(-) GCACAATAGAG >hg38_chr14:30931637-30931647(+) AGACAATAAAT >hg38_chr14:30937589-30937599(+) TAACAATAAAG >hg38_chr14:30938597-30938607(+) acacaatgcat >hg38_chr14:30941477-30941487(+) atacaataacc >hg38_chr14:30942205-30942215(-) aaacaatgaca >hg38_chr14:30942856-30942866(-) gaacaatgcct >hg38_chr14:30954217-30954227(-) gaataatgggc >hg38_chr14:30956072-30956082(+) GTACAATGGTA >hg38_chr14:30956093-30956103(-) GAACAATAGTA >hg38_chr14:30957787-30957797(-) AGATAATGGCA >hg38_chr14:31000333-31000343(+) atacaatagag >hg38_chr14:31012168-31012178(-) TAACAATATGA >hg38_chr14:31012184-31012194(-) TAACAATGGAT >hg38_chr14:31038779-31038789(+) aaacaatgcct >hg38_chr14:31038816-31038826(-) TAACAATAGGA >hg38_chr14:31047779-31047789(-) tgacaatggct >hg38_chr14:31123095-31123105(+) TAACAAAGGAA >hg38_chr14:31194079-31194089(+) acacaatagca >hg38_chr14:31207228-31207238(+) GAACAAAGGGG >hg38_chr14:31229894-31229904(-) GCACAATATAT >hg38_chr14:31244787-31244797(+) caacaatggat >hg38_chr14:31291915-31291925(-) GAACAATGTGA >hg38_chr14:31293080-31293090(-) AGACAATGGAG >hg38_chr14:31307638-31307648(+) ggacaatgcaa >hg38_chr14:31345926-31345936(+) acacaATggtt >hg38_chr14:31392995-31393005(+) CAACAATGAAA >hg38_chr14:31393033-31393043(+) ATACAATAAAC >hg38_chr14:31402493-31402503(-) AAACAATGTAG >hg38_chr14:31419622-31419632(+) AAACAATGGAT >hg38_chr14:31439699-31439709(+) CAACAATGGAA >hg38_chr14:31458289-31458299(+) ACACAATGTGC >hg38_chr14:31479260-31479270(-) AAACAATGTGC >hg38_chr14:31522968-31522978(+) gcacaatgctt >hg38_chr14:31604882-31604892(+) TGACAATAGTG >hg38_chr14:31657367-31657377(+) AAACAATGTTT >hg38_chr14:31661287-31661297(+) TAATAATGGCC >hg38_chr14:31679640-31679650(-) agacaatgtgg >hg38_chr14:31692573-31692583(+) TGATAATGGCA >hg38_chr14:31839112-31839122(-) AAACAATGACA >hg38_chr14:31854158-31854168(+) AAACAAAGGAA >hg38_chr14:31854171-31854181(-) GCACAAAGGTC >hg38_chr14:31859029-31859039(+) ATACAGTGGGC >hg38_chr14:31892252-31892262(-) agacaaaggaa >hg38_chr14:31933953-31933963(+) ccacaatggct >hg38_chr14:31934885-31934895(-) agacaaaggaa >hg38_chr14:31991516-31991526(+) ctactatggaA >hg38_chr14:32018628-32018638(-) AAACAATAGGA >hg38_chr14:32052736-32052746(+) agacaatatta >hg38_chr14:32059664-32059674(+) CTACAATGTGG >hg38_chr14:32072715-32072725(+) TGACAGTGGTA >hg38_chr14:32079903-32079913(-) GTACAATGCTT >hg38_chr14:32104482-32104492(+) TCACAATGTAA >hg38_chr14:32105295-32105305(-) TGACAATAGCA >hg38_chr14:32134729-32134739(+) TCACAATGTCT >hg38_chr14:32135006-32135016(-) GCACAATGGGT >hg38_chr14:32135052-32135062(-) AAACAATGCTG >hg38_chr14:32140787-32140797(-) gcacaatatac >hg38_chr14:32142057-32142067(-) gtacaataaat >hg38_chr14:32156935-32156945(-) TTACAATAGCA >hg38_chr14:32157471-32157481(-) AAACAATGGCA >hg38_chr14:32163279-32163289(-) tcacaatagaa >hg38_chr14:32163296-32163306(+) aaataatggta >hg38_chr14:32202661-32202671(-) GCACAAAGGAA >hg38_chr14:32218273-32218283(-) tgacaatgggt >hg38_chr14:32252628-32252638(-) CAACAATGGAA >hg38_chr14:32254969-32254979(+) ACACAATGGAC >hg38_chr14:32329202-32329212(-) AGACAATACGG >hg38_chr14:32342974-32342984(-) TAACAATGCCA >hg38_chr14:32342998-32343008(+) TCACAATAGAA >hg38_chr14:32349174-32349184(-) CTACAATGTCA >hg38_chr14:32367099-32367109(+) GAACAGTGGTC >hg38_chr14:32415153-32415163(+) TTACACTGGTA >hg38_chr14:32415206-32415216(-) TTACAATAGCA >hg38_chr14:32428462-32428472(+) CGACACTGGCC >hg38_chr14:32434235-32434245(+) AAACAATGAAT >hg38_chr14:32434276-32434286(+) TTACAATGAGT >hg38_chr14:32468664-32468674(-) GGACAATAAAA >hg38_chr14:32472703-32472713(-) GCACAATGAGC >hg38_chr14:32480397-32480407(+) AAACAATAGTA >hg38_chr14:32481940-32481950(-) taacaatgagt >hg38_chr14:32481971-32481981(-) gaacaaaggag >hg38_chr14:32547141-32547151(+) GCACAATGATC >hg38_chr14:32567977-32567987(+) GAACAAAGGAG >hg38_chr14:32568029-32568039(+) CAACAATAGTC >hg38_chr14:32568194-32568204(-) AAACAAAGGAC >hg38_chr14:32573146-32573156(+) GGACACTGGTA >hg38_chr14:32573202-32573212(+) GAACAATGAAG >hg38_chr14:32578604-32578614(-) GAACAATGCCA >hg38_chr14:32601346-32601356(+) CCATAATGGTA >hg38_chr14:32609990-32610000(-) ACACAATAATA >hg38_chr14:32610001-32610011(-) ACACAATGGTT >hg38_chr14:32656737-32656747(-) GAACAATAGCA >hg38_chr14:32662623-32662633(-) gcataatggga >hg38_chr14:32662640-32662650(-) aaacagtggat >hg38_chr14:32669429-32669439(+) GGACAAAGGAA >hg38_chr14:32679900-32679910(+) GAACAATAAAC >hg38_chr14:32680174-32680184(-) AAACAAAGGAT >hg38_chr14:32680209-32680219(-) GCACAATGCAC >hg38_chr14:32690995-32691005(+) TTACAATGGTA >hg38_chr14:32693352-32693362(-) GCACAATGACG >hg38_chr14:32719471-32719481(+) CTACAATGGTT >hg38_chr14:32726764-32726774(-) GAACAATGTAA >hg38_chr14:32729224-32729234(-) GAACAATGCCT >hg38_chr14:32729867-32729877(+) acacaatgggg >hg38_chr14:32748393-32748403(+) agacaatatat >hg38_chr14:32768296-32768306(-) AAACAATGATG >hg38_chr14:32773800-32773810(-) TTACAATGATC >hg38_chr14:32773830-32773840(-) TGACAATGGCT >hg38_chr14:32825233-32825243(+) GAACAATGATT >hg38_chr14:32828753-32828763(+) GAACAATGCAC >hg38_chr14:32835952-32835962(+) CCACAATAGTA >hg38_chr14:32879020-32879030(-) atacaataata >hg38_chr14:32889873-32889883(+) atacaataagg >hg38_chr14:32893963-32893973(-) acacaatagct >hg38_chr14:32935568-32935578(-) TTATAATGCGT >hg38_chr14:32936532-32936542(+) TGACAATAGTA >hg38_chr14:32936580-32936590(+) TCACAATAGTA >hg38_chr14:32940782-32940792(-) GTACAATAGAA >hg38_chr14:32946107-32946117(-) AGACAATGTCC >hg38_chr14:32946174-32946184(+) Taataatggca >hg38_chr14:32967452-32967462(-) gaacaatgctg >hg38_chr14:32975535-32975545(-) TGACAATGACC >hg38_chr14:32984706-32984716(+) ACACAGTGGAA >hg38_chr14:32984729-32984739(-) GAACAATAAAG >hg38_chr14:32985996-32986006(-) TGACAATGGCT >hg38_chr14:33019284-33019294(-) GGACAATGACT >hg38_chr14:33039685-33039695(+) TAACAAAGGCT >hg38_chr14:33068802-33068812(+) gtagaatggac >hg38_chr14:33068818-33068828(+) ctacaaaggcg >hg38_chr14:33137488-33137498(-) TTATAATGGCC >hg38_chr14:33165349-33165359(-) GAATAATGATA >hg38_chr14:33189601-33189611(+) GCACAATAGGC >hg38_chr14:33189865-33189875(-) CGATAATGAAA >hg38_chr14:33189900-33189910(-) AAACAATATAG >hg38_chr14:33189912-33189922(-) TGACAATGACC >hg38_chr14:33207653-33207663(-) TAACAATGGAT >hg38_chr14:33207664-33207674(+) GGACAATGCTC >hg38_chr14:33249551-33249561(-) AAACAATAGAG >hg38_chr14:33290592-33290602(-) ATACAATTGAC >hg38_chr14:33290629-33290639(+) CAACAATAGTA >hg38_chr14:33290638-33290648(+) TAACAATAACC >hg38_chr14:33313088-33313098(+) GTACAATAAGT >hg38_chr14:33342673-33342683(-) AAACAATGGAA >hg38_chr14:33393221-33393231(-) TAACAATGCTC >hg38_chr14:33407204-33407214(-) TTACAAAGGAT >hg38_chr14:33416114-33416124(+) ATACAATAGGT >hg38_chr14:33434546-33434556(+) TAACAATGAAA >hg38_chr14:33445154-33445164(-) gaacaatgaca >hg38_chr14:33452298-33452308(+) GGACAATGTCA >hg38_chr14:33452314-33452324(-) TTACAATAAAA >hg38_chr14:33453210-33453220(-) TAACAATAGAC >hg38_chr14:33455819-33455829(-) TAACAAAGGCT >hg38_chr14:33475780-33475790(+) AAACAATGCAC >hg38_chr14:33475814-33475824(-) ACACAATGAGA >hg38_chr14:33533062-33533072(+) AAACAGTGGAA >hg38_chr14:33539733-33539743(-) TAACAATAGAA >hg38_chr14:33552306-33552316(-) gaacaaaggat >hg38_chr14:33559320-33559330(+) ACACAATGGGC >hg38_chr14:33567561-33567571(-) CGACAGTGGCT >hg38_chr14:33571100-33571110(-) TGACAATAGGA >hg38_chr14:33642446-33642456(+) GAACAATGGCC >hg38_chr14:33661532-33661542(+) GTACAATGAAT >hg38_chr14:33676685-33676695(-) TAACAATAAAA >hg38_chr14:33679760-33679770(+) CCACAATAGGA >hg38_chr14:33758128-33758138(-) GTACAAAGGAG >hg38_chr14:33783159-33783169(+) AAACAAAGGGG >hg38_chr14:33792210-33792220(+) GTACAATGCAA >hg38_chr14:33817117-33817127(+) taacaatgtga >hg38_chr14:33817149-33817159(+) aaacaataaaa >hg38_chr14:33817488-33817498(-) ggacaatgaca >hg38_chr14:33836874-33836884(+) AAACAAAGGGA >hg38_chr14:33842665-33842675(+) GAACAATGGAA >hg38_chr14:33846657-33846667(-) aaacaatgcct >hg38_chr14:33922417-33922427(-) GAACAATGGGT >hg38_chr14:33931656-33931666(+) gaacAATGGCT >hg38_chr14:33938293-33938303(-) TGACAATAGTC >hg38_chr14:33962314-33962324(+) agacaataaac >hg38_chr14:33972663-33972673(+) ACACAATATAC >hg38_chr14:33972670-33972680(+) ATACAATGGCA >hg38_chr14:34035922-34035932(+) GAACAAAGGGC >hg38_chr14:34055700-34055710(+) gaacaatgaac >hg38_chr14:34055759-34055769(+) ACACAATAGAT >hg38_chr14:34081152-34081162(+) GGACAATGGGG >hg38_chr14:34091301-34091311(-) tgacaatagca >hg38_chr14:34107629-34107639(+) atacaatagtg >hg38_chr14:34107664-34107674(+) caacaatgtgg >hg38_chr14:34113113-34113123(-) ATACAATAAAA >hg38_chr14:34113154-34113164(-) AAACAATGAAA >hg38_chr14:34221047-34221057(+) acacaaaggga >hg38_chr14:34223732-34223742(+) ATACAAAGGGC >hg38_chr14:34237128-34237138(-) caacaatggat >hg38_chr14:34254350-34254360(-) TTACAAAGGCA >hg38_chr14:34266619-34266629(+) ACACAATGGTG >hg38_chr14:34275705-34275715(-) TCACAATGACA >hg38_chr14:34298589-34298599(+) gatcaatggta >hg38_chr14:34298613-34298623(+) TTACAATAACA >hg38_chr14:34298619-34298629(+) TAACAATAATA >hg38_chr14:34324529-34324539(+) GTAAAATGGCG >hg38_chr14:34340782-34340792(+) caacaatagac >hg38_chr14:34355735-34355745(+) GAACAATGGGC >hg38_chr14:34360265-34360275(-) GAACAATGCCT >hg38_chr14:34435281-34435291(+) CCACAATGGAA >hg38_chr14:34461061-34461071(+) CAACAATGCAT >hg38_chr14:34473216-34473226(-) ACACAATGGGC >hg38_chr14:34483434-34483444(+) CAACAATAGCT >hg38_chr14:34673555-34673565(+) caacaatgaga >hg38_chr14:34673600-34673610(+) caacaatgagg >hg38_chr14:34678027-34678037(-) AAACAATGAGA >hg38_chr14:34678543-34678553(+) AGACAATAGAG >hg38_chr14:34710168-34710178(+) ACACAATGCTG >hg38_chr14:34712579-34712589(-) CTACAATGTAT >hg38_chr14:34768033-34768043(+) ATACAATTGAT >hg38_chr14:34793240-34793250(+) GAACAATGCAA >hg38_chr14:34816562-34816572(-) TTACAGTGGAT >hg38_chr14:34873527-34873537(+) AAACAATAGCG >hg38_chr14:34959790-34959800(+) agacagtggat >hg38_chr14:35048160-35048170(-) CAACAATAGGT >hg38_chr14:35081099-35081109(-) GAACAATGGAA >hg38_chr14:35108306-35108316(+) AAACAATGGCA >hg38_chr14:35162258-35162268(+) gcacaatggag >hg38_chr14:35169821-35169831(-) caacaatagca >hg38_chr14:35171519-35171529(-) gaataatgata >hg38_chr14:35198391-35198401(+) GAACAGTGGGT >hg38_chr14:35204011-35204021(-) ATACAATATGT >hg38_chr14:35215236-35215246(+) GAACAATGCAT >hg38_chr14:35238872-35238882(-) GCACAATGTCA >hg38_chr14:35238893-35238903(+) TAACAATAACA >hg38_chr14:35244193-35244203(-) taacaATGAGG >hg38_chr14:35477510-35477520(-) AAACAATGAGG >hg38_chr14:35482325-35482335(+) TAACAAAGGGC >hg38_chr14:35483267-35483277(+) CAACAATGATT >hg38_chr14:35520089-35520099(+) ATACAATGTAG >hg38_chr14:35521969-35521979(+) TAACAATGCAA >hg38_chr14:35548155-35548165(+) TAACAATGCAA >hg38_chr14:35557563-35557573(+) AGACACTGGAC >hg38_chr14:35558766-35558776(+) CGACAATGGTA >hg38_chr14:35558775-35558785(-) TCACAATGGTA >hg38_chr14:35576430-35576440(-) taacaatagac >hg38_chr14:35606318-35606328(-) caacaatagga >hg38_chr14:35615038-35615048(+) TAACTATGGCT >hg38_chr14:35615060-35615070(-) TGACAATATAA >hg38_chr14:35615086-35615096(+) CCACAATAGTG >hg38_chr14:35655136-35655146(-) TAACAATAGGA >hg38_chr14:35687462-35687472(-) AGATAATGGTA >hg38_chr14:35705178-35705188(-) aaactatggac >hg38_chr14:35770312-35770322(+) atataatggtg >hg38_chr14:35770329-35770339(-) agataatggtc >hg38_chr14:35775377-35775387(+) GCACAATGAGG >hg38_chr14:35778482-35778492(+) GAACAATGTTT >hg38_chr14:35778499-35778509(-) AGACAATAAGA >hg38_chr14:35780273-35780283(+) caacaatggta >hg38_chr14:35788862-35788872(-) GGACAATGATA >hg38_chr14:35806669-35806679(+) GAACTATGGGA >hg38_chr14:35860751-35860761(+) GTACAATAATG >hg38_chr14:35860754-35860764(+) CAATAATGGAA >hg38_chr14:35889022-35889032(-) caacaatgtgt >hg38_chr14:35999125-35999135(-) AGACAATGCTA >hg38_chr14:36059947-36059957(-) AAACAATAGCA >hg38_chr14:36059998-36060008(-) CTACAATAGAA >hg38_chr14:36173046-36173056(+) GAACAAAGGCA >hg38_chr14:36221236-36221246(+) GAACAATGACA >hg38_chr14:36229493-36229503(-) TGATAATGGAG >hg38_chr14:36242557-36242567(-) TAACAATAGAA >hg38_chr14:36251090-36251100(+) AAACAATAGGT >hg38_chr14:36269210-36269220(+) AAACAATGGCC >hg38_chr14:36269250-36269260(+) CAACAATGCAG >hg38_chr14:36271380-36271390(+) GAACAATGCTC >hg38_chr14:36288059-36288069(-) ctacaatggat >hg38_chr14:36288102-36288112(-) tcacaatcgga >hg38_chr14:36296152-36296162(+) GAACAATGACC >hg38_chr14:36300568-36300578(+) GGACAAAGGTT >hg38_chr14:36319582-36319592(-) AGATAATGGTA >hg38_chr14:36345628-36345638(+) GGACAATAGTG >hg38_chr14:36443265-36443275(-) AAACAATGGGC >hg38_chr14:36443530-36443540(-) AAACAAAGGGG >hg38_chr14:36519624-36519634(+) AGACAATAGAA >hg38_chr14:36536207-36536217(+) aaacaatgttt >hg38_chr14:36550682-36550692(-) TCACAATGCTC >hg38_chr14:36563148-36563158(-) ggacaatagtt >hg38_chr14:36563483-36563493(+) gaacaatagca >hg38_chr14:36563491-36563501(+) gcacaaaggaa >hg38_chr14:36570112-36570122(-) GAACAATGCAA >hg38_chr14:36588223-36588233(+) ACACAAAGGAA >hg38_chr14:36590460-36590470(+) GAACAAAGGGA >hg38_chr14:36668152-36668162(-) TGACTATGGTC >hg38_chr14:36668187-36668197(+) AAACAATAGAA >hg38_chr14:36676349-36676359(-) AGACAATGAGG >hg38_chr14:36704061-36704071(-) CTACAATAGAG >hg38_chr14:36747796-36747806(-) GGACAATGGAA >hg38_chr14:36764273-36764283(+) taacaatgcca >hg38_chr14:36767748-36767758(-) TTACAATAGAG >hg38_chr14:36791564-36791574(+) AAACAATGTAA >hg38_chr14:36799067-36799077(-) GAACAAAGGGA >hg38_chr14:36799079-36799089(+) ATACAATAAAT >hg38_chr14:36819438-36819448(-) ACACAATGAAG >hg38_chr14:36826131-36826141(+) ttacaatacga >hg38_chr14:36917653-36917663(+) CAACAATAGGA >hg38_chr14:36936520-36936530(+) TGACAATGTAA >hg38_chr14:36953323-36953333(-) AAACAATGTGA >hg38_chr14:36974252-36974262(-) AAACAATGCTG >hg38_chr14:37030921-37030931(-) AAACAAAGGAG >hg38_chr14:37157662-37157672(+) taacaatgcac >hg38_chr14:37167935-37167945(+) taacaataaaa >hg38_chr14:37198766-37198776(+) TCACAGTGGTA >hg38_chr14:37198795-37198805(+) AAACAAAGGGC >hg38_chr14:37202767-37202777(-) caacaatggca >hg38_chr14:37247755-37247765(+) AAACAAAGGTA >hg38_chr14:37247784-37247794(-) AAACAATATAT >hg38_chr14:37256092-37256102(+) AAACAATGTTC >hg38_chr14:37256154-37256164(-) AAATAATGGTA >hg38_chr14:37257755-37257765(+) GAACAATGTAG >hg38_chr14:37263757-37263767(+) TAACAATGACA >hg38_chr14:37268738-37268748(+) AGACAATAGCA >hg38_chr14:37274405-37274415(-) TGACAATGACA >hg38_chr14:37279722-37279732(-) tcacaatgtat >hg38_chr14:37304473-37304483(-) CAACAATAGCA >hg38_chr14:37320940-37320950(-) caacaatggaa >hg38_chr14:37324225-37324235(-) tgacaatagca >hg38_chr14:37370102-37370112(+) TTACAATAAAA >hg38_chr14:37378316-37378326(+) acacaatagaa >hg38_chr14:37384196-37384206(+) ATATAATGTCG >hg38_chr14:37414238-37414248(-) TGATAATGGAT >hg38_chr14:37451535-37451545(+) AAACAATGAGC >hg38_chr14:37533205-37533215(+) ATACAATGTCT >hg38_chr14:37559638-37559648(-) CTACAACGGAG >hg38_chr14:37567344-37567354(-) GAACAATACAC >hg38_chr14:37580237-37580247(-) TAATAATAGTA >hg38_chr14:37588341-37588351(+) AAACAATGAAG >hg38_chr14:37594248-37594258(-) TTACAATGTGC >hg38_chr14:37594288-37594298(+) GGACAATGAAG >hg38_chr14:37643854-37643864(-) GAACAATGAAA >hg38_chr14:37697064-37697074(+) TAACAATAGCT >hg38_chr14:37700913-37700923(-) GAACAATATAG >hg38_chr14:37746114-37746124(-) atacaatgacc >hg38_chr14:37790099-37790109(-) TAATAATGGAA >hg38_chr14:37810301-37810311(+) AAACAATGGGC >hg38_chr14:37819462-37819472(-) ATACAAAGGAT >hg38_chr14:37825592-37825602(+) TAACAATGCCC >hg38_chr14:37829061-37829071(-) acacaaaggag >hg38_chr14:37831629-37831639(+) gaacaatagcc >hg38_chr14:37836100-37836110(-) GGATAATGGAT >hg38_chr14:37849468-37849478(-) TGACAATGGTA >hg38_chr14:37865202-37865212(+) GTACAGTGGAG >hg38_chr14:37870979-37870989(-) GGATAATGGCT >hg38_chr14:37894689-37894699(+) ACACAATGAAG >hg38_chr14:37894732-37894742(-) TAACAAAGGTG >hg38_chr14:37900482-37900492(+) tgacaatgaat >hg38_chr14:37900762-37900772(-) aaataatggtc >hg38_chr14:37900797-37900807(+) gcacaatgagt >hg38_chr14:37983832-37983842(-) caacaatgtat >hg38_chr14:37996236-37996246(-) TAACAATGCCT >hg38_chr14:38019250-38019260(+) CTACAAAGGAA >hg38_chr14:38040600-38040610(-) CAACAATGTCC >hg38_chr14:38049000-38049010(+) aaacaataaat >hg38_chr14:38049031-38049041(+) aaacaatgcaa >hg38_chr14:38049050-38049060(+) acacaatgaca >hg38_chr14:38070946-38070956(-) CTACAAtgttt >hg38_chr14:38113724-38113734(+) TAACAATGAAG >hg38_chr14:38114594-38114604(+) GGACAATAATA >hg38_chr14:38124282-38124292(+) GAACAATATAG >hg38_chr14:38143108-38143118(-) atataatgggt >hg38_chr14:38153846-38153856(-) AAACAATGAAA >hg38_chr14:38160524-38160534(-) TAATAATGATA >hg38_chr14:38170546-38170556(+) GTACAATGGTG >hg38_chr14:38175336-38175346(+) ttacaatggat >hg38_chr14:38190172-38190182(+) aaaccatggta >hg38_chr14:38199193-38199203(-) GCACAATGGGT >hg38_chr14:38202998-38203008(-) AAACAATGTAA >hg38_chr14:38216404-38216414(+) TTACAATAGCA >hg38_chr14:38222213-38222223(+) aaacaatagca >hg38_chr14:38229047-38229057(+) CAACAATGTTT >hg38_chr14:38229070-38229080(-) ttacaatGTTT >hg38_chr14:38239056-38239066(+) GGACAATGTGG >hg38_chr14:38245669-38245679(+) tcacaatgaca >hg38_chr14:38251606-38251616(+) gaacaatggat >hg38_chr14:38274957-38274967(-) GAACAATGTAT >hg38_chr14:38290832-38290842(+) ACACAATGACT >hg38_chr14:38291226-38291236(+) TAACAATGGGA >hg38_chr14:38310780-38310790(-) TAACAATGAAA >hg38_chr14:38330729-38330739(-) TCACAATAGCT >hg38_chr14:38332350-38332360(-) ATATAATGGTT >hg38_chr14:38357582-38357592(+) AGACAATGGGA >hg38_chr14:38404637-38404647(-) atacaatagaa >hg38_chr14:38408185-38408195(+) ACACAATGACA >hg38_chr14:38409016-38409026(-) ggataatggag >hg38_chr14:38419635-38419645(-) AGACAATGTAT >hg38_chr14:38420619-38420629(-) TGACAATAGCT >hg38_chr14:38450718-38450728(-) tcacaatggct >hg38_chr14:38450748-38450758(+) gaacaatgaca >hg38_chr14:38490268-38490278(+) ttacaaaggat >hg38_chr14:38496152-38496162(-) AAATAATGGGA >hg38_chr14:38496203-38496213(-) GAATAATGGGA >hg38_chr14:38498500-38498510(-) gaacaaagggt >hg38_chr14:38506122-38506132(-) CTACAAAGGGA >hg38_chr14:38529686-38529696(-) CTACAATAGCA >hg38_chr14:38538801-38538811(+) caacaatgcca >hg38_chr14:38594570-38594580(-) GTAGAATGGTA >hg38_chr14:38594595-38594605(-) GTACAATGGAT >hg38_chr14:38613306-38613316(+) AGACAACGGAC >hg38_chr14:38613338-38613348(-) TGACAATGTTA >hg38_chr14:38624711-38624721(-) tcacaatgttt >hg38_chr14:38630919-38630929(+) aaacaatgcag >hg38_chr14:38641953-38641963(+) gaacaatgcac >hg38_chr14:38645784-38645794(+) TAACAAAGGTA >hg38_chr14:38646228-38646238(+) TCACAATAGCC >hg38_chr14:38654237-38654247(-) gaacaataaca >hg38_chr14:38684923-38684933(+) ATACAATGAGG >hg38_chr14:38688065-38688075(+) taacaatagca >hg38_chr14:38723431-38723441(-) CAACAATGACA >hg38_chr14:38760036-38760046(-) AGACAATAAGA >hg38_chr14:38770222-38770232(+) GAACAATAGTG >hg38_chr14:38775863-38775873(-) ttataatggtt >hg38_chr14:38792173-38792183(+) GCACAATGTAG >hg38_chr14:38831082-38831092(+) agacaatggag >hg38_chr14:38840467-38840477(+) aaacaatgcag >hg38_chr14:38867788-38867798(-) AAACAAAGGCC >hg38_chr14:38882770-38882780(-) ACATAATGGTC >hg38_chr14:38899970-38899980(+) TGACACTGGTA >hg38_chr14:38900461-38900471(+) TAACAATATTA >hg38_chr14:38961290-38961300(-) GTACAATGCAG >hg38_chr14:39032148-39032158(-) TGACAATGAAA >hg38_chr14:39033611-39033621(+) taacaatggaa >hg38_chr14:39034419-39034429(-) GAACAATAGAa >hg38_chr14:39034448-39034458(+) TAACAATGAAA >hg38_chr14:39061219-39061229(+) agacaatgtga >hg38_chr14:39068602-39068612(+) acacaatggag >hg38_chr14:39111968-39111978(-) GAACAAAGGTT >hg38_chr14:39111984-39111994(-) AGACAATAGGA >hg38_chr14:39125073-39125083(-) ACACAATGCAA >hg38_chr14:39179279-39179289(-) ACACAAAGGAT >hg38_chr14:39191798-39191808(-) aaacaatggaa >hg38_chr14:39202264-39202274(+) CCACAATGTCT >hg38_chr14:39209072-39209082(-) ATACAATGATG >hg38_chr14:39217321-39217331(+) caacaataggc >hg38_chr14:39256424-39256434(-) CTACAATGAAT >hg38_chr14:39303417-39303427(-) CGACAATAGAC >hg38_chr14:39303425-39303435(-) GTACAATACGA >hg38_chr14:39303446-39303456(-) CAACAATGATT >hg38_chr14:39304545-39304555(-) CAACAATGACA >hg38_chr14:39360376-39360386(-) aaacaatgtcc >hg38_chr14:39360550-39360560(-) caacaaaggac >hg38_chr14:39369754-39369764(-) TAACAATAGAA >hg38_chr14:39383133-39383143(-) gcacaatgaag >hg38_chr14:39416684-39416694(-) aaacaataaaa >hg38_chr14:39416694-39416704(-) taacaaaggga >hg38_chr14:39456110-39456120(-) acacaatggtt >hg38_chr14:39459288-39459298(-) aaacaataaaa >hg38_chr14:39488771-39488781(-) AAACAAAGGAC >hg38_chr14:39581299-39581309(-) GCACAATGCAG >hg38_chr14:39680060-39680070(+) GAACAATGGTC >hg38_chr14:39682167-39682177(+) aGACAATAGTT >hg38_chr14:39737204-39737214(-) gaacaatgtgc >hg38_chr14:39754382-39754392(-) tgacaatggag >hg38_chr14:39754432-39754442(+) gaacaatgttc >hg38_chr14:39800534-39800544(-) agacaatgttg >hg38_chr14:39878016-39878026(-) GCACAATGCAG >hg38_chr14:39884176-39884186(+) TCACAATGTCC >hg38_chr14:39884215-39884225(+) GAACAATGCCA >hg38_chr14:39989147-39989157(-) TAACAATGCCA >hg38_chr14:40054009-40054019(-) ATACAATGGTC >hg38_chr14:40097435-40097445(+) tgacaatggaa >hg38_chr14:40131673-40131683(+) AGACAATGGCT >hg38_chr14:40346931-40346941(-) GTACAATGCTT >hg38_chr14:40354946-40354956(-) ACACAATAAAA >hg38_chr14:40363161-40363171(-) agataatgggt >hg38_chr14:40371624-40371634(-) TGACAATGGAA >hg38_chr14:40446339-40446349(-) GAACAATGTGA >hg38_chr14:40601221-40601231(+) TGACAATGTAA >hg38_chr14:40601242-40601252(-) CTACAATGTCA >hg38_chr14:40629339-40629349(-) ccacaatggct >hg38_chr14:40796727-40796737(-) agacaatggaa >hg38_chr14:40859175-40859185(-) taacaaagggt >hg38_chr14:40859205-40859215(-) ggacaatgact >hg38_chr14:40968379-40968389(+) AAACAATAGGC >hg38_chr14:41046251-41046261(-) taacaaagGTT >hg38_chr14:41046266-41046276(-) ggacaatatat >hg38_chr14:41116766-41116776(+) agacaatggac >hg38_chr14:41136371-41136381(-) taacaatgcac >hg38_chr14:41216905-41216915(+) CAACAATGTGC >hg38_chr14:41216970-41216980(+) GTACAATGAAT >hg38_chr14:41293806-41293816(+) ggacaaaggac >hg38_chr14:41296290-41296300(-) CAACAATAGAC >hg38_chr14:41302957-41302967(-) GAACAATGAGA >hg38_chr14:41307510-41307520(-) CTACAATGCAC >hg38_chr14:41523165-41523175(-) GAACAATAGAT >hg38_chr14:41558380-41558390(-) ttacaataggg >hg38_chr14:41558645-41558655(-) TAACAATGAAT >hg38_chr14:41693698-41693708(-) tgacaatggca >hg38_chr14:41715380-41715390(+) TAACAATGTAG >hg38_chr14:41785999-41786009(-) gaacaatgcca >hg38_chr14:41900781-41900791(-) TCACAGTGGTA >hg38_chr14:41900863-41900873(-) TGACAATGTGA >hg38_chr14:41914897-41914907(-) atacaatacaa >hg38_chr14:41914961-41914971(-) taacaatggcc >hg38_chr14:41999824-41999834(-) aaacaataaaa >hg38_chr14:42034240-42034250(+) AAACAATGGCT >hg38_chr14:42034247-42034257(-) TTACAATAGCC >hg38_chr14:42046250-42046260(-) aaacaatgaga >hg38_chr14:42146195-42146205(+) AAACAATGACT >hg38_chr14:42410365-42410375(-) aaataatggag >hg38_chr14:42514179-42514189(-) gtacaatataa >hg38_chr14:42664440-42664450(-) agacaatgata >hg38_chr14:42813015-42813025(-) ccacaatgaaa >hg38_chr14:42855400-42855410(+) GTACAATAGCC >hg38_chr14:42965678-42965688(+) GCACAATGATG >hg38_chr14:42965689-42965699(-) CAACAATAGTA >hg38_chr14:42965714-42965724(-) GAACAATGACC >hg38_chr14:43016349-43016359(+) ACACAATGTTT >hg38_chr14:43096467-43096477(+) CCACAATGGTT >hg38_chr14:43199486-43199496(-) ccacaataggc >hg38_chr14:43471598-43471608(-) gaacaatgctc >hg38_chr14:43481100-43481110(-) TAACAATCGCA >hg38_chr14:43584640-43584650(-) TTACAATAACA >hg38_chr14:43873325-43873335(-) taacaaaggaa >hg38_chr14:43873352-43873362(-) gtacaatattc >hg38_chr14:43873359-43873369(+) gtacaatggtt >hg38_chr14:43949570-43949580(-) gaacaaagggg >hg38_chr14:44309546-44309556(-) gaacaatggtt >hg38_chr14:44335126-44335136(+) taacaaaggcc >hg38_chr14:44732488-44732498(+) gaacaatgtgt >hg38_chr14:44782833-44782843(-) GCACAATGAAG >hg38_chr14:44787887-44787897(+) GAACAATGTGA >hg38_chr14:44851241-44851251(-) tcacaatgcca >hg38_chr14:44899143-44899153(+) ATACAATTGTA >hg38_chr14:44915267-44915277(+) ctacaatgaat >hg38_chr14:44930967-44930977(+) ATACAATAGAA >hg38_chr14:44945242-44945252(+) CAACAATGGTA >hg38_chr14:44957264-44957274(-) gaacaatatga >hg38_chr14:44965705-44965715(-) ttacaatggag >hg38_chr14:44968862-44968872(+) taacaatagtt >hg38_chr14:44973327-44973337(+) taacaatatac >hg38_chr14:44995130-44995140(-) GAACAAAGGTG >hg38_chr14:45011829-45011839(-) AAACTATGGCT >hg38_chr14:45061216-45061226(-) aaataatggca >hg38_chr14:45087996-45088006(-) GAACAATAAAC >hg38_chr14:45120189-45120199(+) aaacaatgggc >hg38_chr14:45120198-45120208(+) gcacaatgggc >hg38_chr14:45121683-45121693(+) AAACAATAGCC >hg38_chr14:45121693-45121703(+) CAACAATGACC >hg38_chr14:45122107-45122117(+) ACACAATGGAT >hg38_chr14:45127652-45127662(-) taacaatatgt >hg38_chr14:45135804-45135814(+) TAATAATGCGC >hg38_chr14:45140534-45140544(+) GAATAATGATA >hg38_chr14:45150034-45150044(-) AAACAATGTGT >hg38_chr14:45181004-45181014(-) AAACAATATAT >hg38_chr14:45181541-45181551(+) TCACAATAGTA >hg38_chr14:45190677-45190687(+) ACACAATGGTC >hg38_chr14:45191804-45191814(-) TGACAATGCAA >hg38_chr14:45203608-45203618(+) CAACAATGTGT >hg38_chr14:45226420-45226430(-) GTACAATAATT >hg38_chr14:45237892-45237902(+) ATACAATGAAA >hg38_chr14:45242712-45242722(+) TAACAATATAC >hg38_chr14:45245041-45245051(-) aaataatggca >hg38_chr14:45294909-45294919(-) caacaaaggac >hg38_chr14:45330381-45330391(+) AGACAATATAG >hg38_chr14:45330620-45330630(-) GTACAATGCTT >hg38_chr14:45419400-45419410(-) tcacaatggaa >hg38_chr14:45436442-45436452(+) acacaatggcc >hg38_chr14:45450902-45450912(-) tcacaatgaca >hg38_chr14:45455095-45455105(+) CAACAATGGGA >hg38_chr14:45468290-45468300(+) GTACAATGTGT >hg38_chr14:45527454-45527464(-) ggacaataggc >hg38_chr14:45610360-45610370(-) CTACTATGGAA >hg38_chr14:45614074-45614084(-) CAACAATACGT >hg38_chr14:45626847-45626857(+) AAACAATATAT >hg38_chr14:45640271-45640281(-) atacaattgac >hg38_chr14:45640679-45640689(-) ctacAATAATA >hg38_chr14:45680664-45680674(+) GTACAATGCTT >hg38_chr14:45699442-45699452(-) ACATAATGGAA >hg38_chr14:45806404-45806414(+) TCACAATGAGT >hg38_chr14:45863962-45863972(-) GAACAATGCTG >hg38_chr14:46050110-46050120(-) ttacaatatag >hg38_chr14:46053006-46053016(-) AGACAATGAAG >hg38_chr14:46072086-46072096(-) TGACAATGAAA >hg38_chr14:46123356-46123366(-) AAACAATAAAA >hg38_chr14:46136728-46136738(+) aaacaaaggga >hg38_chr14:46147677-46147687(+) ACACAATGGGA >hg38_chr14:46175569-46175579(+) CAACAATGTAA >hg38_chr14:46362684-46362694(+) acacaatgtcc >hg38_chr14:46379731-46379741(-) gaacaatgtag >hg38_chr14:46379755-46379765(-) taacaaaggat >hg38_chr14:46379786-46379796(-) ctacaataata >hg38_chr14:46405348-46405358(+) AAACAAAGGGT >hg38_chr14:46767758-46767768(-) ATACAATGATT >hg38_chr14:46818315-46818325(-) AGATAATGGGT >hg38_chr14:46850913-46850923(-) ACACAATGGTG >hg38_chr14:46908004-46908014(-) ACACAATGGTG >hg38_chr14:46910610-46910620(+) taacaatggga >hg38_chr14:46959758-46959768(-) agacaatcgga >hg38_chr14:46986275-46986285(-) gtacaaagggc >hg38_chr14:46989845-46989855(+) gaacaatagag >hg38_chr14:47011260-47011270(-) gaacaatgtta >hg38_chr14:47133490-47133500(-) taactatggaa >hg38_chr14:47194172-47194182(+) CAACAATGCTC >hg38_chr14:47202471-47202481(+) TCACAATGGCT >hg38_chr14:47206011-47206021(-) AAACAATGTTT >hg38_chr14:47208727-47208737(+) AGACAATGGAC >hg38_chr14:47227029-47227039(-) aaacaaagggg >hg38_chr14:47250090-47250100(-) ATACAATGAAT >hg38_chr14:47353353-47353363(-) acacaataaac >hg38_chr14:47365415-47365425(-) GTACAATGAAC >hg38_chr14:47510693-47510703(-) tcacaatggaa >hg38_chr14:47510704-47510714(+) ttacaataaaa >hg38_chr14:47516670-47516680(-) GGACAATGTCC >hg38_chr14:47536159-47536169(+) aaacaatgggt >hg38_chr14:47536566-47536576(+) TAACAATGGAG >hg38_chr14:47541901-47541911(-) AGACAAAGGAG >hg38_chr14:47762239-47762249(-) ACACAATGCTA >hg38_chr14:47790840-47790850(-) ggacaatggcc >hg38_chr14:47822342-47822352(+) gtacactggac >hg38_chr14:47881409-47881419(-) ggacaaaggga >hg38_chr14:48097222-48097232(-) acacaatggca >hg38_chr14:48123235-48123245(-) ttacaatggag >hg38_chr14:48123289-48123299(-) taacaatgttt >hg38_chr14:48261640-48261650(+) ctacaatggag >hg38_chr14:48398801-48398811(+) TAACAAAGGGA >hg38_chr14:48490999-48491009(-) Gaacaatgagg >hg38_chr14:48586383-48586393(+) AGACAATGCTT >hg38_chr14:48622673-48622683(-) GAACAAAGGTG >hg38_chr14:48643428-48643438(-) taacaatagta >hg38_chr14:48643452-48643462(+) gcacaatagag >hg38_chr14:48718724-48718734(+) TAACAATGCAC >hg38_chr14:48747831-48747841(+) ACACAATGGTG >hg38_chr14:48747871-48747881(+) GAACAAAGGGA >hg38_chr14:48813742-48813752(+) aaacaatgaaa >hg38_chr14:48900462-48900472(+) GCACAATGTGG >hg38_chr14:48922898-48922908(-) GAACAATAAAG >hg38_chr14:48937945-48937955(+) ATACAATAGCA >hg38_chr14:48972074-48972084(-) GAACAATGTGT >hg38_chr14:49049838-49049848(-) GTACAATGCTG >hg38_chr14:49050547-49050557(-) CAACAATGCGG >hg38_chr14:49050610-49050620(-) AGACAATGGCT >hg38_chr14:49186323-49186333(-) gaacaatgaaa >hg38_chr14:49186382-49186392(-) acacaatataa >hg38_chr14:49282389-49282399(-) AAATAATGGTT >hg38_chr14:49417450-49417460(+) AAACAAAGGGG >hg38_chr14:49452681-49452691(-) AAACAAGGGCG >hg38_chr14:49468493-49468503(+) TTACAATAGAA >hg38_chr14:49525584-49525594(+) tgacaatgcag >hg38_chr14:49554097-49554107(+) gcacaatgtaa >hg38_chr14:49623149-49623159(-) ACACAATAGTA >hg38_chr14:49625661-49625671(-) TCACAATATAC >hg38_chr14:49625951-49625961(+) TTACAATGTTC >hg38_chr14:49627481-49627491(-) ctacaatgagg >hg38_chr14:49650376-49650386(+) ATACACTGGGC >hg38_chr14:49660340-49660350(-) taacaacgggg >hg38_chr14:49745997-49746007(+) ttacagtggtc >hg38_chr14:49779371-49779381(-) ACACAATAGGC >hg38_chr14:49783037-49783047(-) CAACAATGCAT >hg38_chr14:49796881-49796891(-) TAACAAAGGGG >hg38_chr14:49808997-49809007(+) tgataatggcc >hg38_chr14:49825802-49825812(+) aaacaatgtgc >hg38_chr14:49853037-49853047(-) ACACAATGGGG >hg38_chr14:49868460-49868470(+) ACACAATGCCT >hg38_chr14:49883473-49883483(+) taacaataaaa >hg38_chr14:49884710-49884720(-) agacaatgggt >hg38_chr14:49884748-49884758(+) gaacaatgtat >hg38_chr14:49885827-49885837(-) taacaaTAGTT >hg38_chr14:49898657-49898667(-) gtacaatatat >hg38_chr14:49898664-49898674(+) gtacaatatgt >hg38_chr14:49920234-49920244(+) GAACAATGTGT >hg38_chr14:49931809-49931819(-) caacaatggga >hg38_chr14:49975465-49975475(-) CTACAATGTTG >hg38_chr14:49989985-49989995(-) AAACAATAATA >hg38_chr14:49990064-49990074(+) ATACAATAAGG >hg38_chr14:49991672-49991682(+) agacaatgaag >hg38_chr14:50057744-50057754(+) agacaatatac >hg38_chr14:50092159-50092169(+) TAACAATGGGC >hg38_chr14:50117089-50117099(-) GAACAAAGGGG >hg38_chr14:50117624-50117634(+) TAACAATAGGC >hg38_chr14:50117652-50117662(-) AGACTATGACG >hg38_chr14:50142673-50142683(+) ctacaatagag >hg38_chr14:50158087-50158097(+) aaacaatgagt >hg38_chr14:50231592-50231602(-) AGACAAAGGAG >hg38_chr14:50247423-50247433(-) TAACAATATAG >hg38_chr14:50247458-50247468(+) TAACAATAGTG >hg38_chr14:50252594-50252604(+) tgacaatggaa >hg38_chr14:50293962-50293972(+) TGACAATGCCC >hg38_chr14:50318932-50318942(-) gaacaaaggag >hg38_chr14:50318964-50318974(-) caacaatggta >hg38_chr14:50336100-50336110(+) CAACAATGTCT >hg38_chr14:50348979-50348989(+) aaacaatgaac >hg38_chr14:50418859-50418869(+) TAACAATAGCA >hg38_chr14:50435119-50435129(-) ATACAATGAAA >hg38_chr14:50443252-50443262(+) GGACAATGTAT >hg38_chr14:50448982-50448992(-) TAACAAAGGGG >hg38_chr14:50501837-50501847(-) gaataatggaa >hg38_chr14:50522042-50522052(+) CCACAATGTTA >hg38_chr14:50530999-50531009(+) GCACAATGCGA >hg38_chr14:50567953-50567963(-) caacaatagaa >hg38_chr14:50568003-50568013(-) acacaatgtgc >hg38_chr14:50575198-50575208(-) GAACAATGACA >hg38_chr14:50578764-50578774(-) aaacaatgtaa >hg38_chr14:50585773-50585783(+) aaacaaaggct >hg38_chr14:50600039-50600049(-) AAACAATAGCC >hg38_chr14:50600283-50600293(+) aaacaatgaaa >hg38_chr14:50631612-50631622(+) GAACAATAAGA >hg38_chr14:50658330-50658340(+) AAACAAAGGAA >hg38_chr14:50669842-50669852(-) ttacaatggcc >hg38_chr14:50670271-50670281(+) GCACAATGGGG >hg38_chr14:50677613-50677623(+) TAACAATGTAG >hg38_chr14:50678688-50678698(+) gtacaatatgt >hg38_chr14:50698322-50698332(-) tgacaaaggtc >hg38_chr14:50752957-50752967(-) ggacaatgggc >hg38_chr14:50775895-50775905(+) AAACAAAGGGT >hg38_chr14:50775906-50775916(+) ATATAATGGTC >hg38_chr14:50785300-50785310(+) CCACAATAGCC >hg38_chr14:50813304-50813314(+) ATACACTGGTT >hg38_chr14:50826767-50826777(+) GCACAATGCTA >hg38_chr14:50830535-50830545(-) TAACAAAGCGT >hg38_chr14:50835482-50835492(-) AAACAATGAAC >hg38_chr14:50844250-50844260(-) TTACAGTGGAA >hg38_chr14:50859268-50859278(+) ATACAATACAT >hg38_chr14:50860649-50860659(-) AAACAATGGTG >hg38_chr14:50862270-50862280(+) AAACAATAGGC >hg38_chr14:50932404-50932414(+) atacaatgaca >hg38_chr14:50967924-50967934(-) ttacaaaggga >hg38_chr14:50984545-50984555(+) TGACTATGGAA >hg38_chr14:50984803-50984813(+) AGACAATGTGC >hg38_chr14:50986223-50986233(+) TAACAATGCAT >hg38_chr14:51004077-51004087(-) ACACAATGCTC >hg38_chr14:51006725-51006735(-) GAACAATGATT >hg38_chr14:51028364-51028374(-) TTACAATAAGA >hg38_chr14:51028385-51028395(-) AAACAATGTGC >hg38_chr14:51028445-51028455(-) TAACAATGTTC >hg38_chr14:51038599-51038609(+) CAACAATGTGA >hg38_chr14:51041972-51041982(+) GAACAATGTGG >hg38_chr14:51043371-51043381(+) GAACAATGAAG >hg38_chr14:51102980-51102990(-) atacaatagta >hg38_chr14:51118845-51118855(+) gcacaatggtc >hg38_chr14:51122074-51122084(-) AAACAAAGGGA >hg38_chr14:51122312-51122322(-) TTACAATGCTA >hg38_chr14:51122856-51122866(+) ttacaatgcac >hg38_chr14:51122906-51122916(-) atacaatgcct >hg38_chr14:51138626-51138636(+) caacaatagac >hg38_chr14:51182200-51182210(+) AAACAATAGAT >hg38_chr14:51242918-51242928(-) TTACAATGAAA >hg38_chr14:51242933-51242943(-) TAACAATAAAT >hg38_chr14:51265421-51265431(+) GAACAATATAA >hg38_chr14:51277267-51277277(+) TAACAATAGGG >hg38_chr14:51282843-51282853(-) GTACAATGGTT >hg38_chr14:51282897-51282907(-) AGACAATAAAC >hg38_chr14:51371955-51371965(+) agacaatgggc >hg38_chr14:51395710-51395720(-) GCACAATGTAG >hg38_chr14:51411103-51411113(-) agacaatgact >hg38_chr14:51422257-51422267(-) TGATAATGGTA >hg38_chr14:51424434-51424444(-) TCACAATGAAG >hg38_chr14:51424744-51424754(-) ATACAATACAC >hg38_chr14:51448994-51449004(+) AAACAATGCCT >hg38_chr14:51454033-51454043(+) GCACAATGCCT >hg38_chr14:51507216-51507226(-) TGACAATGGGA >hg38_chr14:51521478-51521488(+) ACACAATGTAC >hg38_chr14:51565228-51565238(+) GAACAATGCAA >hg38_chr14:51566216-51566226(+) GAACAAAGGAA >hg38_chr14:51617168-51617178(-) GAACAATGACT >hg38_chr14:51676705-51676715(+) aaacaataata >hg38_chr14:51676728-51676738(-) atacaatggtg >hg38_chr14:51681990-51682000(-) CCACAATGTGT >hg38_chr14:51691200-51691210(-) caacaatgtaa >hg38_chr14:51691218-51691228(-) gtacaatggaa >hg38_chr14:51704890-51704900(+) GCACTATGGAA >hg38_chr14:51717995-51718005(-) AGACAATGAGG >hg38_chr14:51737739-51737749(+) aaacaatgcaa >hg38_chr14:51846871-51846881(-) AGACAAAGGAT >hg38_chr14:51860413-51860423(+) GAACAAAGGGA >hg38_chr14:51863034-51863044(-) AAATAATGGAG >hg38_chr14:51863318-51863328(-) ttacaatgagg >hg38_chr14:51872688-51872698(-) TCACAATAGGG >hg38_chr14:51872711-51872721(+) AAACAATGGTG >hg38_chr14:51941795-51941805(-) GAACAATAACC >hg38_chr14:51943515-51943525(-) ACATAATGGGG >hg38_chr14:51989368-51989378(-) GTACAATAGGC >hg38_chr14:51990182-51990192(+) ACACAATGAAA >hg38_chr14:51993815-51993825(+) AGATAATGGTA >hg38_chr14:52000153-52000163(-) aaacaatggac >hg38_chr14:52007423-52007433(+) CTACAATGCTT >hg38_chr14:52034776-52034786(+) ATACAGTGGCT >hg38_chr14:52062065-52062075(-) GAACAAAGGGA >hg38_chr14:52251987-52251997(-) TTACAATGAGA >hg38_chr14:52286334-52286344(-) aaacaatgtgt >hg38_chr14:52286351-52286361(-) agacaataggg >hg38_chr14:52286833-52286843(+) GTACAAAGGCA >hg38_chr14:52299917-52299927(+) TGACAATGTTT >hg38_chr14:52299927-52299937(+) TCACAATAGTC >hg38_chr14:52357004-52357014(+) AGACTATGGCG >hg38_chr14:52357036-52357046(+) GAACAATAGAA >hg38_chr14:52391989-52391999(-) CAATAATGGGA >hg38_chr14:52427518-52427528(+) agacaataaac >hg38_chr14:52514794-52514804(+) GCATAATGGAA >hg38_chr14:52540143-52540153(-) atacaataacc >hg38_chr14:52540164-52540174(-) agacaatgaat >hg38_chr14:52551497-52551507(+) GGACAAAGGAT >hg38_chr14:52554053-52554063(-) CAACAATAGCA >hg38_chr14:52554428-52554438(-) GAACAATGCAA >hg38_chr14:52555733-52555743(-) TTACAAAGGAA >hg38_chr14:52609842-52609852(+) agacaaaggca >hg38_chr14:52627526-52627536(+) cgacaatgcag >hg38_chr14:52634668-52634678(-) CAACAATGTAG >hg38_chr14:52658987-52658997(+) AAATAATGGAA >hg38_chr14:52660746-52660756(-) TAACAATATGA >hg38_chr14:52664042-52664052(-) CCACAATGGGA >hg38_chr14:52728223-52728233(-) CAACAATGAGC >hg38_chr14:52740919-52740929(+) ccacaatagga >hg38_chr14:52782629-52782639(-) TAACAATATGA >hg38_chr14:52791872-52791882(-) AGACAATGACG >hg38_chr14:52835294-52835304(-) AAATAATGGAA >hg38_chr14:52865646-52865656(+) GCACAATGTCA >hg38_chr14:52895150-52895160(+) ccacaatgaga >hg38_chr14:52915803-52915813(+) GAACAAAGGTT >hg38_chr14:52930274-52930284(-) GCACAATAGAG >hg38_chr14:52932459-52932469(+) TAATAATGGCA >hg38_chr14:52948735-52948745(-) AAATAATGGTT >hg38_chr14:52949134-52949144(+) AAACAATGCAG >hg38_chr14:52949470-52949480(+) AGACAATGCCA >hg38_chr14:53014603-53014613(-) gaacaatagca >hg38_chr14:53021870-53021880(+) gaacaataggg >hg38_chr14:53035913-53035923(-) AAACAAAGGAG >hg38_chr14:53045785-53045795(+) AAACAATGTGC >hg38_chr14:53048835-53048845(+) GCACAatgaag >hg38_chr14:53048861-53048871(+) ttacaaaggaa >hg38_chr14:53055023-53055033(+) AAACAATGTAT >hg38_chr14:53062837-53062847(+) GAACAATGCAT >hg38_chr14:53096006-53096016(+) ATACAATGGAC >hg38_chr14:53144297-53144307(+) atacaatgaga >hg38_chr14:53149997-53150007(-) GGACAATAGCT >hg38_chr14:53153293-53153303(-) TGACAATGGGG >hg38_chr14:53204785-53204795(+) acacaatggat >hg38_chr14:53213640-53213650(-) AGACAATGTAA >hg38_chr14:53235044-53235054(-) TCACAATAGAA >hg38_chr14:53235106-53235116(+) CTACAATGCTT >hg38_chr14:53260767-53260777(-) gaacaaagggg >hg38_chr14:53307140-53307150(-) GTACAATATCA >hg38_chr14:53316660-53316670(-) caacaatgtgg >hg38_chr14:53356566-53356576(+) CAACAATGCCC >hg38_chr14:53356867-53356877(+) gaacaatgggt >hg38_chr14:53356886-53356896(+) ttacagtggtc >hg38_chr14:53365917-53365927(-) atacaatgggc >hg38_chr14:53368878-53368888(-) TGACAATGCAT >hg38_chr14:53369993-53370003(-) AAACAAAGGCA >hg38_chr14:53370032-53370042(-) AAACAATGGGA >hg38_chr14:53380470-53380480(+) atataatggaa >hg38_chr14:53404386-53404396(-) TAACTATGGGG >hg38_chr14:53422429-53422439(-) CTACAATGGAC >hg38_chr14:53422496-53422506(-) TAACAATAGTT >hg38_chr14:53473998-53474008(-) AGACAATGGTG >hg38_chr14:53474405-53474415(+) ATACAATGAAG >hg38_chr14:53474462-53474472(+) ATACAATTGAT >hg38_chr14:53493940-53493950(-) CTACAATGACA >hg38_chr14:53509307-53509317(-) AGACAATGATG >hg38_chr14:53532762-53532772(-) CAACAATGACA >hg38_chr14:53532991-53533001(-) CAACAATGGGA >hg38_chr14:53534915-53534925(+) taataatggct >hg38_chr14:53541770-53541780(-) AGACAAAGGGC >hg38_chr14:53567429-53567439(+) GGACAATAAGA >hg38_chr14:53585262-53585272(+) AGACAATGACA >hg38_chr14:53585455-53585465(+) CTACAATGTAG >hg38_chr14:53647182-53647192(+) agacaatgaag >hg38_chr14:53654739-53654749(-) TGACAATGCAA >hg38_chr14:53667225-53667235(+) GAACACTGGAA >hg38_chr14:53697924-53697934(+) acacaatggaa >hg38_chr14:53742438-53742448(+) GTACAATGGAA >hg38_chr14:53766293-53766303(-) acacaatgatg >hg38_chr14:53778086-53778096(+) ccacaatggga >hg38_chr14:53791832-53791842(-) GTATAATGGCA >hg38_chr14:53807680-53807690(-) GAACAATGTAC >hg38_chr14:53820700-53820710(-) atacaatatgt >hg38_chr14:53820754-53820764(+) ccacaatgtca >hg38_chr14:53820767-53820777(+) aaacaatagaa >hg38_chr14:53821629-53821639(+) taacaataatt >hg38_chr14:53823789-53823799(-) gaacaaaggtc >hg38_chr14:53848687-53848697(-) GCACAATAGGA >hg38_chr14:53851023-53851033(-) ttacaatagga >hg38_chr14:53852637-53852647(-) agacaatgtgg >hg38_chr14:53890664-53890674(+) AGACAATGCTG >hg38_chr14:53921200-53921210(-) TCACAATGCTC >hg38_chr14:53921245-53921255(+) GTACAAAGGAA >hg38_chr14:53965509-53965519(-) TAACAATGGTC >hg38_chr14:53979635-53979645(-) ATACAATGGCC >hg38_chr14:54040582-54040592(+) ACACAATGATG >hg38_chr14:54046858-54046868(+) ATACAATGTGA >hg38_chr14:54084786-54084796(-) TAacaatggca >hg38_chr14:54116852-54116862(+) TGACAAAGGAA >hg38_chr14:54120357-54120367(-) ACATAATGGGA >hg38_chr14:54257494-54257504(+) AAACAATGTCC >hg38_chr14:54257574-54257584(+) TTACAAAGGCC >hg38_chr14:54261299-54261309(+) aaacaaaggat >hg38_chr14:54261606-54261616(+) tgacaatgctt >hg38_chr14:54261641-54261651(+) taacaaaggca >hg38_chr14:54291413-54291423(-) GAACAAAGGAA >hg38_chr14:54325800-54325810(-) TAACAATGACT >hg38_chr14:54348156-54348166(+) TGACAATGGCA >hg38_chr14:54348237-54348247(+) GAACAATGTCT >hg38_chr14:54353919-54353929(+) ccacaatgtag >hg38_chr14:54362312-54362322(+) ggacaaaggga >hg38_chr14:54415004-54415014(+) ATACAAtacaa >hg38_chr14:54415009-54415019(+) Atacaatacaa >hg38_chr14:54436134-54436144(+) CGACAATGTAA >hg38_chr14:54441526-54441536(-) GGACAATGTCA >hg38_chr14:54457698-54457708(+) caacaatggaa >hg38_chr14:54487879-54487889(-) ggacaatgctc >hg38_chr14:54526765-54526775(+) ACACAATGTAC >hg38_chr14:54528600-54528610(+) TGACAAAGGGA >hg38_chr14:54529123-54529133(+) gaacaatgacc >hg38_chr14:54531433-54531443(+) ATATAATGGTT >hg38_chr14:54570711-54570721(+) gaactatggaa >hg38_chr14:54588605-54588615(+) CTACAATGGAT >hg38_chr14:54588612-54588622(-) TAACAATATCC >hg38_chr14:54591681-54591691(-) acacaatgagg >hg38_chr14:54616211-54616221(-) GAACAATAAAG >hg38_chr14:54616301-54616311(+) GGACAATGCCT >hg38_chr14:54645730-54645740(-) CAACAATGCCT >hg38_chr14:54651305-54651315(-) TTACAATAAAA >hg38_chr14:54659532-54659542(+) GGACAATGAAT >hg38_chr14:54665194-54665204(+) TAACAATAAAA >hg38_chr14:54677512-54677522(+) GCACAATGCCA >hg38_chr14:54721208-54721218(-) agacaatggaa >hg38_chr14:54791999-54792009(-) GGACAATGTAG >hg38_chr14:54793930-54793940(-) CAACAATGTCT >hg38_chr14:54798397-54798407(-) gtacaaagggg >hg38_chr14:54849034-54849044(+) ggacaatagtg >hg38_chr14:54891791-54891801(-) ggacaaaggat >hg38_chr14:54891809-54891819(-) agacaatgtgg >hg38_chr14:54902743-54902753(+) GGACAATGGGC >hg38_chr14:54916315-54916325(+) taacaataaca >hg38_chr14:54970907-54970917(+) acacaataggg >hg38_chr14:54987243-54987253(+) AAACAATCGAA >hg38_chr14:54994334-54994344(-) gcataatggca >hg38_chr14:55010835-55010845(+) CCACAATGGCC >hg38_chr14:55014475-55014485(-) atacaatggtg >hg38_chr14:55028227-55028237(-) ACACAATGCCA >hg38_chr14:55052186-55052196(-) GAACAAAGGGA >hg38_chr14:55052769-55052779(+) CCACAATGCAA >hg38_chr14:55055550-55055560(+) atacactggac >hg38_chr14:55055557-55055567(+) ggacaaaggga >hg38_chr14:55068454-55068464(+) AAACAATAAGT >hg38_chr14:55085343-55085353(-) TAACAATGAAA >hg38_chr14:55118308-55118318(-) TCACAATGGAA >hg38_chr14:55142360-55142370(-) AGACAATAGAT >hg38_chr14:55142659-55142669(-) AAACAATGACT >hg38_chr14:55168714-55168724(+) ATACAATACTC >hg38_chr14:55307131-55307141(-) AGACAATGGCA >hg38_chr14:55310480-55310490(+) TAATAATGGAG >hg38_chr14:55322673-55322683(-) GGACAATAATA >hg38_chr14:55328415-55328425(+) taacaatagag >hg38_chr14:55347828-55347838(+) GCACAATGGCG >hg38_chr14:55374766-55374776(-) agataatggtt >hg38_chr14:55434686-55434696(-) TGACAATGGTG >hg38_chr14:55446324-55446334(-) GAACAATGTTT >hg38_chr14:55454288-55454298(-) aaacaatgaaa >hg38_chr14:55482829-55482839(-) gtacaaaggca >hg38_chr14:55482877-55482887(+) gcataatggat >hg38_chr14:55488071-55488081(-) GAACAATAGTG >hg38_chr14:55606990-55607000(-) AAACAATGAAA >hg38_chr14:55607593-55607603(-) GAACAATAGGA >hg38_chr14:55609804-55609814(-) AAACAATGAGT >hg38_chr14:55612072-55612082(-) GAACAATAAAA >hg38_chr14:55624568-55624578(+) ctacaatggga >hg38_chr14:55650531-55650541(-) GGACAATGGAA >hg38_chr14:55650556-55650566(-) TGACAATGACA >hg38_chr14:55653696-55653706(-) TAACAATAAAG >hg38_chr14:55654697-55654707(-) taacaatgtac >hg38_chr14:55654706-55654716(-) taacaacgata >hg38_chr14:55683544-55683554(-) gtacaattgac >hg38_chr14:55693376-55693386(-) atacaatgcca >hg38_chr14:55694651-55694661(-) ttacaataaca >hg38_chr14:55699359-55699369(-) agacaatgcca >hg38_chr14:55707439-55707449(-) tgacaatgacg >hg38_chr14:55707770-55707780(-) GAACAATGAAA >hg38_chr14:55741435-55741445(+) GGACAATGCCC >hg38_chr14:55752778-55752788(+) TGACAATGGCT >hg38_chr14:55752792-55752802(-) AAACAATGAGG >hg38_chr14:55753211-55753221(-) gcacaatgcct >hg38_chr14:55753280-55753290(+) GTACAATGAGG >hg38_chr14:55756774-55756784(-) TAACAAAGGGG >hg38_chr14:55758181-55758191(+) aaacaatggaa >hg38_chr14:55758866-55758876(-) GGACAATAGCT >hg38_chr14:55796017-55796027(-) TTACAATGTTt >hg38_chr14:55797633-55797643(+) GGACAATGAGC >hg38_chr14:55800653-55800663(-) ggataatggct >hg38_chr14:55843334-55843344(+) CCACAATGATT >hg38_chr14:55889335-55889345(-) GTATAATGGGA >hg38_chr14:55903168-55903178(-) ttacaataact >hg38_chr14:55910702-55910712(-) TAACAATAGCA >hg38_chr14:55910711-55910721(-) GGACAAAGGTA >hg38_chr14:55910740-55910750(+) GGACAATAGGC >hg38_chr14:55915571-55915581(+) GGACAATGTCT >hg38_chr14:55915634-55915644(+) CCACAATGTGC >hg38_chr14:55938704-55938714(+) AAACAATGAAT >hg38_chr14:55951432-55951442(-) acacaatgtca >hg38_chr14:55958080-55958090(-) CTACAATGCAG >hg38_chr14:55958113-55958123(-) GGACAATGGCA >hg38_chr14:56007714-56007724(+) GAACAATGGTT >hg38_chr14:56009874-56009884(+) agacaatagac >hg38_chr14:56022293-56022303(-) CTACAAAGGGA >hg38_chr14:56029255-56029265(-) AAACAATGAAC >hg38_chr14:56029736-56029746(+) agacagtggta >hg38_chr14:56083829-56083839(+) TAACAATAGCT >hg38_chr14:56089075-56089085(+) TAACAATGAAG >hg38_chr14:56120355-56120365(-) CAACAATGATT >hg38_chr14:56129288-56129298(-) AGACAAAGGTA >hg38_chr14:56129325-56129335(+) TCACAATGGGC >hg38_chr14:56132649-56132659(+) AGACAATAGAG >hg38_chr14:56172709-56172719(+) AGACAATGGAA >hg38_chr14:56185843-56185853(-) AAACAATGAAT >hg38_chr14:56225134-56225144(+) GAACAATGAGG >hg38_chr14:56243652-56243662(-) acacaataata >hg38_chr14:56272158-56272168(-) ACACAATAGTC >hg38_chr14:56272176-56272186(+) GAACAATAACT >hg38_chr14:56300650-56300660(-) TAACAATGGTG >hg38_chr14:56333980-56333990(+) taacaatgtgg >hg38_chr14:56334005-56334015(+) atacaatgtta >hg38_chr14:56379033-56379043(-) TTACAATGGTT >hg38_chr14:56464913-56464923(+) taacaATATGA >hg38_chr14:56506055-56506065(+) GAACAATGAAG >hg38_chr14:56518723-56518733(-) GAACAAAGGGA >hg38_chr14:56519567-56519577(+) GGACAAAGGGC >hg38_chr14:56525048-56525058(+) GAACAATGGCG >hg38_chr14:56587814-56587824(+) AAACAATAGAC >hg38_chr14:56660159-56660169(-) ACACAATGCTT >hg38_chr14:56667487-56667497(+) acacaatagcc >hg38_chr14:56749009-56749019(+) AGACAAAGGTG >hg38_chr14:56829137-56829147(+) CCACAATAGTC >hg38_chr14:56829323-56829333(+) acacaatagat >hg38_chr14:56829352-56829362(-) taacaatgaag >hg38_chr14:56847206-56847216(+) acacaatgaga >hg38_chr14:56874637-56874647(-) aaacaatgggg >hg38_chr14:56884161-56884171(-) ACACAATGCCC >hg38_chr14:56886453-56886463(+) GTACAATATAT >hg38_chr14:56902503-56902513(-) TAACAATAGCC >hg38_chr14:56903493-56903503(+) TCACAATGCAA >hg38_chr14:56953287-56953297(+) GTACAATGAGT >hg38_chr14:56954197-56954207(+) AAACAATAACC >hg38_chr14:56998866-56998876(+) AAACAATGACT >hg38_chr14:57003817-57003827(+) TTACAATGTAA >hg38_chr14:57039751-57039761(-) acacaatgatc >hg38_chr14:57065734-57065744(-) TTACAATAACC >hg38_chr14:57080513-57080523(-) GAACAAAGGCT >hg38_chr14:57216196-57216206(-) taacaatattc >hg38_chr14:57222068-57222078(-) CTACAATGTAT >hg38_chr14:57227078-57227088(+) taacaatatag >hg38_chr14:57243473-57243483(+) GGACAATGTTG >hg38_chr14:57259868-57259878(+) GAACAATGCCG >hg38_chr14:57267405-57267415(+) TAACAATAACA >hg38_chr14:57286914-57286924(+) AGACAATAAAA >hg38_chr14:57288047-57288057(-) TAACAATGAGA >hg38_chr14:57288089-57288099(+) AGACAATATAG >hg38_chr14:57292117-57292127(-) GTACAATGGTT >hg38_chr14:57292566-57292576(+) TAACAATGATA >hg38_chr14:57294775-57294785(-) ACATAATGGGA >hg38_chr14:57296003-57296013(+) GAACAATAGAT >hg38_chr14:57298561-57298571(+) TTATAATGGCC >hg38_chr14:57349514-57349524(-) GGACTATGGCA >hg38_chr14:57350442-57350452(-) AAACAATAGCA >hg38_chr14:57360622-57360632(+) gcacaatggta >hg38_chr14:57383320-57383330(+) TAACAATAGGT >hg38_chr14:57388551-57388561(+) aaacaATGTAT >hg38_chr14:57392977-57392987(-) ATACAATGCTT >hg38_chr14:57450948-57450958(-) caacaatgtat >hg38_chr14:57492629-57492639(+) AGACAATAGCC >hg38_chr14:57501428-57501438(+) aaacaaaggga >hg38_chr14:57572746-57572756(-) GAACAATGATG >hg38_chr14:57602917-57602927(+) TAACTATGGCT >hg38_chr14:57640576-57640586(-) atacaatatcc >hg38_chr14:57658343-57658353(-) AAATAATGGAT >hg38_chr14:57751491-57751501(-) GTACAATGAAT >hg38_chr14:57755287-57755297(+) TGACAATGCAG >hg38_chr14:57811663-57811673(+) AAACGATGGCT >hg38_chr14:57835523-57835533(-) TGACAATGAGA >hg38_chr14:57835537-57835547(+) GAACAATACGC >hg38_chr14:57835902-57835912(-) AAAcaatggaa >hg38_chr14:57871621-57871631(-) taacaatgact >hg38_chr14:57913611-57913621(-) caacaatgatt >hg38_chr14:57931926-57931936(-) AGACAATAGTT >hg38_chr14:57944347-57944357(+) AAACAATAGCT >hg38_chr14:57987901-57987911(+) tgacaatggct >hg38_chr14:58028661-58028671(-) ggacactggac >hg38_chr14:58082706-58082716(-) GAACAATGTCT >hg38_chr14:58104853-58104863(+) AAACAATGAGA >hg38_chr14:58134189-58134199(-) gaacaaaggca >hg38_chr14:58134217-58134227(-) GTACAGTGGTT >hg38_chr14:58148549-58148559(-) AAACAAAGGAA >hg38_chr14:58149374-58149384(+) TCACAATGGAA >hg38_chr14:58170730-58170740(+) GGATAATGGGA >hg38_chr14:58174466-58174476(-) ttacaatggca >hg38_chr14:58174474-58174484(+) taacaatatta >hg38_chr14:58176686-58176696(-) CTATAATGGCA >hg38_chr14:58176697-58176707(+) AAATAATGGTT >hg38_chr14:58240481-58240491(+) TGACAATGAGA >hg38_chr14:58244128-58244138(-) agacaatggag >hg38_chr14:58244172-58244182(-) taacaataaat >hg38_chr14:58286007-58286017(+) CTACAATGGAA >hg38_chr14:58288981-58288991(+) tTACAATGGGC >hg38_chr14:58288988-58288998(-) AAACAATGCCC >hg38_chr14:58305296-58305306(-) CAACAATGTGA >hg38_chr14:58332805-58332815(+) TTACAATAATC >hg38_chr14:58338070-58338080(-) ACACAAAGGCA >hg38_chr14:58373536-58373546(-) AAACAATGATT >hg38_chr14:58373562-58373572(-) TCACAATGTAG >hg38_chr14:58385703-58385713(+) GCACAATGGAC >hg38_chr14:58410642-58410652(+) GTACAATGTAA >hg38_chr14:58421457-58421467(-) gtacaatgtca >hg38_chr14:58421486-58421496(-) ccacaatggta >hg38_chr14:58428398-58428408(-) AGACAATGCCG >hg38_chr14:58479786-58479796(-) gaataatgata >hg38_chr14:58492744-58492754(+) acacaatatta >hg38_chr14:58515524-58515534(-) ATACAAAGGCA >hg38_chr14:58515551-58515561(+) TTACAATAGCT >hg38_chr14:58533491-58533501(-) AGACAATAACA >hg38_chr14:58560619-58560629(-) AGACAATAAAA >hg38_chr14:58561717-58561727(-) GAACAATGCAA >hg38_chr14:58607391-58607401(-) GCACAATGGAT >hg38_chr14:58607413-58607423(+) ACACAATGGAT >hg38_chr14:58628586-58628596(+) CCACAATGGAC >hg38_chr14:58637179-58637189(-) TTACAAtaaga >hg38_chr14:58639386-58639396(+) GAATAATGGCG >hg38_chr14:58663899-58663909(-) GAACAATGCTG >hg38_chr14:58664091-58664101(-) AAACAATGGGA >hg38_chr14:58683600-58683610(+) aaacaaaggtg >hg38_chr14:58697716-58697726(+) aaacaatGCCC >hg38_chr14:58717520-58717530(+) GAACAATATGA >hg38_chr14:58725507-58725517(-) GTACAAAGGTC >hg38_chr14:58730117-58730127(-) AGACAAAGGGT >hg38_chr14:58730813-58730823(-) atacaatatca >hg38_chr14:58732303-58732313(+) taacaatgcct >hg38_chr14:58752860-58752870(+) CAACAATAGAA >hg38_chr14:58800384-58800394(-) CAACAATAGTG >hg38_chr14:58800396-58800406(+) CAACAATGTTA >hg38_chr14:58800406-58800416(-) TAACAATGGAT >hg38_chr14:58815548-58815558(-) GCACAATAATA >hg38_chr14:58828214-58828224(+) CCACAATGGGC >hg38_chr14:58829824-58829834(-) CAACAATGTTT >hg38_chr14:58914503-58914513(+) GAACAATGAAG >hg38_chr14:58948057-58948067(-) AGACAATGAAA >hg38_chr14:58949013-58949023(-) gaacaaaggga >hg38_chr14:58953530-58953540(-) GAACAATAGAG >hg38_chr14:58970372-58970382(-) TCACAATGTTT >hg38_chr14:58994293-58994303(-) aaacgatgggg >hg38_chr14:58994829-58994839(-) caacaatgaac >hg38_chr14:59053481-59053491(+) GTACAAAGGGA >hg38_chr14:59053843-59053853(+) aaactatggaa >hg38_chr14:59065421-59065431(+) TAACAATGCAA >hg38_chr14:59098398-59098408(-) atacaatagga >hg38_chr14:59147288-59147298(+) GAACAATAGAA >hg38_chr14:59154244-59154254(-) GCACAATGGAT >hg38_chr14:59186594-59186604(-) ACACAATAGAC >hg38_chr14:59262313-59262323(-) GAACAATAGGC >hg38_chr14:59278374-59278384(+) ggacaaagggt >hg38_chr14:59282226-59282236(-) ACACAATGAAC >hg38_chr14:59299584-59299594(+) GAACAATAGTG >hg38_chr14:59312582-59312592(+) AAACAATGTAA >hg38_chr14:59321204-59321214(+) taacaaaggag >hg38_chr14:59331554-59331564(-) ACACAATGAGC >hg38_chr14:59333356-59333366(+) ACACAATGAAG >hg38_chr14:59339993-59340003(+) CAACAATGTAA >hg38_chr14:59345041-59345051(-) TAACAATAGGG >hg38_chr14:59345075-59345085(+) ACACAATGGTG >hg38_chr14:59358249-59358259(+) TGACAATGGGA >hg38_chr14:59367960-59367970(+) atataatggaa >hg38_chr14:59368177-59368187(+) CTACAATGTGT >hg38_chr14:59368215-59368225(-) ACACAATAGTT >hg38_chr14:59372246-59372256(-) AGACAATGAAG >hg38_chr14:59372269-59372279(+) AAACAATGATC >hg38_chr14:59372476-59372486(-) caacaatgaaa >hg38_chr14:59433369-59433379(+) AAACAATGTTC >hg38_chr14:59438544-59438554(+) acacaatgttc >hg38_chr14:59438559-59438569(-) gaacaatgctg >hg38_chr14:59441806-59441816(+) CCACAATAGCA >hg38_chr14:59444388-59444398(+) TGATAATGGAG >hg38_chr14:59488497-59488507(+) gaataatggca >hg38_chr14:59512187-59512197(+) gaacaataaaa >hg38_chr14:59542110-59542120(-) ACACAAAGGGA >hg38_chr14:59564583-59564593(-) AAACAATGTTT >hg38_chr14:59680084-59680094(-) GTACCATGGAA >hg38_chr14:59700483-59700493(+) ctacaatagtc >hg38_chr14:59700521-59700531(+) agacaataaat >hg38_chr14:59760121-59760131(+) aaacaatgtat >hg38_chr14:59772366-59772376(-) GAACAATGGAA >hg38_chr14:59814429-59814439(-) ATACAACGGCC >hg38_chr14:59830107-59830117(-) AAACAATGGGG >hg38_chr14:59835127-59835137(-) tcacaatgcgt >hg38_chr14:59835145-59835155(+) atacaatgcag >hg38_chr14:59866224-59866234(+) ACACAAAGGAG >hg38_chr14:59917215-59917225(+) GAACAAAGGCT >hg38_chr14:59933807-59933817(+) gtacaaaggca >hg38_chr14:59933855-59933865(-) tgacaatgctg >hg38_chr14:59964127-59964137(+) ATACAGTGGAG >hg38_chr14:59986395-59986405(-) TTACAATGGTA >hg38_chr14:60007094-60007104(-) aaacaatgaag >hg38_chr14:60007595-60007605(-) GAATAATGGCT >hg38_chr14:60044988-60044998(+) ttataatggtt >hg38_chr14:60044997-60045007(-) agacaatataa >hg38_chr14:60068102-60068112(+) GAACAATGGAC >hg38_chr14:60102151-60102161(-) gcacaatgttg >hg38_chr14:60112183-60112193(+) CTACAATGCTT >hg38_chr14:60133628-60133638(-) ATACAATAGGC >hg38_chr14:60161491-60161501(-) acataatggct >hg38_chr14:60163916-60163926(+) gaacaatcgat >hg38_chr14:60211380-60211390(-) gaacaaaggta >hg38_chr14:60215761-60215771(-) TCACAATGGAT >hg38_chr14:60241203-60241213(-) ttacaatgcac >hg38_chr14:60241251-60241261(-) caacaatgaca >hg38_chr14:60241280-60241290(+) gcacaatgcac >hg38_chr14:60251869-60251879(+) AGACAAAGGGT >hg38_chr14:60256927-60256937(-) ATACAATGGAA >hg38_chr14:60258495-60258505(+) CAATAATGGGA >hg38_chr14:60258771-60258781(+) GTATAATGGCT >hg38_chr14:60266606-60266616(-) caataatgggt >hg38_chr14:60267183-60267193(-) AAATAATGGTT >hg38_chr14:60267412-60267422(-) taacaatgagc >hg38_chr14:60270074-60270084(-) aaacaatgcag >hg38_chr14:60276082-60276092(-) GAACAATAGAG >hg38_chr14:60278521-60278531(-) TAACAATGGGA >hg38_chr14:60288733-60288743(-) TAACAATGTGT >hg38_chr14:60296831-60296841(-) TGACAATGAAT >hg38_chr14:60296881-60296891(-) GAACAATCGAA >hg38_chr14:60306895-60306905(-) tcacaatagcc >hg38_chr14:60317732-60317742(-) AGACAATAGAA >hg38_chr14:60377746-60377756(-) CTACAATAGAG >hg38_chr14:60437650-60437660(-) ggacaatagac >hg38_chr14:60494587-60494597(-) TGACAATAGCT >hg38_chr14:60502295-60502305(+) ACACAAAGGAG >hg38_chr14:60513285-60513295(-) CTACAATGGGA >hg38_chr14:60515074-60515084(-) GGACAATGACC >hg38_chr14:60535994-60536004(+) TTACAATGCTT >hg38_chr14:60599950-60599960(+) GGACAATAGAG >hg38_chr14:60619218-60619228(+) TGACAAAGGAA >hg38_chr14:60650841-60650851(-) TGACAATAGAT >hg38_chr14:60650876-60650886(+) GAACAATGCGT >hg38_chr14:60665509-60665519(-) GAACAATGTGA >hg38_chr14:60669131-60669141(+) acataatggcc >hg38_chr14:60687117-60687127(-) GCACAATGCAA >hg38_chr14:60733541-60733551(+) agacaatagca >hg38_chr14:60760788-60760798(-) GCACAATGTAC >hg38_chr14:60763525-60763535(-) TTACAATGGAG >hg38_chr14:60770879-60770889(-) taacagtggaa >hg38_chr14:60770897-60770907(-) ctacaatggaa >hg38_chr14:60804291-60804301(-) GTACAATAGCC >hg38_chr14:60815619-60815629(-) CAACAATGGTA >hg38_chr14:60815629-60815639(-) ACACAATGTAC >hg38_chr14:60879572-60879582(-) GTACAATGCAT >hg38_chr14:60892178-60892188(-) gaacaatagaa >hg38_chr14:60892737-60892747(-) acacaatagag >hg38_chr14:60922243-60922253(+) ATATAATGGAC >hg38_chr14:60925091-60925101(+) aaacaatagtt >hg38_chr14:60959736-60959746(-) gaacaataatt >hg38_chr14:61030904-61030914(+) AAACAAAGGAG >hg38_chr14:61033750-61033760(-) TAACAATAAAG >hg38_chr14:61051162-61051172(+) GAACAAAGGCT >hg38_chr14:61080901-61080911(-) TGACAATGCGT >hg38_chr14:61080949-61080959(-) CAACAATGTGA >hg38_chr14:61102075-61102085(-) TCACAATGCAA >hg38_chr14:61119245-61119255(-) acacaataggt >hg38_chr14:61127319-61127329(-) AGACAAAGGAA >hg38_chr14:61131499-61131509(+) GTACAATGAAG >hg38_chr14:61145795-61145805(-) AGACAATGTTC >hg38_chr14:61146766-61146776(+) gcacaatgtat >hg38_chr14:61152833-61152843(+) ggacaatgcag >hg38_chr14:61174663-61174673(+) CAACAATAGCT >hg38_chr14:61226672-61226682(+) AGACAATGGTC >hg38_chr14:61275402-61275412(-) TAATAATGATA >hg38_chr14:61304929-61304939(-) gaacaatgcta >hg38_chr14:61346959-61346969(-) AAACAATGCTT >hg38_chr14:61356184-61356194(-) AAACAATGGAA >hg38_chr14:61429488-61429498(+) GTACAATAACT >hg38_chr14:61429514-61429524(+) AGATAATGGAG >hg38_chr14:61429534-61429544(-) AAACAATGGGT >hg38_chr14:61439230-61439240(+) AAACAATGAGG >hg38_chr14:61460466-61460476(-) CCACAATGGGG >hg38_chr14:61479882-61479892(+) GGACAAAGGGA >hg38_chr14:61489800-61489810(+) AAACAAAGGGG >hg38_chr14:61537879-61537889(-) AGACAATGCTC >hg38_chr14:61567027-61567037(+) ccacaatgact >hg38_chr14:61568018-61568028(-) GAACAATGCAG >hg38_chr14:61570045-61570055(+) TAACAATGGAT >hg38_chr14:61597327-61597337(-) aaacaaTAAAA >hg38_chr14:61602876-61602886(+) atacaataggg >hg38_chr14:61608926-61608936(-) GCACAATGGGA >hg38_chr14:61609652-61609662(+) TAACAATGAGC >hg38_chr14:61677858-61677868(+) TTACAATAAAA >hg38_chr14:61688775-61688785(-) aaacaaaggac >hg38_chr14:61692369-61692379(-) GAACAATGGCA >hg38_chr14:61697479-61697489(-) AAACAATGAGT >hg38_chr14:61704223-61704233(-) AAACAATGTTG >hg38_chr14:61750043-61750053(-) TAACAATGGAG >hg38_chr14:61751937-61751947(+) GCACAATGTAT >hg38_chr14:61788353-61788363(+) ATACAGTGGAA >hg38_chr14:61788880-61788890(+) gtataatgggt >hg38_chr14:61788912-61788922(+) atacaaaggaa >hg38_chr14:61798261-61798271(+) AGACAATGAAC >hg38_chr14:61827548-61827558(+) ttacactggaa >hg38_chr14:61827586-61827596(-) TAACAATAATA >hg38_chr14:61829021-61829031(-) TTATAATGGCT >hg38_chr14:61838856-61838866(-) ACACAATGGGG >hg38_chr14:61841272-61841282(-) ATACAATGTCT >hg38_chr14:61860330-61860340(-) TTACAAAGGTT >hg38_chr14:61870304-61870314(-) aaacaatgcaa >hg38_chr14:61870325-61870335(-) tgacaatatat >hg38_chr14:61870348-61870358(-) atacaaaggaa >hg38_chr14:61891416-61891426(+) GAACAATGCAT >hg38_chr14:61930649-61930659(+) tgacaatgggt >hg38_chr14:61934043-61934053(-) aaacaatagta >hg38_chr14:61941063-61941073(+) TTACAATGGGG >hg38_chr14:61947797-61947807(-) gcacaatgctt >hg38_chr14:61962801-61962811(-) atacaatgtct >hg38_chr14:61984261-61984271(-) AAACAATAGGA >hg38_chr14:61991794-61991804(+) TTACAATGTTC >hg38_chr14:61991811-61991821(+) ACACAATGGCA >hg38_chr14:62041330-62041340(-) aaactatgggc >hg38_chr14:62061013-62061023(-) ccacaatgcaA >hg38_chr14:62064841-62064851(+) gaacaatgcct >hg38_chr14:62077459-62077469(+) AGACAATGGGa >hg38_chr14:62126424-62126434(-) AGACAATAGTT >hg38_chr14:62146125-62146135(-) gtataatgata >hg38_chr14:62159472-62159482(-) CTACAATGTaa >hg38_chr14:62159889-62159899(+) GCACAATGTGT >hg38_chr14:62163229-62163239(+) TAACAATCGTT >hg38_chr14:62361645-62361655(-) atacaatgaaa >hg38_chr14:62407662-62407672(-) ggacaatataa >hg38_chr14:62429956-62429966(+) GAACAATAAAA >hg38_chr14:62445704-62445714(+) tgacaatagcc >hg38_chr14:62445732-62445742(-) ttacaaaggcg >hg38_chr14:62694308-62694318(-) TGACAATGtgt >hg38_chr14:62696179-62696189(-) atataatgggc >hg38_chr14:62699897-62699907(+) GCATAATGGTA >hg38_chr14:62699932-62699942(-) AAACAATGCAA >hg38_chr14:62699941-62699951(-) TGACAAAGGAA >hg38_chr14:62706240-62706250(+) CCACAATAGCT >hg38_chr14:62769435-62769445(+) tcacaatggga >hg38_chr14:62829746-62829756(-) agacaatgggg >hg38_chr14:62864734-62864744(+) tcacaatgcat >hg38_chr14:62896894-62896904(+) taacaatggCA >hg38_chr14:62896900-62896910(-) TAACAATGcca >hg38_chr14:62897201-62897211(+) AGACAATGCAT >hg38_chr14:62898325-62898335(+) ttacactggaa >hg38_chr14:62934733-62934743(+) aaacaataatc >hg38_chr14:63022558-63022568(+) ccacaatgact >hg38_chr14:63022625-63022635(+) ctacaatagcc >hg38_chr14:63040053-63040063(-) GAACAATGCTT >hg38_chr14:63040643-63040653(-) AAATAATGGAA >hg38_chr14:63149529-63149539(-) taacaatggtg >hg38_chr14:63187127-63187137(+) AGACAATATAT >hg38_chr14:63204332-63204342(-) CAACAATGGGA >hg38_chr14:63281962-63281972(+) ACACAAAGGAG >hg38_chr14:63344376-63344386(+) GCACAATGACC >hg38_chr14:63362657-63362667(-) AGACAATAGCG >hg38_chr14:63364244-63364254(+) aaacaatggaa >hg38_chr14:63382129-63382139(-) GTACAATGTGT >hg38_chr14:63382147-63382157(+) CCACAATAGCC >hg38_chr14:63412862-63412872(-) TAACAGTGGTT >hg38_chr14:63426110-63426120(+) CCACAATGGGA >hg38_chr14:63432263-63432273(-) TAACAATGAAA >hg38_chr14:63471521-63471531(+) Taataatagta >hg38_chr14:63475965-63475975(-) ATACAatagca >hg38_chr14:63484954-63484964(+) GAACAATGCAA >hg38_chr14:63492822-63492832(+) AAACAATGACA >hg38_chr14:63508364-63508374(+) CCACAATGGCA >hg38_chr14:63537777-63537787(-) ACACAATGAGC >hg38_chr14:63540847-63540857(-) TTATAATGGAG >hg38_chr14:63543312-63543322(-) TGACAATAGGA >hg38_chr14:63659825-63659835(+) agacaatggcc >hg38_chr14:63660006-63660016(+) AAACAAAGGCT >hg38_chr14:63678039-63678049(-) aaacaatgcct >hg38_chr14:63681617-63681627(+) atacaGTGGTA >hg38_chr14:63681642-63681652(+) ATACAATAGAT >hg38_chr14:63681660-63681670(-) GGACAAAGGAT >hg38_chr14:63681678-63681688(+) GGACAAAGGAT >hg38_chr14:63691802-63691812(+) agacaatgact >hg38_chr14:63776974-63776984(-) TAACAATGTAT >hg38_chr14:63874205-63874215(-) CTACAATGAAC >hg38_chr14:63896506-63896516(-) aaacactggat >hg38_chr14:63897749-63897759(-) aaacaatgctt >hg38_chr14:63911642-63911652(-) gtacaatacaa >hg38_chr14:63951180-63951190(-) TAACAATGCTA >hg38_chr14:63983267-63983277(+) gaacaatgcag >hg38_chr14:63997446-63997456(+) GTACAATAATT >hg38_chr14:63997490-63997500(-) AAACAATCGAA >hg38_chr14:64025650-64025660(-) ggacaataggc >hg38_chr14:64025692-64025702(+) agacaatgaat >hg38_chr14:64039220-64039230(-) CAACAATGGGG >hg38_chr14:64040044-64040054(-) ccacaatgcaa >hg38_chr14:64040215-64040225(+) Gcacaaaggaa >hg38_chr14:64055002-64055012(+) AAACAATGTGC >hg38_chr14:64075117-64075127(+) AAACAATGACA >hg38_chr14:64187494-64187504(+) TGACAATGTGC >hg38_chr14:64322723-64322733(+) tgacaatggct >hg38_chr14:64410615-64410625(-) AGACAATAGAA >hg38_chr14:64436559-64436569(+) CAACAATGCAA >hg38_chr14:64471813-64471823(-) ACACAATGCAA >hg38_chr14:64474689-64474699(+) CTACAATGCTG >hg38_chr14:64504763-64504773(-) CAACAAAGGAC >hg38_chr14:64512369-64512379(+) aaacaaaggcc >hg38_chr14:64530917-64530927(-) ATACAATAATG >hg38_chr14:64544643-64544653(+) CTACTATGGTA >hg38_chr14:64549779-64549789(+) TTACAATGGAT >hg38_chr14:64563798-64563808(-) ACACAATGCCG >hg38_chr14:64563817-64563827(-) TAACAATGCCA >hg38_chr14:64563832-64563842(+) CCACAATGGGT >hg38_chr14:64569205-64569215(+) GAACAATGCCT >hg38_chr14:64578829-64578839(+) tcacaatagca >hg38_chr14:64580695-64580705(+) GAACAAAGGAC >hg38_chr14:64582012-64582022(-) GAACAGTGGAA >hg38_chr14:64605140-64605150(-) ACACAAAGGAA >hg38_chr14:64621031-64621041(+) acacaatgact >hg38_chr14:64642837-64642847(-) atacaattgac >hg38_chr14:64673441-64673451(-) ttacaatgaca >hg38_chr14:64701792-64701802(+) CCACAATAGAG >hg38_chr14:64706642-64706652(-) CAACAATGGCT >hg38_chr14:64707110-64707120(-) GAACAAAGGCC >hg38_chr14:64715636-64715646(-) CAACAATGCTC >hg38_chr14:64758936-64758946(+) CAACAATGGGG >hg38_chr14:64789924-64789934(+) CGACACTGGGG >hg38_chr14:64789950-64789960(-) GAACAATGCTC >hg38_chr14:64844318-64844328(+) ACACAATAGGA >hg38_chr14:64880903-64880913(-) GAACAATGACC >hg38_chr14:64956705-64956715(+) aaacaatgacc >hg38_chr14:64981142-64981152(+) TTACAATGACT >hg38_chr14:64998534-64998544(-) gcacaaaggtc >hg38_chr14:65020138-65020148(+) GAACAATATGA >hg38_chr14:65095956-65095966(+) CCACAATGCAC >hg38_chr14:65142276-65142286(-) GGACAATAGTG >hg38_chr14:65225528-65225538(-) gcacaatggct >hg38_chr14:65229528-65229538(+) CAACAATGACA >hg38_chr14:65230691-65230701(+) GCACAATGAAA >hg38_chr14:65255623-65255633(+) GCACAATAGGA >hg38_chr14:65258504-65258514(-) agacaataggc >hg38_chr14:65277730-65277740(-) cgataatgaca >hg38_chr14:65282178-65282188(-) GAACAATAGCT >hg38_chr14:65282203-65282213(+) ACACAAAGGGA >hg38_chr14:65291004-65291014(+) AAACAATGCTG >hg38_chr14:65312974-65312984(+) taacaatgata >hg38_chr14:65367352-65367362(-) TAACAGTGGTC >hg38_chr14:65409775-65409785(+) AAACAATGCAC >hg38_chr14:65409822-65409832(-) GAACAATCGTT >hg38_chr14:65412214-65412224(-) CTACAATGGCA >hg38_chr14:65414689-65414699(+) GTACAAAGGTA >hg38_chr14:65415340-65415350(-) ACACAATATAT >hg38_chr14:65427924-65427934(+) atacaatagtg >hg38_chr14:65484090-65484100(-) atacaatgcca >hg38_chr14:65498475-65498485(-) TAACAATGACA >hg38_chr14:65507054-65507064(+) taacaaaggct >hg38_chr14:65515746-65515756(-) ATACAATGTAC >hg38_chr14:65550913-65550923(+) agacaatgatg >hg38_chr14:65551267-65551277(-) GAACAATGGCC >hg38_chr14:65572048-65572058(-) ATACACTGGTA >hg38_chr14:65578280-65578290(-) CCACAATGACT >hg38_chr14:65578791-65578801(+) TTACAATGGCT >hg38_chr14:65578810-65578820(+) ATATAATGTAA >hg38_chr14:65592784-65592794(+) gaacaatgcat >hg38_chr14:65609759-65609769(+) Atacaataggt >hg38_chr14:65620433-65620443(-) CCACAATGTCT >hg38_chr14:65620482-65620492(+) GTACACTGGGA >hg38_chr14:65649565-65649575(+) AAATAATGGAT >hg38_chr14:65663287-65663297(+) TAACAAAGGCT >hg38_chr14:65663663-65663673(+) GCATAATGGAT >hg38_chr14:65687005-65687015(+) GTAGAATGGAC >hg38_chr14:65687045-65687055(-) TAACAAAGGCC >hg38_chr14:65701884-65701894(-) TAACAAAGGGA >hg38_chr14:65705798-65705808(-) CTACAATGAAG >hg38_chr14:65705842-65705852(-) AAACAATGAGC >hg38_chr14:65705850-65705860(-) AGACAATAAAA >hg38_chr14:65778817-65778827(-) tcacaatagcc >hg38_chr14:65810285-65810295(-) agacaaTAGCT >hg38_chr14:65810517-65810527(-) GCACAATGAGA >hg38_chr14:65810542-65810552(-) AAACAATGAGA >hg38_chr14:65824960-65824970(-) AAATAATGGTG >hg38_chr14:65906855-65906865(+) agacaaaggga >hg38_chr14:65911887-65911897(+) CAACAATGCAG >hg38_chr14:65915316-65915326(+) ccacaatgaga >hg38_chr14:65970123-65970133(-) acacaatagac >hg38_chr14:65972552-65972562(-) aCAcaatgccc >hg38_chr14:65991433-65991443(+) aaacaatgaag >hg38_chr14:66011225-66011235(+) tcacaatgctc >hg38_chr14:66011275-66011285(-) taacaatgaat >hg38_chr14:66012118-66012128(+) caacaatgttt >hg38_chr14:66193434-66193444(+) acacaatgagt >hg38_chr14:66232495-66232505(-) aaacaaTGGGG >hg38_chr14:66232565-66232575(-) gaacaatcgag >hg38_chr14:66239844-66239854(-) ccacaatgcac >hg38_chr14:66261349-66261359(-) tcacaatatat >hg38_chr14:66330395-66330405(+) GGACAATGGGC >hg38_chr14:66359378-66359388(+) GTACAATAGAG >hg38_chr14:66362500-66362510(-) atacaatgctc >hg38_chr14:66374614-66374624(-) GGACAATGCAA >hg38_chr14:66374964-66374974(+) TCACAATATAA >hg38_chr14:66375492-66375502(-) GGACAAAGGAG >hg38_chr14:66376789-66376799(+) GCACAATGTAC >hg38_chr14:66383934-66383944(-) TAACAATGCTG >hg38_chr14:66422363-66422373(+) taacaatggat >hg38_chr14:66422373-66422383(+) tcacaatagaa >hg38_chr14:66422410-66422420(-) gaacaaaggga >hg38_chr14:66446172-66446182(+) ACACAATGTGC >hg38_chr14:66489693-66489703(+) gcacaatgctt >hg38_chr14:66552594-66552604(+) ttacaatgtag >hg38_chr14:66568975-66568985(+) CAACAATGAGG >hg38_chr14:66600751-66600761(-) caacaatgttc >hg38_chr14:66603957-66603967(-) GGACAATGTAC >hg38_chr14:66607078-66607088(-) tcacaatgaaa >hg38_chr14:66607125-66607135(-) tcacaataata >hg38_chr14:66608869-66608879(-) taacaataccg >hg38_chr14:66612101-66612111(-) AAACAATGTAA >hg38_chr14:66624583-66624593(+) gcacaatgggc >hg38_chr14:66627995-66628005(-) AGACAATAAAA >hg38_chr14:66628258-66628268(-) taacaatgcat >hg38_chr14:66642020-66642030(+) aaacagtggta >hg38_chr14:66642100-66642110(+) gaataatggcc >hg38_chr14:66646352-66646362(-) acacaaaggtt >hg38_chr14:66646390-66646400(-) tcataatggat >hg38_chr14:66709426-66709436(+) ccacaatagct >hg38_chr14:66755799-66755809(-) GCACAATGCAT >hg38_chr14:66759793-66759803(-) acacaatggaa >hg38_chr14:66839656-66839666(-) ATACAATGTAA >hg38_chr14:66839673-66839683(-) TTATAATGGGT >hg38_chr14:66851537-66851547(-) atacaatatag >hg38_chr14:66851585-66851595(+) GCACAATGGCT >hg38_chr14:66898625-66898635(-) ctacaatgata >hg38_chr14:66898638-66898648(+) aaacaataagt >hg38_chr14:66918671-66918681(-) taacaatgtTA >hg38_chr14:66933061-66933071(-) TTACAAAGGAC >hg38_chr14:67003001-67003011(-) TTACAATGACT >hg38_chr14:67006158-67006168(+) GAACAAAGGTG >hg38_chr14:67020312-67020322(-) GTACAATTGAA >hg38_chr14:67022143-67022153(-) ATACTATGGAA >hg38_chr14:67093321-67093331(+) ATACAGTGGGT >hg38_chr14:67093366-67093376(-) TCACAATGGCT >hg38_chr14:67094804-67094814(-) ttacaatggct >hg38_chr14:67134583-67134593(+) ctacaatgtgc >hg38_chr14:67153879-67153889(+) GAACAATGATG >hg38_chr14:67241728-67241738(-) GGACAAAGGTT >hg38_chr14:67261152-67261162(+) aaacaatagct >hg38_chr14:67262705-67262715(-) AAATAATGGGA >hg38_chr14:67264737-67264747(-) ATACAATGGCT >hg38_chr14:67270530-67270540(+) ACACAATGAGG >hg38_chr14:67276251-67276261(-) gaataatgata >hg38_chr14:67276275-67276285(-) ggacaatggga >hg38_chr14:67276293-67276303(-) atacaataaag >hg38_chr14:67282258-67282268(-) ACACAATGTTC >hg38_chr14:67282761-67282771(-) AGACAATAATA >hg38_chr14:67292638-67292648(+) ACACAATGCCA >hg38_chr14:67293873-67293883(+) CAACAATGGGT >hg38_chr14:67330020-67330030(-) TAACAATACAT >hg38_chr14:67361592-67361602(-) CTATAATGGAT >hg38_chr14:67371898-67371908(+) caacaatgtat >hg38_chr14:67380576-67380586(-) GAACAATGCAT >hg38_chr14:67380584-67380594(-) ACACTATGGAA >hg38_chr14:67420364-67420374(+) TAACAATATCC >hg38_chr14:67426225-67426235(+) ACACAAAGGAG >hg38_chr14:67426235-67426245(-) ACACAATAGTC >hg38_chr14:67458067-67458077(-) TCACAACGGAT >hg38_chr14:67511150-67511160(+) AAACAATGAAT >hg38_chr14:67517321-67517331(+) gcacaatgggc >hg38_chr14:67523992-67524002(-) GAACAAAGGAT >hg38_chr14:67597972-67597982(+) GAACAATAAAT >hg38_chr14:67607790-67607800(+) tgacaatagac >hg38_chr14:67607845-67607855(+) GTACAATGTAA >hg38_chr14:67618786-67618796(-) TAACAATGATG >hg38_chr14:67618810-67618820(+) AGACAATATAC >hg38_chr14:67623370-67623380(-) GAACAAAGGGA >hg38_chr14:67668853-67668863(+) ccacaatgaaa >hg38_chr14:67668888-67668898(+) aaacaatatac >hg38_chr14:67683467-67683477(+) ACACagtggac >hg38_chr14:67695753-67695763(+) CGACTATGGCT >hg38_chr14:67787730-67787740(-) ATACAATGCTG >hg38_chr14:67816995-67817005(-) TAATAATGGAG >hg38_chr14:67820072-67820082(-) CAACAATGAGC >hg38_chr14:67884209-67884219(+) GAACAAAGGTT >hg38_chr14:67886926-67886936(-) AAACAATAAAC >hg38_chr14:67894571-67894581(+) ATATAATGGAG >hg38_chr14:67899923-67899933(+) ttacaatgcat >hg38_chr14:67899944-67899954(+) tcataatggaa >hg38_chr14:67930217-67930227(-) taacaatatga >hg38_chr14:67938487-67938497(+) gcataatggcg >hg38_chr14:67942390-67942400(+) tcacaatgtag >hg38_chr14:67944929-67944939(-) TCACAATGATT >hg38_chr14:67954088-67954098(-) GGACAATGAAA >hg38_chr14:67985465-67985475(-) ggacaataggc >hg38_chr14:68015304-68015314(+) atacaaaggtg >hg38_chr14:68044083-68044093(-) AAACAATAGGA >hg38_chr14:68085222-68085232(-) gtacaataagg >hg38_chr14:68111219-68111229(-) CGACAATAAAA >hg38_chr14:68153350-68153360(-) GTacaaaggaa >hg38_chr14:68158001-68158011(+) AGACTATGGAA >hg38_chr14:68164368-68164378(+) TAATAATGGGA >hg38_chr14:68188567-68188577(+) TGATAATGGGA >hg38_chr14:68192214-68192224(-) TAACCATGGTA >hg38_chr14:68199878-68199888(-) AGACAATGGGC >hg38_chr14:68206287-68206297(-) agacaatgcct >hg38_chr14:68211418-68211428(-) ctacaatagtg >hg38_chr14:68223836-68223846(-) AAACAATAGCA >hg38_chr14:68231129-68231139(+) TAACAAAGGGG >hg38_chr14:68236876-68236886(+) ACACAAAGGAG >hg38_chr14:68248609-68248619(-) AAACAAAGGAT >hg38_chr14:68292870-68292880(+) GTACAATGCTT >hg38_chr14:68294509-68294519(-) atacAATGAAT >hg38_chr14:68308222-68308232(-) ATATAATGGAA >hg38_chr14:68313700-68313710(+) AAACAAAGGAA >hg38_chr14:68318704-68318714(+) AGACAATGGCC >hg38_chr14:68332470-68332480(-) AAACAATACTA >hg38_chr14:68343673-68343683(+) agacaatgggg >hg38_chr14:68346636-68346646(+) gaacaatgtga >hg38_chr14:68364635-68364645(+) GGACAATGATC >hg38_chr14:68404131-68404141(+) TAACAATGAAA >hg38_chr14:68450044-68450054(+) GAACAAAGGAG >hg38_chr14:68450062-68450072(-) TAACAATGGGA >hg38_chr14:68459085-68459095(+) ACACAATGGGG >hg38_chr14:68471648-68471658(-) CAACAATAGCA >hg38_chr14:68476281-68476291(-) AGACAATGGAA >hg38_chr14:68477106-68477116(+) GAACAAAGGGT >hg38_chr14:68492778-68492788(-) AGACAATGGTA >hg38_chr14:68501259-68501269(-) GAACAATAAGT >hg38_chr14:68541365-68541375(-) GGACTATGGCA >hg38_chr14:68542394-68542404(-) aaacaatggat >hg38_chr14:68561977-68561987(-) AGACAATAGCT >hg38_chr14:68565127-68565137(+) acacaAAGGTT >hg38_chr14:68568636-68568646(+) GCACAATAGGG >hg38_chr14:68569494-68569504(-) ATACAATGAAA >hg38_chr14:68596465-68596475(+) GAACAATGGCC >hg38_chr14:68616406-68616416(-) agacaatggaa >hg38_chr14:68616423-68616433(-) aaacaatgcaa >hg38_chr14:68629173-68629183(-) GAACAATGTCC >hg38_chr14:68633608-68633618(-) GAACAATAGAC >hg38_chr14:68676721-68676731(-) AGACAAAGGAT >hg38_chr14:68725977-68725987(-) ACACAATGAAG >hg38_chr14:68731253-68731263(+) GGACAATAGCC >hg38_chr14:68778238-68778248(-) ACACAATAGCA >hg38_chr14:68791626-68791636(+) CAACAATGAGG >hg38_chr14:68867324-68867334(+) agacaatagtg >hg38_chr14:68933452-68933462(-) gaacaatgCAT >hg38_chr14:68933487-68933497(-) aaacaaaggat >hg38_chr14:68938661-68938671(+) ttacaatgggg >hg38_chr14:68987788-68987798(+) GTACAATGCAA >hg38_chr14:69016592-69016602(-) aaacaatgaca >hg38_chr14:69050758-69050768(+) TGACAATGACC >hg38_chr14:69050765-69050775(-) TGACAATGGTC >hg38_chr14:69094038-69094048(+) AAACAATGAGA >hg38_chr14:69135501-69135511(-) tcacaatgcaa >hg38_chr14:69138503-69138513(+) ATACAATGTAC >hg38_chr14:69146696-69146706(-) GGACAATGAAT >hg38_chr14:69152783-69152793(-) CAACAATGGAG >hg38_chr14:69183998-69184008(+) tgacaatgatc >hg38_chr14:69223211-69223221(-) tatcaatggta >hg38_chr14:69262743-69262753(-) AAACAATGCAA >hg38_chr14:69262751-69262761(-) ATACAATGAAA >hg38_chr14:69297828-69297838(+) TAACAATAGCA >hg38_chr14:69297884-69297894(-) acacaatgctg >hg38_chr14:69352481-69352491(+) GGACAATAGTG >hg38_chr14:69392690-69392700(+) tgacaatgtat >hg38_chr14:69397584-69397594(-) GAACAATGGGC >hg38_chr14:69405279-69405289(-) ACACAATAAAC >hg38_chr14:69405324-69405334(+) CTACAATAGGT >hg38_chr14:69405352-69405362(+) TAACAATGTTA >hg38_chr14:69415017-69415027(-) aaacaatggaa >hg38_chr14:69547962-69547972(-) AGACAATGAGT >hg38_chr14:69551663-69551673(-) AAACAATAGCT >hg38_chr14:69559753-69559763(+) CCACAATGGAG >hg38_chr14:69580073-69580083(+) ccacaatggct >hg38_chr14:69602557-69602567(-) caacaatgtcg >hg38_chr14:69611030-69611040(+) tcacaatggct >hg38_chr14:69635335-69635345(+) TTACAATAGTA >hg38_chr14:69635343-69635353(+) GTACAATAGTT >hg38_chr14:69658759-69658769(-) AAACAATGGTA >hg38_chr14:69661337-69661347(-) TGATAATGGAT >hg38_chr14:69666977-69666987(+) ATACAAAGGGC >hg38_chr14:69667039-69667049(-) ACACAAAGGTC >hg38_chr14:69685325-69685335(-) GCACAATGGTT >hg38_chr14:69688400-69688410(+) GCACAATGGGA >hg38_chr14:69702969-69702979(+) ATACAATAGAG >hg38_chr14:69713341-69713351(+) GAACAATGGGA >hg38_chr14:69714353-69714363(+) TAACAATGTCG >hg38_chr14:69716636-69716646(+) CCACAATGCGC >hg38_chr14:69770807-69770817(+) caacaatgaat >hg38_chr14:69773033-69773043(+) AAACAATAGTG >hg38_chr14:69776437-69776447(+) GAACAATGAAG >hg38_chr14:69789352-69789362(+) atacaatggga >hg38_chr14:69843012-69843022(+) TGACAATGTGT >hg38_chr14:69849128-69849138(-) acacaatgaag >hg38_chr14:69911109-69911119(+) ATACAATATAG >hg38_chr14:69914632-69914642(-) TGATAATGGCT >hg38_chr14:69932550-69932560(-) GAACAATGCTG >hg38_chr14:69959930-69959940(-) GAACAATAAGA >hg38_chr14:69974069-69974079(+) GGATAATGGAT >hg38_chr14:69974104-69974114(+) ctacaatgaat >hg38_chr14:69988038-69988048(-) TAACAATGCAA >hg38_chr14:69996327-69996337(-) gaacaatagct >hg38_chr14:70044989-70044999(-) CGACAAAGGGC >hg38_chr14:70103469-70103479(+) ttacaatgtac >hg38_chr14:70103498-70103508(+) aaacaatagca >hg38_chr14:70226564-70226574(-) caacaatgaag >hg38_chr14:70230056-70230066(-) TAACAATGGTG >hg38_chr14:70238176-70238186(-) gaacaaagggg >hg38_chr14:70239940-70239950(+) TAACAATGTAC >hg38_chr14:70278448-70278458(-) ccacaatgggg >hg38_chr14:70301795-70301805(+) agacaatgtca >hg38_chr14:70359757-70359767(-) TTACGATGGCT >hg38_chr14:70450599-70450609(+) aaacaatgtta >hg38_chr14:70450624-70450634(-) aaacaatgtac >hg38_chr14:70462558-70462568(-) taacaataaga >hg38_chr14:70474602-70474612(-) tgacaataggg >hg38_chr14:70487675-70487685(+) acacaaagggt >hg38_chr14:70539254-70539264(+) agacaatgcca >hg38_chr14:70558137-70558147(-) TTACAAAGGGA >hg38_chr14:70559604-70559614(+) gtacaatgctt >hg38_chr14:70560330-70560340(+) TTATAATGGTC >hg38_chr14:70585377-70585387(+) ccacaatGGCA >hg38_chr14:70609341-70609351(+) TTACAAAGGTA >hg38_chr14:70646333-70646343(+) CTACAAAGGAA >hg38_chr14:70647650-70647660(-) tcacaatgccc >hg38_chr14:70706109-70706119(-) atacagtgggg >hg38_chr14:70707846-70707856(-) CAACAATGTAT >hg38_chr14:70747010-70747020(-) TTACAATGACT >hg38_chr14:70782536-70782546(-) TCACAATGGCA >hg38_chr14:70817821-70817831(+) AGACAAAGGTG >hg38_chr14:70852432-70852442(-) CTACAATGTGC >hg38_chr14:70931427-70931437(-) TAACAATAGCA >hg38_chr14:70933943-70933953(+) ctacaatgtac >hg38_chr14:70934847-70934857(+) tgacaatgtga >hg38_chr14:70954999-70955009(-) CCACAATGTAC >hg38_chr14:70976165-70976175(-) acacaatgccg >hg38_chr14:70982191-70982201(+) AGACAATAAAA >hg38_chr14:70997293-70997303(-) GAACAATGAGA >hg38_chr14:71023422-71023432(-) GTACAATGTCA >hg38_chr14:71048625-71048635(+) Atacaaaggac >hg38_chr14:71049594-71049604(+) ttataatggca >hg38_chr14:71061354-71061364(+) gcacaatggag >hg38_chr14:71117819-71117829(+) agacactggta >hg38_chr14:71134254-71134264(+) AGACAATGTCC >hg38_chr14:71161680-71161690(+) ccacaatgaac >hg38_chr14:71176825-71176835(-) AGACAAAGGAT >hg38_chr14:71176849-71176859(+) AAACAATGGAG >hg38_chr14:71220685-71220695(-) TCACAATAGGT >hg38_chr14:71228883-71228893(-) GAACAATAGCC >hg38_chr14:71333272-71333282(+) GGATAATGGTA >hg38_chr14:71355000-71355010(+) AGACAATGCAT >hg38_chr14:71478625-71478635(-) gtacaatggca >hg38_chr14:71536417-71536427(-) CCACAATGGCT >hg38_chr14:71563653-71563663(+) TCACAATGCAG >hg38_chr14:71575680-71575690(-) CCACAATAGAA >hg38_chr14:71575711-71575721(-) TCACAATGAAG >hg38_chr14:71576401-71576411(+) ttacaatggat >hg38_chr14:71583925-71583935(-) GAACAAAGGCA >hg38_chr14:71586451-71586461(+) AGACAATGCCG >hg38_chr14:71586971-71586981(+) ATATAATGGCT >hg38_chr14:71647196-71647206(-) TTACAATGTCA >hg38_chr14:71692624-71692634(+) GCACAATGGCT >hg38_chr14:71692658-71692668(-) AAACGATGGGG >hg38_chr14:71702136-71702146(-) TAACAATGAGG >hg38_chr14:71777072-71777082(-) TAACAAAGGCC >hg38_chr14:71803966-71803976(+) ACACAAAGGCA >hg38_chr14:71852427-71852437(-) gcacaatgagt >hg38_chr14:71892149-71892159(+) ATACAATGAAA >hg38_chr14:71919080-71919090(-) GCACAAAGGAA >hg38_chr14:72001233-72001243(+) AAACAATGAGT >hg38_chr14:72013765-72013775(+) CGACAATTGAC >hg38_chr14:72013772-72013782(+) TGACAATGAAA >hg38_chr14:72030194-72030204(+) aaacaatagca >hg38_chr14:72045461-72045471(-) aaacaatgacg >hg38_chr14:72076014-72076024(+) agacaataaaa >hg38_chr14:72081540-72081550(-) taacaatagaa >hg38_chr14:72094781-72094791(+) agacaataaat >hg38_chr14:72098390-72098400(-) AAACAATAGTG >hg38_chr14:72110216-72110226(-) ggacaatgctt >hg38_chr14:72158970-72158980(+) taacaatagca >hg38_chr14:72243466-72243476(+) AAACAAAGGCT >hg38_chr14:72243486-72243496(+) TGACAATGCAA >hg38_chr14:72270639-72270649(+) CAACAATAGTT >hg38_chr14:72292578-72292588(+) TAACAATGCCC >hg38_chr14:72311717-72311727(-) TGACAATGAAT >hg38_chr14:72377347-72377357(+) taacaattgta >hg38_chr14:72481592-72481602(-) AGACAATGGAC >hg38_chr14:72558594-72558604(+) AAACAAAGGAT >hg38_chr14:72560597-72560607(-) CAACAATAGCT >hg38_chr14:72560623-72560633(+) AGACAAAGGAG >hg38_chr14:72617540-72617550(-) ACATAATGGTC >hg38_chr14:72647297-72647307(-) CGACAATGGGC >hg38_chr14:72708955-72708965(+) GGACAAAGGAG >hg38_chr14:72802012-72802022(+) AAACAAAGGCA >hg38_chr14:72804316-72804326(+) GAACAATAGGT >hg38_chr14:72891268-72891278(-) ACACAAAGGTT >hg38_chr14:72927209-72927219(-) AGACAATGCCT >hg38_chr14:72987076-72987086(-) ATACAATAGAA >hg38_chr14:72997094-72997104(-) taacaatggga >hg38_chr14:73083628-73083638(-) AGACAATGAGA >hg38_chr14:73135021-73135031(-) atACAATAGTT >hg38_chr14:73136441-73136451(+) CGACAACGGTG >hg38_chr14:73170852-73170862(-) AGATAATGGCT >hg38_chr14:73173650-73173660(-) CAACAATGACA >hg38_chr14:73179712-73179722(-) agacaatggga >hg38_chr14:73186960-73186970(-) GCACAAAGGTA >hg38_chr14:73220250-73220260(-) GAATAATGGGA >hg38_chr14:73294057-73294067(-) ctacaatgcag >hg38_chr14:73294066-73294076(-) tcacaatgact >hg38_chr14:73295410-73295420(-) ATACAATAGTT >hg38_chr14:73336276-73336286(-) GAATAATGATA >hg38_chr14:73338647-73338657(+) ttacaatggtc >hg38_chr14:73338726-73338736(+) taacaatgact >hg38_chr14:73366484-73366494(-) caacaatgcct >hg38_chr14:73369554-73369564(+) ACACAAAGGGA >hg38_chr14:73372749-73372759(-) gaacaatagtg >hg38_chr14:73391151-73391161(+) caacaatgggg >hg38_chr14:73394925-73394935(-) agacaaTGTGG >hg38_chr14:73415268-73415278(+) TAACAATAGGT >hg38_chr14:73415309-73415319(-) TAACAATGTTG >hg38_chr14:73455854-73455864(+) AAACAATGCTT >hg38_chr14:73464915-73464925(-) GGACAAAGGTC >hg38_chr14:73507316-73507326(-) TCACAATAGTT >hg38_chr14:73507329-73507339(-) ATACAAAGGGA >hg38_chr14:73537930-73537940(-) acacaGTGGAA >hg38_chr14:73599654-73599664(-) gaacaaaggtc >hg38_chr14:73655775-73655785(-) CCACAATGATT >hg38_chr14:73655784-73655794(+) GGACAATGTAA >hg38_chr14:73683449-73683459(-) atacaatggtg >hg38_chr14:73724410-73724420(-) AAACAAAGGGT >hg38_chr14:73744990-73745000(-) GTACAACGGTC >hg38_chr14:73752813-73752823(-) GAACAATAAAG >hg38_chr14:73760196-73760206(+) CTACAAAGGAT >hg38_chr14:73786018-73786028(+) CAACAATGCCT >hg38_chr14:73822885-73822895(-) ccacaatagat >hg38_chr14:73823768-73823778(-) GAACAATGGGC >hg38_chr14:73823802-73823812(-) AGACAATGGCT >hg38_chr14:73833302-73833312(-) taacaatggga >hg38_chr14:73936692-73936702(+) GAACAATGCAG >hg38_chr14:73984589-73984599(-) TAACAATAATG >hg38_chr14:74009016-74009026(+) GTACAATGAAC >hg38_chr14:74009070-74009080(-) AAACAATGGAG >hg38_chr14:74038147-74038157(-) ATACAAAGGAC >hg38_chr14:74102670-74102680(-) TCACAATGATC >hg38_chr14:74108231-74108241(-) atacaatggaa >hg38_chr14:74108638-74108648(+) taataatggtg >hg38_chr14:74152510-74152520(-) cgacaatgttc >hg38_chr14:74152519-74152529(-) gtaaaatggcg >hg38_chr14:74156500-74156510(-) aaacaaTGaaa >hg38_chr14:74173929-74173939(-) GCACAAAGGAA >hg38_chr14:74173960-74173970(-) AGACAAAGGCA >hg38_chr14:74204782-74204792(+) GGACAAAGGAA >hg38_chr14:74270921-74270931(-) AAACAATGGAA >hg38_chr14:74315749-74315759(+) agacaatgctt >hg38_chr14:74325117-74325127(+) GAACAATGGAG >hg38_chr14:74346678-74346688(+) taacaatagct >hg38_chr14:74348342-74348352(+) TGACAATAGCT >hg38_chr14:74350956-74350966(-) GAACAATATAC >hg38_chr14:74451633-74451643(-) aaacaatggct >hg38_chr14:74501038-74501048(+) AAACAAAGGAG >hg38_chr14:74517032-74517042(+) GGACAAAGGAT >hg38_chr14:74579962-74579972(+) ctacaatgggc >hg38_chr14:74615170-74615180(-) gaacaatgagg >hg38_chr14:74620772-74620782(+) agacaatagag >hg38_chr14:74620802-74620812(+) aaacaatgcct >hg38_chr14:74680345-74680355(+) gcacaatgaga >hg38_chr14:74680372-74680382(+) tcacaatggct >hg38_chr14:74710336-74710346(+) TTACAATAGAA >hg38_chr14:74756464-74756474(+) GAACAATGCCT >hg38_chr14:74756487-74756497(+) AAACAATGAGG >hg38_chr14:74763255-74763265(-) GAACAAAGGCG >hg38_chr14:74764579-74764589(+) ATACAGTGGTG >hg38_chr14:74784994-74785004(-) atacaatataa >hg38_chr14:74823390-74823400(+) GAATAATGATA >hg38_chr14:74861116-74861126(+) ACACAAAGGCA >hg38_chr14:74886314-74886324(+) TCACAATGAGG >hg38_chr14:74988329-74988339(+) ctacaATAGCA >hg38_chr14:75054774-75054784(+) ATACAAAGGAA >hg38_chr14:75060281-75060291(+) ccacaatgaaa >hg38_chr14:75060334-75060344(-) caacaatggtt >hg38_chr14:75119843-75119853(+) GAACAATATAC >hg38_chr14:75230296-75230306(-) AGACAATAGGT >hg38_chr14:75267486-75267496(+) TAACAAAGGAC >hg38_chr14:75267495-75267505(+) ACACAATGGAA >hg38_chr14:75274155-75274165(-) TGACAATGACC >hg38_chr14:75274170-75274180(+) AGACAATGTGG >hg38_chr14:75281975-75281985(-) TTACAATGAAC >hg38_chr14:75281997-75282007(-) CAACAATGCAT >hg38_chr14:75282195-75282205(-) TGACAATGTCT >hg38_chr14:75313468-75313478(+) tcacaatgaca >hg38_chr14:75313491-75313501(+) gaacaatgagc >hg38_chr14:75340082-75340092(-) TAATAATAGTA >hg38_chr14:75340109-75340119(+) TAATAATGGAG >hg38_chr14:75389106-75389116(+) agacaatgcag >hg38_chr14:75449928-75449938(+) ACACAATGCTG >hg38_chr14:75509356-75509366(-) gcacaatgtta >hg38_chr14:75509814-75509824(-) taacaatatta >hg38_chr14:75519792-75519802(-) GGACAATAATA >hg38_chr14:75623575-75623585(-) GAACAATGCAA >hg38_chr14:75672014-75672024(-) tgacaaaggac >hg38_chr14:75678455-75678465(-) gaacaatgggg >hg38_chr14:75678851-75678861(-) taacaaaggga >hg38_chr14:75736100-75736110(-) AAACAATGTAA >hg38_chr14:75739872-75739882(-) TGACAATGGGA >hg38_chr14:75783649-75783659(-) GAACAATGCAG >hg38_chr14:75783712-75783722(+) ACACAAAGGGA >hg38_chr14:75822673-75822683(-) GAACAATAGCA >hg38_chr14:75844317-75844327(-) gaacaataacc >hg38_chr14:75844328-75844338(-) atacaatggag >hg38_chr14:75848534-75848544(-) CAACAATGAAG >hg38_chr14:75849007-75849017(-) TGACAATGGAT >hg38_chr14:75854383-75854393(-) atacaatgaag >hg38_chr14:75860667-75860677(-) ATACAAAGGAA >hg38_chr14:75861559-75861569(+) TGACAAAGGAA >hg38_chr14:75868565-75868575(-) GAACAATGAGC >hg38_chr14:75906866-75906876(+) CTATAATGGTC >hg38_chr14:75912108-75912118(-) CAACAATGGAA >hg38_chr14:75932048-75932058(-) TTACAATAGCA >hg38_chr14:75970876-75970886(-) tgacaatgagt >hg38_chr14:76019217-76019227(-) gaacaatatat >hg38_chr14:76061274-76061284(-) tgataatggag >hg38_chr14:76061578-76061588(+) ctacaatgtct >hg38_chr14:76123562-76123572(-) gaacaaagggg >hg38_chr14:76155878-76155888(-) atacaatgaaa >hg38_chr14:76191716-76191726(+) atacaatagtg >hg38_chr14:76193072-76193082(+) CCACAATAGCA >hg38_chr14:76199328-76199338(-) ggacaatgtat >hg38_chr14:76199865-76199875(-) aaacaaaggta >hg38_chr14:76258045-76258055(-) caacaatggaa >hg38_chr14:76281648-76281658(-) ggacaatgggt >hg38_chr14:76281655-76281665(-) gtacaatggac >hg38_chr14:76281724-76281734(+) acacaatggaa >hg38_chr14:76313404-76313414(-) AAACAATGACA >hg38_chr14:76330785-76330795(+) GGACAAAGGGA >hg38_chr14:76387284-76387294(-) AAACAATGGTT >hg38_chr14:76456452-76456462(-) CGACCATGGTC >hg38_chr14:76584414-76584424(+) GAACAATGCCG >hg38_chr14:76612782-76612792(-) TCACAAAGGAA >hg38_chr14:76613284-76613294(+) gaataatggag >hg38_chr14:76619085-76619095(+) gcacaatgaat >hg38_chr14:76638173-76638183(+) acacaaaggat >hg38_chr14:76645175-76645185(-) TCACAATGAAT >hg38_chr14:76799094-76799104(-) GTACAAAGGAA >hg38_chr14:76830215-76830225(-) TGACAATGGGA >hg38_chr14:76861637-76861647(+) TAACAGTGgaa >hg38_chr14:76901525-76901535(-) GAACAATAGGC >hg38_chr14:76922651-76922661(-) gaacaaaggca >hg38_chr14:76956400-76956410(-) AGACAATGGAA >hg38_chr14:77005579-77005589(-) agacaatagat >hg38_chr14:77014315-77014325(-) TCACAATGCCC >hg38_chr14:77044755-77044765(+) gcacaatagaa >hg38_chr14:77050595-77050605(+) TAACAATGGTT >hg38_chr14:77051417-77051427(-) CCACAATGTCC >hg38_chr14:77053407-77053417(+) AAACAATGTGT >hg38_chr14:77059567-77059577(+) ACACAATGCTA >hg38_chr14:77064609-77064619(+) AAACAAAGGCT >hg38_chr14:77070853-77070863(+) ACACAATAGGT >hg38_chr14:77104549-77104559(-) gtacaatgcca >hg38_chr14:77105384-77105394(-) TAACAAAGGCA >hg38_chr14:77107837-77107847(-) ggacaatgggg >hg38_chr14:77108082-77108092(-) taataatgggg >hg38_chr14:77158699-77158709(+) AGACAAAGGAG >hg38_chr14:77223027-77223037(-) TGACAATGGAA >hg38_chr14:77318169-77318179(+) aaacaatgttc >hg38_chr14:77339041-77339051(-) AAACAATGAAA >hg38_chr14:77362880-77362890(-) aaataatggcc >hg38_chr14:77441467-77441477(+) GTACAATGTGT >hg38_chr14:77574639-77574649(+) acacaaaggat >hg38_chr14:77613424-77613434(-) GAACAATAGAG >hg38_chr14:77613441-77613451(-) TCACAATAGTA >hg38_chr14:77617792-77617802(+) ggacaataata >hg38_chr14:77654364-77654374(-) Gaacaatcgct >hg38_chr14:77655200-77655210(-) CAACAATAGGT >hg38_chr14:77663299-77663309(+) aaacaatagag >hg38_chr14:77689726-77689736(+) CCACAATGAGG >hg38_chr14:77689738-77689748(+) TAACAGTGGGA >hg38_chr14:77744982-77744992(+) ATACAATGGAA >hg38_chr14:77763550-77763560(+) AGACAAAGGAG >hg38_chr14:77789413-77789423(+) AGACAAAGGCA >hg38_chr14:77913122-77913132(+) taacaatgcag >hg38_chr14:77958172-77958182(-) taacaatgtcc >hg38_chr14:77977336-77977346(+) TAACAATGGgt >hg38_chr14:78029895-78029905(+) GAACAAAGGGA >hg38_chr14:78081464-78081474(+) AGACAAAGGCA >hg38_chr14:78088920-78088930(-) caacaatgtta >hg38_chr14:78088955-78088965(+) tcacaatgact >hg38_chr14:78192162-78192172(-) TAACAATATCC >hg38_chr14:78204387-78204397(-) TGACAAAGGAA >hg38_chr14:78298161-78298171(+) CGATAATGGGG >hg38_chr14:78367882-78367892(-) TTACAATAAGT >hg38_chr14:78526242-78526252(-) CCACAATGGCC >hg38_chr14:78546395-78546405(+) ctacaatggag >hg38_chr14:78576004-78576014(+) GTACAATAAAT >hg38_chr14:78592500-78592510(-) CCACAATGGCT >hg38_chr14:78592717-78592727(-) GAACAATGGGC >hg38_chr14:78604841-78604851(+) TAACAATAAAG >hg38_chr14:78606521-78606531(-) atacaatgtgc >hg38_chr14:78611199-78611209(+) AGATAATGGGC >hg38_chr14:78611217-78611227(-) ACATAATGGTG >hg38_chr14:78633929-78633939(+) TAACAAAGGAG >hg38_chr14:78640768-78640778(+) AAATAATGGAT >hg38_chr14:78650425-78650435(-) aaacaataaaa >hg38_chr14:78663550-78663560(-) AAACAATGTGC >hg38_chr14:78666715-78666725(-) GTACTATGGGG >hg38_chr14:78725293-78725303(-) AAACAATGTGC >hg38_chr14:78727152-78727162(-) ccacaataggt >hg38_chr14:78795338-78795348(-) TTACAATGGAA >hg38_chr14:78798963-78798973(-) aaacaatggtt >hg38_chr14:78811111-78811121(+) GAACAAAGGAA >hg38_chr14:78840597-78840607(-) taacaatgatg >hg38_chr14:78846381-78846391(+) ATACAATGTAG >hg38_chr14:78871766-78871776(-) TAACAATAAAA >hg38_chr14:78872441-78872451(-) atacaatgcag >hg38_chr14:78873259-78873269(-) agacaatagca >hg38_chr14:78923717-78923727(-) gtacaataaat >hg38_chr14:78988075-78988085(+) CAACAATGGGA >hg38_chr14:79000977-79000987(+) GAACAATAAAC >hg38_chr14:79045530-79045540(+) GAACAAAGGGT >hg38_chr14:79072762-79072772(-) TCACAATGAAC >hg38_chr14:79072808-79072818(-) GTACAAAGGAT >hg38_chr14:79091624-79091634(-) TTACAATAATA >hg38_chr14:79112414-79112424(+) GGACAATAGAT >hg38_chr14:79112949-79112959(-) TAACAATGTCC >hg38_chr14:79112968-79112978(-) GTACAATGTGA >hg38_chr14:79112975-79112985(+) GTACAATGGAG >hg38_chr14:79161865-79161875(+) TAACAATAAAG >hg38_chr14:79254510-79254520(-) ATACAATGTCT >hg38_chr14:79315064-79315074(+) TTACGATGGAA >hg38_chr14:79315102-79315112(-) GTACAATGAGG >hg38_chr14:79369016-79369026(+) CAACAATGGAG >hg38_chr14:79405916-79405926(-) GAACAATGAAC >hg38_chr14:79408789-79408799(-) GAACAAAGGAG >hg38_chr14:79460348-79460358(-) ATACCATGGAA >hg38_chr14:79534809-79534819(+) TGACAATGAAT >hg38_chr14:79556162-79556172(-) GCACAATGGCC >hg38_chr14:79556176-79556186(-) TAACAATAGAT >hg38_chr14:79568794-79568804(-) GCACAATAGAA >hg38_chr14:79586908-79586918(+) TAATAATAGTA >hg38_chr14:79591135-79591145(+) TCACAATGGCA >hg38_chr14:79667772-79667782(+) ATACAATGCGG >hg38_chr14:79698146-79698156(-) ATACAATAAGA >hg38_chr14:79705850-79705860(-) ggacaataaac >hg38_chr14:79716851-79716861(-) CAACAATGATA >hg38_chr14:79716875-79716885(+) TAACAATGGGC >hg38_chr14:79722196-79722206(-) acacaatggca >hg38_chr14:79740439-79740449(+) TCACAATGCAG >hg38_chr14:79740497-79740507(-) AGACAAAGGGC >hg38_chr14:79742257-79742267(-) TTACAATGCTT >hg38_chr14:79795999-79796009(+) TTATAATGGTT >hg38_chr14:79798827-79798837(+) CAATAATGGAT >hg38_chr14:79821223-79821233(+) TTACAATAACC >hg38_chr14:79830057-79830067(+) TTACAATGGCC >hg38_chr14:79836209-79836219(+) ACACAATGGCA >hg38_chr14:79839316-79839326(-) CTACAATGTAA >hg38_chr14:79854951-79854961(-) ATACACTGGAA >hg38_chr14:79855028-79855038(-) agacaaaggaa >hg38_chr14:79869015-79869025(-) CCACAATGGCA >hg38_chr14:79869255-79869265(-) AGACAATGGAG >hg38_chr14:79895683-79895693(-) GCACAATGGGC >hg38_chr14:79921104-79921114(-) atacaatgggg >hg38_chr14:79925930-79925940(-) GCACAATGTAA >hg38_chr14:79940191-79940201(-) caacaatggca >hg38_chr14:79990200-79990210(+) TTACAATGCCA >hg38_chr14:79990218-79990228(+) CAACAATAGAA >hg38_chr14:79993956-79993966(-) atacaaaggaa >hg38_chr14:79993979-79993989(-) atacaatggga >hg38_chr14:80009025-80009035(+) AGACAATGGGG >hg38_chr14:80056592-80056602(-) TGACAATGGCT >hg38_chr14:80074453-80074463(-) TTACAATGCAG >hg38_chr14:80095695-80095705(+) aaataatggtc >hg38_chr14:80110664-80110674(+) AGACAATGTGC >hg38_chr14:80161918-80161928(-) aaacaatagca >hg38_chr14:80207900-80207910(-) CCACAATGGAA >hg38_chr14:80209138-80209148(+) AAACAATAAAC >hg38_chr14:80213731-80213741(+) atacaatatga >hg38_chr14:80275438-80275448(+) AAACAAAGGAG >hg38_chr14:80277129-80277139(-) TAATAATGATA >hg38_chr14:80277186-80277196(-) gcacaatgtct >hg38_chr14:80291251-80291261(-) CAACAAAGGTA >hg38_chr14:80300615-80300625(+) gaacaatgatt >hg38_chr14:80402722-80402732(+) agacaatagtt >hg38_chr14:80414343-80414353(+) GTACACTGGAA >hg38_chr14:80425959-80425969(+) taataatggcc >hg38_chr14:80453259-80453269(-) GAACAATAGAC >hg38_chr14:80453295-80453305(-) gcacaatgctt >hg38_chr14:80502549-80502559(-) aaacaatgtga >hg38_chr14:80503512-80503522(+) TCATAATGGCA >hg38_chr14:80537530-80537540(+) GAACAATAAAC >hg38_chr14:80561403-80561413(-) CAACAATAGAT >hg38_chr14:80561425-80561435(-) GCACAATGAAT >hg38_chr14:80576385-80576395(+) ACACAATGCAA >hg38_chr14:80576432-80576442(+) AGACAATGTTA >hg38_chr14:80598097-80598107(+) atacaatgaag >hg38_chr14:80603148-80603158(+) AGACAATGGCC >hg38_chr14:80639053-80639063(+) ATACAATGTTA >hg38_chr14:80640015-80640025(-) AGACAATGCTT >hg38_chr14:80666628-80666638(+) aaataatggaa >hg38_chr14:80713083-80713093(+) TAACAATAAAA >hg38_chr14:80760572-80760582(+) TCACAATGTGA >hg38_chr14:80777188-80777198(+) ctacaatgtga >hg38_chr14:80845968-80845978(-) TGACAATAGAC >hg38_chr14:80861473-80861483(+) atactatggga >hg38_chr14:80919104-80919114(+) AGACAATGTGC >hg38_chr14:80929192-80929202(-) taataatggcc >hg38_chr14:80929213-80929223(+) caacaatagat >hg38_chr14:80951609-80951619(+) taacaatggag >hg38_chr14:80952138-80952148(+) aaacaatacta >hg38_chr14:80975108-80975118(-) GAACAATGATG >hg38_chr14:80975174-80975184(+) AGACTATGGCA >hg38_chr14:80975185-80975195(-) AAACAATAACC >hg38_chr14:80980642-80980652(-) gaacaatgaat >hg38_chr14:80980674-80980684(-) agataatggct >hg38_chr14:81018103-81018113(+) ttacaATAGTA >hg38_chr14:81106148-81106158(-) TGACAAAGGGA >hg38_chr14:81106163-81106173(+) TAACAATGCCC >hg38_chr14:81120778-81120788(+) aaacaatgcaa >hg38_chr14:81123186-81123196(+) AGACAATGGAG >hg38_chr14:81156920-81156930(+) acataatggga >hg38_chr14:81164390-81164400(-) TCACAATGTGC >hg38_chr14:81170594-81170604(+) taacaaaggaa >hg38_chr14:81176570-81176580(+) AGACAATGACA >hg38_chr14:81176611-81176621(+) GAACAATATAA >hg38_chr14:81177753-81177763(+) ATACAATGTTA >hg38_chr14:81177785-81177795(+) GTACAATAAAA >hg38_chr14:81178839-81178849(+) ACACAATGGTG >hg38_chr14:81196718-81196728(-) TGACAATGATG >hg38_chr14:81197032-81197042(+) ATACAATAAAT >hg38_chr14:81264141-81264151(+) TGACTATGGAC >hg38_chr14:81266429-81266439(-) atacaatagct >hg38_chr14:81267735-81267745(-) GCACAATAGGA >hg38_chr14:81267750-81267760(-) AGACAATGGAA >hg38_chr14:81267757-81267767(-) TCACAATAGAC >hg38_chr14:81281716-81281726(+) TTACAATAAAT >hg38_chr14:81282860-81282870(+) AAACAATGAGA >hg38_chr14:81315455-81315465(+) AAACAGTGGTC >hg38_chr14:81315471-81315481(+) AGACAATGAAG >hg38_chr14:81331722-81331732(-) GGACAATGCAG >hg38_chr14:81343325-81343335(+) TAACAATGTGT >hg38_chr14:81362862-81362872(+) atacaatagaa >hg38_chr14:81362886-81362896(+) acacaaaggtc >hg38_chr14:81386674-81386684(+) GCATAATGGGT >hg38_chr14:81401047-81401057(-) taacAATAGCA >hg38_chr14:81424611-81424621(-) AAACAATGGAA >hg38_chr14:81424666-81424676(+) AGACAATGGGA >hg38_chr14:81486029-81486039(-) ATACAGTGGAT >hg38_chr14:81486367-81486377(-) TTACAATGCTG >hg38_chr14:81510904-81510914(-) TGACAATCGTT >hg38_chr14:81511705-81511715(+) CAACAATGAAG >hg38_chr14:81647448-81647458(+) TAACAATAGGA >hg38_chr14:81657017-81657027(-) AAACAATAACA >hg38_chr14:81657071-81657081(+) GGACAAAGGGA >hg38_chr14:81657098-81657108(-) GAACAATGAGG >hg38_chr14:81657798-81657808(+) ACACAATGTCA >hg38_chr14:81657810-81657820(+) TAACAAAGGAA >hg38_chr14:81717543-81717553(+) GGACAAAGGAA >hg38_chr14:81765822-81765832(+) gaacaatgact >hg38_chr14:81765847-81765857(+) gaacaataaca >hg38_chr14:81767470-81767480(+) atacaatggct >hg38_chr14:81802153-81802163(-) GAACAATGGGA >hg38_chr14:81947006-81947016(-) GCACAATAGAT >hg38_chr14:81982352-81982362(+) ATACAATTGAT >hg38_chr14:81990511-81990521(+) GCACAATGCAA >hg38_chr14:82238836-82238846(+) AAACAAAGGAA >hg38_chr14:82238852-82238862(-) TTACAATAGAG >hg38_chr14:82432491-82432501(-) ACACAATGAAC >hg38_chr14:82447598-82447608(+) ACACAATATAT >hg38_chr14:82518926-82518936(+) caacaatagga >hg38_chr14:82518974-82518984(-) tgacaataggc >hg38_chr14:82806181-82806191(-) GAACAATGAGG >hg38_chr14:82806229-82806239(-) TCACAATGTGG >hg38_chr14:82850302-82850312(+) gaacaataagt >hg38_chr14:82856251-82856261(-) GAACAATGGGG >hg38_chr14:82951329-82951339(+) ACACAAAGGAA >hg38_chr14:82951344-82951354(+) AGACAATAGGC >hg38_chr14:82997031-82997041(+) GGACAATAACA >hg38_chr14:83134472-83134482(-) TAACAGTGGGA >hg38_chr14:83197531-83197541(+) GTACAATGCTA >hg38_chr14:83197986-83197996(+) gcacaatggtg >hg38_chr14:83208669-83208679(-) AGATAATGGCA >hg38_chr14:83231991-83232001(+) TAACAATAATG >hg38_chr14:83254364-83254374(+) GTACGATGATA >hg38_chr14:83260161-83260171(-) acacaatgaag >hg38_chr14:83260172-83260182(-) gaacaaaggcc >hg38_chr14:83270489-83270499(+) TAACAATATCA >hg38_chr14:83276706-83276716(+) taacaattgta >hg38_chr14:83282676-83282686(-) TGACAATGGAA >hg38_chr14:83287373-83287383(-) caacaatgCTA >hg38_chr14:83295548-83295558(-) ATACAATGTAA >hg38_chr14:83295581-83295591(-) GAACAATAAGA >hg38_chr14:83300669-83300679(-) TGACAATAGCT >hg38_chr14:83301644-83301654(+) GGACAATGTAA >hg38_chr14:83303393-83303403(-) gtacaatatct >hg38_chr14:83334150-83334160(+) TAATAATGGTC >hg38_chr14:83339315-83339325(-) AAACAATGGGT >hg38_chr14:83389424-83389434(+) TCACAATGTTT >hg38_chr14:83395255-83395265(+) atacaaagggc >hg38_chr14:83396871-83396881(+) caacaatagca >hg38_chr14:83397920-83397930(+) gaacaatatca >hg38_chr14:83402451-83402461(+) ccacaatagcc >hg38_chr14:83422461-83422471(-) ttacaataaaa >hg38_chr14:83436413-83436423(+) AAACAATGTTT >hg38_chr14:83440812-83440822(+) GTACAATGATA >hg38_chr14:83456707-83456717(-) agacaatagat >hg38_chr14:83482727-83482737(-) acacaatgaag >hg38_chr14:83483522-83483532(-) TAACTATGGAT >hg38_chr14:83500948-83500958(-) ACACAATGTTC >hg38_chr14:83500988-83500998(+) CCACAATGGTC >hg38_chr14:83565950-83565960(+) taactatggtc >hg38_chr14:83565968-83565978(+) gcacaatgaca >hg38_chr14:83566002-83566012(+) atacaatggtg >hg38_chr14:83566534-83566544(-) ttacaatagct >hg38_chr14:83571850-83571860(-) TGACAATGGGT >hg38_chr14:83633091-83633101(-) AAACAATAAGA >hg38_chr14:83662908-83662918(-) gcacaatgagg >hg38_chr14:83718947-83718957(+) GAACAAAGGGC >hg38_chr14:83738863-83738873(+) taacaatgtaa >hg38_chr14:83738890-83738900(+) gaataatggat >hg38_chr14:83748805-83748815(+) ccacaatgtgt >hg38_chr14:83773740-83773750(-) TAACAATGATC >hg38_chr14:83837244-83837254(+) TCATAATGGAT >hg38_chr14:83846629-83846639(+) ccacaatggta >hg38_chr14:83867141-83867151(-) GAACAATGTCA >hg38_chr14:83874426-83874436(+) ATATAATGGAC >hg38_chr14:83894686-83894696(+) TTACAAAGGTT >hg38_chr14:83969694-83969704(+) GCACAATGGTT >hg38_chr14:84084504-84084514(-) tgacaatgaga >hg38_chr14:84254634-84254644(+) GGACAATGGAG >hg38_chr14:84299438-84299448(+) GAACAAAGGGG >hg38_chr14:84355810-84355820(+) gtacaatagac >hg38_chr14:84435854-84435864(-) CAACAATGGGA >hg38_chr14:84527054-84527064(+) TCACAATGGAC >hg38_chr14:84543553-84543563(+) CAACAATAGGC >hg38_chr14:84568449-84568459(-) TCACAATGTGC >hg38_chr14:84569918-84569928(+) gaataatggtg >hg38_chr14:84570180-84570190(-) ccacaatgaga >hg38_chr14:84572211-84572221(+) TCACAATGGCC >hg38_chr14:84574180-84574190(+) GTACAATTGTA >hg38_chr14:84574181-84574191(-) ATACAATTGTA >hg38_chr14:84584755-84584765(-) taacaatagcc >hg38_chr14:84590931-84590941(-) AAACAATGACA >hg38_chr14:84674001-84674011(+) ATACAATGACA >hg38_chr14:84678615-84678625(-) TAACAAAGGAG >hg38_chr14:84986435-84986445(-) ACACAATGTGA >hg38_chr14:85044723-85044733(-) GCACAATATAC >hg38_chr14:85159928-85159938(-) AAACAATAAAC >hg38_chr14:85172556-85172566(-) TGACAATAGCA >hg38_chr14:85178021-85178031(-) TTACAATGGAA >hg38_chr14:85178420-85178430(-) ATACAATACAA >hg38_chr14:85178727-85178737(+) ATATAATGGCT >hg38_chr14:85179116-85179126(+) gaacaatggta >hg38_chr14:85202550-85202560(+) ctacaatgtaa >hg38_chr14:85218072-85218082(+) TTACAGTGGTA >hg38_chr14:85223924-85223934(-) aaacaatagta >hg38_chr14:85250582-85250592(+) AAACAATGAGC >hg38_chr14:85273308-85273318(+) GAACAATAGTT >hg38_chr14:85282508-85282518(+) agacaaTAGCT >hg38_chr14:85315479-85315489(+) TTAcaataatc >hg38_chr14:85316047-85316057(-) GGACAATGAGC >hg38_chr14:85316262-85316272(+) ACACAATAAAT >hg38_chr14:85316475-85316485(+) GAACAATATGA >hg38_chr14:85362978-85362988(+) gcacaaaggga >hg38_chr14:85362989-85362999(+) gcacaaaggaa >hg38_chr14:85363821-85363831(+) TTACAATGCTT >hg38_chr14:85382354-85382364(+) TTACAATGGAT >hg38_chr14:85416874-85416884(+) GGACAATGTGT >hg38_chr14:85425567-85425577(+) GAACAAAGGAA >hg38_chr14:85455634-85455644(+) TGATAATGGCC >hg38_chr14:85455690-85455700(-) GGACAATGTTA >hg38_chr14:85486117-85486127(+) ggacaatgcct >hg38_chr14:85520050-85520060(+) ACACAATGGAG >hg38_chr14:85523089-85523099(-) aaacaataacc >hg38_chr14:85523136-85523146(-) ccacaatgaga >hg38_chr14:85528318-85528328(+) TTACAATAGAT >hg38_chr14:85536922-85536932(-) AAACAATAGTC >hg38_chr14:85536939-85536949(+) TCACAATGCTG >hg38_chr14:85565916-85565926(-) AAACAATGAAG >hg38_chr14:85575682-85575692(+) GAACAATGGGC >hg38_chr14:85576457-85576467(-) AAACAATGGTA >hg38_chr14:85615747-85615757(-) ATACAATGAGA >hg38_chr14:85662461-85662471(+) ATACAATAGAC >hg38_chr14:85662499-85662509(+) AAACAATGCTT >hg38_chr14:85662517-85662527(+) GAACAATGAAA >hg38_chr14:85662779-85662789(-) aaacaaTGAGA >hg38_chr14:85693356-85693366(-) atacaatgtct >hg38_chr14:85746249-85746259(-) AAACAATGTAA >hg38_chr14:85762657-85762667(-) acacAATGGTT >hg38_chr14:85763372-85763382(-) GGACAATAGAG >hg38_chr14:85777456-85777466(-) GCATAATGGTA >hg38_chr14:85777482-85777492(-) CAACAATGGGA >hg38_chr14:86013705-86013715(+) TAACAATGTGT >hg38_chr14:86018659-86018669(-) agacaatgctg >hg38_chr14:86072663-86072673(+) GCATAATGGGC >hg38_chr14:86087577-86087587(-) ACACAATAGCT >hg38_chr14:86127020-86127030(-) ttacaatatat >hg38_chr14:86143367-86143377(-) ggacaaaggac >hg38_chr14:86147857-86147867(-) ctacaatgggc >hg38_chr14:86180153-86180163(-) TTACAATGCTT >hg38_chr14:86209591-86209601(-) ggacaatgtta >hg38_chr14:86209635-86209645(+) atacaatggga >hg38_chr14:86219963-86219973(-) taacaatggCA >hg38_chr14:86221930-86221940(+) AAACAATGACT >hg38_chr14:86248605-86248615(-) tgacaatgtgt >hg38_chr14:86284292-86284302(+) TAACAATGGAG >hg38_chr14:86431008-86431018(+) AAACAATGGGA >hg38_chr14:86436526-86436536(+) TAACAATGAGC >hg38_chr14:86436536-86436546(+) CTATAATGGGT >hg38_chr14:86487962-86487972(+) atacaatgtaa >hg38_chr14:86492819-86492829(+) taacaataact >hg38_chr14:86492890-86492900(-) agataatgggt >hg38_chr14:86534870-86534880(-) TTACAATGGAT >hg38_chr14:86585397-86585407(+) aaacaatacta >hg38_chr14:86654695-86654705(-) CAACAATGGCG >hg38_chr14:86677006-86677016(+) TGACAATAATA >hg38_chr14:86677048-86677058(+) GTACAATAAGG >hg38_chr14:86714238-86714248(-) GGACAAAGGAA >hg38_chr14:86794460-86794470(+) caacaatgttt >hg38_chr14:86794893-86794903(-) GCACAATAGCA >hg38_chr14:86892957-86892967(-) GAACAATAGTT >hg38_chr14:86903723-86903733(-) AGACAATAGAC >hg38_chr14:86917867-86917877(-) TCACAATGTGT >hg38_chr14:86944666-86944676(-) atacaatgcct >hg38_chr14:86998888-86998898(-) AGACAATAAAA >hg38_chr14:87024620-87024630(-) ccacaatgtag >hg38_chr14:87041613-87041623(-) ATACAATACAT >hg38_chr14:87088087-87088097(+) AGATAATGGTC >hg38_chr14:87170260-87170270(+) agacaatgaaa >hg38_chr14:87170311-87170321(+) gaacaaaggag >hg38_chr14:87262866-87262876(-) TAACAATGACT >hg38_chr14:87271471-87271481(-) agacaaaggaa >hg38_chr14:87307405-87307415(-) CCACAATGATG >hg38_chr14:87347637-87347647(-) GAACAATAGAA >hg38_chr14:87371207-87371217(+) TGACAATGCAT >hg38_chr14:87371212-87371222(-) CTACAATGCAT >hg38_chr14:87395881-87395891(+) AGACAGTGGAA >hg38_chr14:87593449-87593459(+) caacaatgggg >hg38_chr14:87628393-87628403(+) TTACAATGATG >hg38_chr14:87644897-87644907(-) TTACAATGCCC >hg38_chr14:87644924-87644934(-) GGACAATAGGT >hg38_chr14:87669282-87669292(-) AAACAATGATG >hg38_chr14:87688733-87688743(+) GAACAATGGAG >hg38_chr14:87694817-87694827(+) tcacaatggcc >hg38_chr14:87694892-87694902(+) acacaatggag >hg38_chr14:87695487-87695497(-) AGATAATGGGA >hg38_chr14:87696108-87696118(+) ttacaatgaga >hg38_chr14:87830606-87830616(-) tgacaatggtt >hg38_chr14:87830627-87830637(+) taacaaaggcc >hg38_chr14:87842835-87842845(+) TGATAATGGTT >hg38_chr14:87857118-87857128(-) TGACAATGTAT >hg38_chr14:87967531-87967541(-) AAACAATGATA >hg38_chr14:87970024-87970034(-) GAACAATGATT >hg38_chr14:87975963-87975973(+) TCACAATGAAC >hg38_chr14:87980685-87980695(-) aaataatggaa >hg38_chr14:87993159-87993169(-) ACACAATGGCT >hg38_chr14:88036785-88036795(-) TCACAATGTGA >hg38_chr14:88037518-88037528(+) GGACAATAGGG >hg38_chr14:88050213-88050223(-) GAACAATGAGG >hg38_chr14:88050252-88050262(+) CCACAATGGCT >hg38_chr14:88104910-88104920(-) caacaatggac >hg38_chr14:88189546-88189556(+) GAACAATGAAG >hg38_chr14:88196613-88196623(-) TCACAATGAAT >hg38_chr14:88288210-88288220(-) GAACAATGAGT >hg38_chr14:88345903-88345913(-) CAACAATGGCA >hg38_chr14:88356678-88356688(+) TAACAATACTA >hg38_chr14:88364971-88364981(+) agacaatagat >hg38_chr14:88380379-88380389(-) acacaaaggga >hg38_chr14:88380622-88380632(-) AAATAATGGAT >hg38_chr14:88385642-88385652(+) TCACAATAGCG >hg38_chr14:88485028-88485038(-) TGACTATGGAT >hg38_chr14:88496571-88496581(+) ACATAATGGGT >hg38_chr14:88533294-88533304(+) taacaatgttt >hg38_chr14:88615032-88615042(-) GTACAATGTAA >hg38_chr14:88628261-88628271(+) AGACAAAGGCA >hg38_chr14:88637049-88637059(+) GAACAATAAAC >hg38_chr14:88637056-88637066(-) TTACAATGTTT >hg38_chr14:88683389-88683399(+) aaacaatagag >hg38_chr14:88733268-88733278(+) AAACAAAGGTC >hg38_chr14:88749268-88749278(+) agacaatggac >hg38_chr14:88764561-88764571(-) aaacaatagag >hg38_chr14:88808127-88808137(+) taacaatagca >hg38_chr14:88823514-88823524(+) TAACAATGACA >hg38_chr14:88856369-88856379(+) GCACAATGGAG >hg38_chr14:88865042-88865052(-) AAACAATGAAC >hg38_chr14:88927313-88927323(-) TCATAATGGTT >hg38_chr14:88931785-88931795(+) AGATAATGGTT >hg38_chr14:88977933-88977943(-) GGACAATGGAT >hg38_chr14:89122146-89122156(+) GAACAAAGGGA >hg38_chr14:89171901-89171911(+) atacaataatt >hg38_chr14:89208082-89208092(-) agataatggca >hg38_chr14:89209786-89209796(+) ACACAAAGGAA >hg38_chr14:89209814-89209824(+) CAACAACGGTA >hg38_chr14:89252039-89252049(-) agacaatgaat >hg38_chr14:89273809-89273819(+) GAACAATAAGA >hg38_chr14:89306096-89306106(-) AGACAAAGGCA >hg38_chr14:89337460-89337470(-) TCATAATGGGA >hg38_chr14:89342393-89342403(+) GTATAATGAAC >hg38_chr14:89343392-89343402(+) GAACAATGACT >hg38_chr14:89424177-89424187(-) GAACAAAGGGA >hg38_chr14:89432384-89432394(+) AAACAATGAAC >hg38_chr14:89507613-89507623(+) AAACAATGAGA >hg38_chr14:89522525-89522535(+) aaacaataaca >hg38_chr14:89522582-89522592(+) caacaatagca >hg38_chr14:89526162-89526172(-) atataatagta >hg38_chr14:89526864-89526874(+) agacaatgtgc >hg38_chr14:89532807-89532817(-) CTACAATAGTG >hg38_chr14:89532857-89532867(+) TAACAAAGGTA >hg38_chr14:89532884-89532894(+) TAACAAAGGTA >hg38_chr14:89542953-89542963(+) AAACAATGGGG >hg38_chr14:89577212-89577222(-) ACACAATAGCT >hg38_chr14:89601479-89601489(-) TGACAATGGCA >hg38_chr14:89615555-89615565(+) AGACAATAGAG >hg38_chr14:89616391-89616401(+) TAATAATGGGA >hg38_chr14:89631260-89631270(+) ttacaatgtga >hg38_chr14:89637516-89637526(-) TCACAATATAT >hg38_chr14:89644609-89644619(-) AAACAATGCCT >hg38_chr14:89702043-89702053(+) GGACAATGAAG >hg38_chr14:89721237-89721247(+) AGACAATAATA >hg38_chr14:89773729-89773739(-) taacaatgcac >hg38_chr14:89807600-89807610(-) TAACAATGTAA >hg38_chr14:89807610-89807620(-) AAACAATGGCT >hg38_chr14:89808192-89808202(+) ATATAATGATA >hg38_chr14:89820089-89820099(+) atacaatatta >hg38_chr14:89826780-89826790(+) ctataatggct >hg38_chr14:89841513-89841523(-) gaacaatatca >hg38_chr14:89878869-89878879(-) GAACTATGGAA >hg38_chr14:89879341-89879351(-) GAACAATAACA >hg38_chr14:89888066-89888076(+) atacaatgatg >hg38_chr14:89902955-89902965(+) ACACAATGTGA >hg38_chr14:89911684-89911694(-) ACACAATGATT >hg38_chr14:89940833-89940843(+) GGACAATGCTC >hg38_chr14:89956549-89956559(-) AGACAAAGGAA >hg38_chr14:90082685-90082695(-) aaacaatgtaa >hg38_chr14:90093334-90093344(-) GAACAATAGCA >hg38_chr14:90116506-90116516(+) GGACAATGAGG >hg38_chr14:90121066-90121076(-) TGACAATGGAC >hg38_chr14:90121099-90121109(+) TGACAATGAGG >hg38_chr14:90140446-90140456(+) GAACAAAGGAA >hg38_chr14:90159312-90159322(+) tgacaATAGCT >hg38_chr14:90303183-90303193(+) CAACAATGCTT >hg38_chr14:90389345-90389355(-) CTATAATGGAG >hg38_chr14:90401429-90401439(-) CAACAATGGCC >hg38_chr14:90421453-90421463(-) GAACAATGCTT >hg38_chr14:90440045-90440055(+) GGACAAAGGCG >hg38_chr14:90444471-90444481(+) gcacaatagca >hg38_chr14:90454590-90454600(+) TAACAATGGGC >hg38_chr14:90605654-90605664(+) ACACAAAGGTA >hg38_chr14:90634932-90634942(+) gtacaatagca >hg38_chr14:90642594-90642604(-) ACACAATGGCC >hg38_chr14:90681998-90682008(-) ACACAATGGTT >hg38_chr14:90711865-90711875(+) aaacaaagggc >hg38_chr14:90755116-90755126(+) gaacaatgggg >hg38_chr14:90798031-90798041(+) gaacagtggaa >hg38_chr14:90867406-90867416(+) CAATAATGGAA >hg38_chr14:90884084-90884094(+) caacaatgacc >hg38_chr14:90922358-90922368(+) GAACAATGTGC >hg38_chr14:90929321-90929331(-) taataatggct >hg38_chr14:90929337-90929347(+) aaataatggtt >hg38_chr14:90976710-90976720(+) gaacaataggc >hg38_chr14:90992335-90992345(-) TTATAATGGAT >hg38_chr14:90992371-90992381(+) ATACAAAGGTA >hg38_chr14:91070805-91070815(+) aaacaatagaa >hg38_chr14:91070813-91070823(+) gaataatggag >hg38_chr14:91110090-91110100(+) AAACAATAGCT >hg38_chr14:91140895-91140905(-) CAACAATAGAC >hg38_chr14:91177523-91177533(+) TTACAATGAAG >hg38_chr14:91228617-91228627(-) TGACAAAGGGA >hg38_chr14:91286603-91286613(-) AAATAATGGAA >hg38_chr14:91344372-91344382(+) gtataatgaac >hg38_chr14:91361697-91361707(+) GGACAATAGTG >hg38_chr14:91375341-91375351(+) AAACAATGCCC >hg38_chr14:91387590-91387600(+) GGACAAAGGCA >hg38_chr14:91410235-91410245(+) TAACAAAGGCC >hg38_chr14:91413751-91413761(+) AGACAATGGCC >hg38_chr14:91417908-91417918(+) GAACAATACGG >hg38_chr14:91418776-91418786(-) GAACAATGACC >hg38_chr14:91455646-91455656(+) TCACAATATAC >hg38_chr14:91465306-91465316(-) CAACAATGGAT >hg38_chr14:91466227-91466237(-) TTACAATAACT >hg38_chr14:91466523-91466533(+) TAACAATGCAA >hg38_chr14:91467634-91467644(+) CTACAATGTAA >hg38_chr14:91478345-91478355(+) CTACAAAGGAA >hg38_chr14:91496068-91496078(+) agacaaaggta >hg38_chr14:91684125-91684135(+) GAACAATGCAC >hg38_chr14:91875610-91875620(+) ttacaatggct >hg38_chr14:91998430-91998440(+) AAATAATGGCA >hg38_chr14:92001927-92001937(+) TGACAAAGGAA >hg38_chr14:92148489-92148499(+) ccacaatgtcc >hg38_chr14:92161732-92161742(-) ATACAATGGCA >hg38_chr14:92252539-92252549(+) GAACAATGCTC >hg38_chr14:92268261-92268271(-) ttacaaaggca >hg38_chr14:92406833-92406843(-) agacaatgggg >hg38_chr14:92414982-92414992(-) AGACAATGCAC >hg38_chr14:92487721-92487731(+) ccacaatgggg >hg38_chr14:92504172-92504182(-) TAACAATAGAA >hg38_chr14:92546473-92546483(-) GGACAATGACA >hg38_chr14:92800560-92800570(-) taacaaTGATT >hg38_chr14:92810400-92810410(-) TAACAATAGGA >hg38_chr14:92864481-92864491(+) ACACAATGCCA >hg38_chr14:93051823-93051833(-) TTACAATGGAA >hg38_chr14:93688513-93688523(+) gaacaatggga >hg38_chr14:94412363-94412373(-) CAACAATGAGg >hg38_chr14:94423591-94423601(+) TCACAATGGGC >hg38_chr14:94432616-94432626(-) agacaatgtga >hg38_chr14:94486222-94486232(+) ACACAAAGGAC >hg38_chr14:94534205-94534215(+) agacaatagtt >hg38_chr14:94599313-94599323(+) TAACAAAGGAT >hg38_chr14:94636660-94636670(+) ATACAATGAAT >hg38_chr14:94683971-94683981(+) AGACAAAGGCA >hg38_chr14:94729329-94729339(-) ACACAATGGAT >hg38_chr14:94770531-94770541(-) GCACAAAGGAA >hg38_chr14:94773259-94773269(-) AAACAATCGCT >hg38_chr14:94868124-94868134(-) CGACAAAGGGT >hg38_chr14:94868150-94868160(-) AAACAATGCGA >hg38_chr14:94971878-94971888(+) GCACAATGGAA >hg38_chr14:95079151-95079161(+) AGACAATGCCC >hg38_chr14:95119522-95119532(+) GGATAATGGAC >hg38_chr14:95133461-95133471(-) AAACACTGGAT >hg38_chr14:95137938-95137948(-) GAACAAAGGAG >hg38_chr14:95139418-95139428(+) AAACAATGTCC >hg38_chr14:95143737-95143747(-) aaacaatgtta >hg38_chr14:95154417-95154427(-) gaataatggtg >hg38_chr14:95154501-95154511(+) atacaatgaaa >hg38_chr14:95157826-95157836(-) CAACAATGGAG >hg38_chr14:95197826-95197836(-) GCACAATGGCC >hg38_chr14:95199523-95199533(-) ACACGATGGTT >hg38_chr14:95256361-95256371(+) CAACAATGAAT >hg38_chr14:95361756-95361766(-) ACACAATAGGA >hg38_chr14:95387694-95387704(-) AGACAATAAGA >hg38_chr14:95431454-95431464(+) acacagtggat >hg38_chr14:95501072-95501082(+) GGACAATGCAT >hg38_chr14:95598004-95598014(+) CGACAGTGGGG >hg38_chr14:95620146-95620156(-) TAACAATAACG >hg38_chr14:95622831-95622841(+) AAACAATGACC >hg38_chr14:95808561-95808571(-) AGACAAAGGGC >hg38_chr14:95820768-95820778(+) gaacaataggg >hg38_chr14:95822607-95822617(+) gaacaatcgat >hg38_chr14:95860897-95860907(+) TGACAATAGGA >hg38_chr14:95948759-95948769(-) caacaatgaga >hg38_chr14:95962158-95962168(-) GAACAATGAGA >hg38_chr14:95979773-95979783(+) GAACAATGGAA >hg38_chr14:96027620-96027630(-) AAATAATGGGG >hg38_chr14:96034410-96034420(+) caacaatgtgg >hg38_chr14:96118677-96118687(-) GAACAATAGGT >hg38_chr14:96143289-96143299(-) gaacaatagtt >hg38_chr14:96143314-96143324(-) tgacaaaggaa >hg38_chr14:96146265-96146275(-) GAACAATGGTG >hg38_chr14:96235664-96235674(-) AGACAACGGTC >hg38_chr14:96308973-96308983(-) AGACAAAGGCA >hg38_chr14:96360410-96360420(-) gaacaatggaa >hg38_chr14:96360438-96360448(+) aaacaatgtct >hg38_chr14:96363886-96363896(-) TGACAATGGGC >hg38_chr14:96386658-96386668(+) CTACAATGTAA >hg38_chr14:96386674-96386684(-) ATACAATGCAC >hg38_chr14:96404898-96404908(-) CTACAATAGCA >hg38_chr14:96409916-96409926(+) taacaatagca >hg38_chr14:96422818-96422828(-) TTATAATGGAC >hg38_chr14:96424524-96424534(-) GAACAATGTAA >hg38_chr14:96513845-96513855(-) TAACAATATAG >hg38_chr14:96540348-96540358(-) agacaatgaag >hg38_chr14:96542246-96542256(-) GTACAATATAA >hg38_chr14:96554206-96554216(-) ATACAATCGTA >hg38_chr14:96564922-96564932(+) GAACAATGTTG >hg38_chr14:96588488-96588498(-) GCACAATGGCT >hg38_chr14:96590582-96590592(-) TGACAATGTCT >hg38_chr14:96690645-96690655(-) ggacaataaca >hg38_chr14:96718584-96718594(-) ACACAATGCTT >hg38_chr14:96755641-96755651(-) GGACAAAGGAG >hg38_chr14:96755667-96755677(+) GGACAATGTCG >hg38_chr14:96798430-96798440(-) ATACAAAGGAA >hg38_chr14:96799001-96799011(+) TAACAATAAAC >hg38_chr14:96821748-96821758(+) ttacaatagcc >hg38_chr14:96860722-96860732(+) AAACAATAACA >hg38_chr14:96860728-96860738(+) TAACAAAGGTG >hg38_chr14:96878623-96878633(-) ACACAATAGAC >hg38_chr14:96886995-96887005(-) GTACAGTGGAA >hg38_chr14:96953199-96953209(+) GAACAATGGCG >hg38_chr14:97077282-97077292(-) AAACAAAGGTT >hg38_chr14:97100459-97100469(-) tgacaatgacc >hg38_chr14:97210853-97210863(+) GTATAATGAAT >hg38_chr14:97222076-97222086(-) ACACAATGCTG >hg38_chr14:97225251-97225261(-) GCACAATGgcg >hg38_chr14:97263137-97263147(+) caacaataggc >hg38_chr14:97271621-97271631(-) TCACAATGCAT >hg38_chr14:97273483-97273493(+) AAACAATGGCT >hg38_chr14:97277905-97277915(+) GGACAAAGGAA >hg38_chr14:97329869-97329879(-) ATACAATGTCA >hg38_chr14:97362197-97362207(-) GCACAATGACA >hg38_chr14:97362250-97362260(+) AGACAATGGGG >hg38_chr14:97482365-97482375(-) ACACAATGAAG >hg38_chr14:97486946-97486956(+) aaacagtggaa >hg38_chr14:97572699-97572709(+) CTACAATGCAA >hg38_chr14:97685991-97686001(-) TAACAAAGGGG >hg38_chr14:97686022-97686032(-) GAACAATAGGG >hg38_chr14:97690196-97690206(-) acacaatgaca >hg38_chr14:97711367-97711377(-) GGACAATGGAA >hg38_chr14:97711397-97711407(+) GAACAATAGAC >hg38_chr14:97807180-97807190(+) CAACAATGATG >hg38_chr14:97807219-97807229(-) GAACAATCGCC >hg38_chr14:97851873-97851883(-) GGACAAAGGAA >hg38_chr14:97866842-97866852(+) TTACAATGAAG >hg38_chr14:97884056-97884066(-) CAACAATGCAG >hg38_chr14:97884097-97884107(-) GAACAATAGAA >hg38_chr14:98047450-98047460(+) ATACAATAGCA >hg38_chr14:98233839-98233849(-) ggacaatgagg >hg38_chr14:98280668-98280678(-) CTACAATAGGC >hg38_chr14:98345292-98345302(-) TAACAATAGAA >hg38_chr14:98345314-98345324(-) GGACAATGGTC >hg38_chr14:98498660-98498670(-) GAACAATGGCC >hg38_chr14:98593580-98593590(+) GCACAATGTTT >hg38_chr14:98633560-98633570(-) AGACAATAGCG >hg38_chr14:98639336-98639346(+) ACACAATAGGT >hg38_chr14:98639344-98639354(-) TTACAATGACC >hg38_chr14:98651219-98651229(+) TAATAATGGAA >hg38_chr14:98723996-98724006(-) ATATAATGGGA >hg38_chr14:98724026-98724036(-) AAACAATAGCT >hg38_chr14:98775146-98775156(+) ACACAATGAAG >hg38_chr14:98877332-98877342(+) ggacaataaca >hg38_chr14:98889300-98889310(+) ACACAATGTTG >hg38_chr14:98896736-98896746(+) AGACAATGGAG >hg38_chr14:98909351-98909361(+) GGACAATGGGA >hg38_chr14:99052716-99052726(+) gtacaatacca >hg38_chr14:99254654-99254664(+) GGACAATAGCA >hg38_chr14:99266688-99266698(-) CTACAATGGGC >hg38_chr14:99272319-99272329(-) GTACAATGTTA >hg38_chr14:99277533-99277543(+) CAACAATGAGG >hg38_chr14:99302688-99302698(-) caacaatggtt >hg38_chr14:99303060-99303070(+) atacaatgaca >hg38_chr14:99303085-99303095(+) gtacaatggtg >hg38_chr14:99373515-99373525(+) atacagtggcg >hg38_chr14:99373862-99373872(-) taacaatgcac >hg38_chr14:99412859-99412869(+) GTACGATGGGT >hg38_chr14:99413185-99413195(+) TAACAAAGGTA >hg38_chr14:99462619-99462629(+) TGACAAAGGAT >hg38_chr14:99462659-99462669(-) TCATAATGGGT >hg38_chr14:99462688-99462698(-) TCATAATGGGT >hg38_chr14:99463010-99463020(+) TTACAATGGCG >hg38_chr14:99486751-99486761(-) ACACAATGGCA >hg38_chr14:99497657-99497667(+) TCACAATAGTT >hg38_chr14:99531295-99531305(+) GCACAATGGAG >hg38_chr14:99534437-99534447(+) GAACAATGAGA >hg38_chr14:99563295-99563305(-) ATACAAAGGAT >hg38_chr14:99563309-99563319(+) CAACAATAGCC >hg38_chr14:99568838-99568848(+) CAACAATGTGG >hg38_chr14:99571131-99571141(+) AGACAAAGGAT >hg38_chr14:99571147-99571157(+) AGACAAAGGCA >hg38_chr14:99581587-99581597(-) GAACAAAGGGA >hg38_chr14:99581605-99581615(-) AGACAATGGGG >hg38_chr14:99592833-99592843(+) AGACAATGGCG >hg38_chr14:99599029-99599039(-) AGACAATGGGG >hg38_chr14:99599045-99599055(-) GAACAAAGGTC >hg38_chr14:99671650-99671660(-) gcacaataggg >hg38_chr14:99718578-99718588(+) AGACAAAGGAG >hg38_chr14:99730061-99730071(-) TGACAATGACC >hg38_chr14:99793704-99793714(-) ACACAATGCGC >hg38_chr14:99795752-99795762(+) TTACAATGGCA >hg38_chr14:99822383-99822393(+) TAACAATAGTA >hg38_chr14:99868102-99868112(-) atacaatattc >hg38_chr14:99873077-99873087(-) CTACAATGTCT >hg38_chr14:99885579-99885589(+) TTACACTGGAA >hg38_chr14:99889397-99889407(-) ggacaatAGAC >hg38_chr14:99938597-99938607(+) CGACAATGGTC >hg38_chr14:99982683-99982693(-) AGACAATGCAT >hg38_chr14:99994177-99994187(-) ctacaatagat >hg38_chr14:100049432-100049442(-) GTACAATGACA >hg38_chr14:100108583-100108593(-) GTACAAAGGCC >hg38_chr14:100129413-100129423(+) gaataatggac >hg38_chr14:100140746-100140756(-) GCACAATGTGA >hg38_chr14:100223142-100223152(+) GCACAATGCAT >hg38_chr14:100237801-100237811(+) GTACAATGGAG >hg38_chr14:100269432-100269442(-) AGACAATGAtg >hg38_chr14:100275358-100275368(+) GAACAATCGGA >hg38_chr14:100281457-100281467(-) GGACAAAGGGC >hg38_chr14:100315265-100315275(+) agacaatgcat >hg38_chr14:100341425-100341435(-) TGACAATGGGG >hg38_chr14:100362215-100362225(+) ACACAATATAC >hg38_chr14:100397264-100397274(-) CGACAATATAC >hg38_chr14:100457775-100457785(+) taacaatagca >hg38_chr14:100457814-100457824(-) taacaataata >hg38_chr14:100504542-100504552(-) atacaataggg >hg38_chr14:100504582-100504592(-) atacaatggac >hg38_chr14:100508615-100508625(+) ttacaatgact >hg38_chr14:100522941-100522951(-) AGACAAAGGAA >hg38_chr14:100599594-100599604(-) taacaatgaac >hg38_chr14:100673300-100673310(+) TGACAATAGCG >hg38_chr14:100673311-100673321(-) AAACAACGCGA >hg38_chr14:100687119-100687129(-) GAACAATGACC >hg38_chr14:100755865-100755875(+) aaacaatggaa >hg38_chr14:100765024-100765034(-) atacaaaggaa >hg38_chr14:100765875-100765885(-) acacaatggat >hg38_chr14:100830819-100830829(+) AGACAATAGCT >hg38_chr14:100832822-100832832(-) CTACAATGCAA >hg38_chr14:100839220-100839230(+) GGACAATGCGG >hg38_chr14:100839235-100839245(-) TCACAATGCTG >hg38_chr14:100888132-100888142(+) CAACAATGAGC >hg38_chr14:100898000-100898010(+) AGACAATAGGT >hg38_chr14:100901130-100901140(+) GGACAATGAGG >hg38_chr14:100903097-100903107(-) ATACAATGCAA >hg38_chr14:100906432-100906442(-) GGACAATAGCC >hg38_chr14:100937446-100937456(-) CAATAATGGTC >hg38_chr14:100937449-100937459(-) TAACAATAATG >hg38_chr14:100981935-100981945(+) AGACAATGTAA >hg38_chr14:101016681-101016691(-) CAACAATGACA >hg38_chr14:101062097-101062107(+) ACACAAAGGCA >hg38_chr14:101068958-101068968(-) AAACAATAGAT >hg38_chr14:101068985-101068995(+) AAACAAAGGGC >hg38_chr14:101184438-101184448(-) aaacaatgaga >hg38_chr14:101222089-101222099(-) GGACAAAGGGT >hg38_chr14:101234479-101234489(-) TTACAAAGGGA >hg38_chr14:101234527-101234537(+) GGACAATGGCG >hg38_chr14:101275486-101275496(-) ctacaatgatg >hg38_chr14:101275494-101275504(-) aaacaatgcta >hg38_chr14:101293755-101293765(+) gaacaatgcct >hg38_chr14:101301152-101301162(+) taacaaaggcc >hg38_chr14:101324416-101324426(-) aaacaataact >hg38_chr14:101489712-101489722(+) agacaatagga >hg38_chr14:101568825-101568835(+) GGACAATGGTA >hg38_chr14:101568886-101568896(+) GAACAATGAAA >hg38_chr14:101624674-101624684(+) GAACAAAGGCT >hg38_chr14:101639888-101639898(+) agacaaaggat >hg38_chr14:101703315-101703325(-) tgataatggac >hg38_chr14:101873314-101873324(-) tcacaatgtca >hg38_chr14:101919000-101919010(-) aaacaatggct >hg38_chr14:101948127-101948137(-) CCACAATGCAC >hg38_chr14:101965258-101965268(+) AAACAATGGGG >hg38_chr14:101967355-101967365(+) CAACAATGAAG >hg38_chr14:102058233-102058243(-) gaacaatggct >hg38_chr14:102125515-102125525(+) GAACAATGGGC >hg38_chr14:102142028-102142038(+) TTACAATAGTG >hg38_chr14:102149314-102149324(-) gaacaatggct >hg38_chr14:102154659-102154669(-) atacaatgatg >hg38_chr14:102170202-102170212(+) gtacaatatcc >hg38_chr14:102170210-102170220(-) acacaatggga >hg38_chr14:102303616-102303626(-) atacaatactc >hg38_chr14:102303624-102303634(-) taacaatgata >hg38_chr14:102304158-102304168(+) ATACAATGCAA >hg38_chr14:102390361-102390371(+) ctacaatgagg >hg38_chr14:102390424-102390434(+) acacaatagat >hg38_chr14:102509851-102509861(-) TAACAATGGTG >hg38_chr14:102516810-102516820(-) CGACCATGGCG >hg38_chr14:102570545-102570555(+) tcacaatgaga >hg38_chr14:102622428-102622438(-) gaacaatgctc >hg38_chr14:102655421-102655431(+) TAACTATGGCA >hg38_chr14:102663306-102663316(-) tcacaatgctg >hg38_chr14:102693236-102693246(+) cgacaattgga >hg38_chr14:102693528-102693538(+) AAACAGTGGGA >hg38_chr14:102716634-102716644(-) aaacaaaggtc >hg38_chr14:102789222-102789232(-) atacaatggat >hg38_chr14:102811285-102811295(+) AAACAATGGGT >hg38_chr14:102813886-102813896(-) aaacaaaggaa >hg38_chr14:102937330-102937340(+) GGACAAAGGAT >hg38_chr14:102937346-102937356(+) AGACAAAGGAC >hg38_chr14:102977456-102977466(-) AGACAATGGAA >hg38_chr14:102982006-102982016(+) GAACAATGTCA >hg38_chr14:103087588-103087598(+) GAACAATGAGC >hg38_chr14:103120711-103120721(+) atacaatggaa >hg38_chr14:103123153-103123163(+) GGACAATGGCT >hg38_chr14:103151801-103151811(-) aaacaatggag >hg38_chr14:103187062-103187072(+) TGACAATGGAC >hg38_chr14:103187196-103187206(+) TGACAATGAAT >hg38_chr14:103243703-103243713(-) gaacaatgaTG >hg38_chr14:103253800-103253810(-) aaacaataaaa >hg38_chr14:103262612-103262622(-) tgacaaaggac >hg38_chr14:103265151-103265161(-) aaacaatgaga >hg38_chr14:103265191-103265201(-) tgacaatgata >hg38_chr14:103348917-103348927(+) taacaataaaa >hg38_chr14:103361968-103361978(+) ggacaaaggga >hg38_chr14:103385065-103385075(+) GCACAATAGGC >hg38_chr14:103417178-103417188(+) ggacaaaggag >hg38_chr14:103417186-103417196(-) taacaatgctc >hg38_chr14:103417210-103417220(-) taacaatgctc >hg38_chr14:103432938-103432948(+) gaacaatagac >hg38_chr14:103432948-103432958(+) ccacaatagac >hg38_chr14:103489081-103489091(+) ATACAATGTGG >hg38_chr14:103517128-103517138(-) GAACAATGACT >hg38_chr14:103541207-103541217(+) GCACAATAGAC >hg38_chr14:103558895-103558905(-) ACATAATGGTT >hg38_chr14:103561927-103561937(+) CCACAATGGCC >hg38_chr14:103629156-103629166(-) ccacaatgccg >hg38_chr14:103630935-103630945(-) AGACAATGCCA >hg38_chr14:103670055-103670065(-) GAACAATATGA >hg38_chr14:103711136-103711146(+) GAACAATGGAT >hg38_chr14:103855661-103855671(+) GGACAATGGGA >hg38_chr14:103914065-103914075(-) CTACAATGCCT >hg38_chr14:103946750-103946760(+) taacaatgaac >hg38_chr14:103989193-103989203(-) aaacaatggaa >hg38_chr14:104139974-104139984(-) GAACAATGGTC >hg38_chr14:104139988-104139998(+) GAACAATGCAT >hg38_chr14:104170347-104170357(+) GTACAATAGAA >hg38_chr14:104259066-104259076(+) caacaatagca >hg38_chr14:104364288-104364298(-) TGACAATGGAA >hg38_chr14:104399958-104399968(+) GAACAATGCTC >hg38_chr14:104419156-104419166(-) ccacaatgcac >hg38_chr14:104666273-104666283(+) GTACAAAGGCC >hg38_chr14:104792622-104792632(+) TCACAATAGCC >hg38_chr14:104815917-104815927(+) CGACAAAGGCC >hg38_chr14:104857388-104857398(-) GCACaaaggaa >hg38_chr14:104857462-104857472(-) TAACAAAGGGC >hg38_chr14:104864509-104864519(-) CTACAATAGGC >hg38_chr14:104903371-104903381(+) gcacaatgtta >hg38_chr14:104903386-104903396(+) acacaataaat >hg38_chr14:104960199-104960209(+) tgacaataata >hg38_chr14:104970555-104970565(-) GGACAATGGGG >hg38_chr14:104973474-104973484(+) AAACAAAGGGG >hg38_chr14:105015372-105015382(+) aaacaatggcg >hg38_chr14:105015409-105015419(-) agataatggct >hg38_chr14:105133054-105133064(-) ccacaatagca >hg38_chr14:105193361-105193371(+) GAACAATGCCC >hg38_chr14:105196693-105196703(+) GAACAAAGGGA >hg38_chr14:105196709-105196719(+) GGACAATGGCC >hg38_chr14:105197414-105197424(-) AAACAATGCGA >hg38_chr14:105313983-105313993(-) GGACAATGGAG >hg38_chr14:105469372-105469382(-) GGACAATGGGC >hg38_chr14:105481348-105481358(-) GGACAATGGGC >hg38_chr15:22293207-22293217(-) TGACAATGGAG >hg38_chr15:22780623-22780633(+) ttacaatgcaa >hg38_chr15:23464748-23464758(-) TGACAATGACA >hg38_chr15:23504189-23504199(-) acacaatgccc >hg38_chr15:23564912-23564922(+) AAACAAAGGGC >hg38_chr15:23568203-23568213(+) TTACAATGGCA >hg38_chr15:23570679-23570689(+) ACACAAAGGTC >hg38_chr15:23628753-23628763(+) gaacaatagag >hg38_chr15:23735671-23735681(+) GCACAATGTCA >hg38_chr15:23744392-23744402(-) gaacaataaca >hg38_chr15:23744438-23744448(-) acacaatgaac >hg38_chr15:23917839-23917849(-) TGATAATGGGA >hg38_chr15:24070260-24070270(+) taacaatgccc >hg38_chr15:24632507-24632517(+) taataatgccg >hg38_chr15:24702065-24702075(-) caacaatagat >hg38_chr15:24745460-24745470(+) GAACAATGGCT >hg38_chr15:24773142-24773152(-) GAACAATGGCG >hg38_chr15:24859165-24859175(-) gaacaaagggg >hg38_chr15:24868221-24868231(-) aaacaatagtc >hg38_chr15:24876798-24876808(+) TAACAAAGGAT >hg38_chr15:24899899-24899909(-) GAACAATGCCA >hg38_chr15:24914059-24914069(-) gaacaaaggct >hg38_chr15:24920775-24920785(-) aaacactggaa >hg38_chr15:24951403-24951413(-) acacaatcgtt >hg38_chr15:24956288-24956298(-) GCACAATGGCA >hg38_chr15:25154900-25154910(-) gtacaataact >hg38_chr15:25309371-25309381(-) AAACAATAAGT >hg38_chr15:25309430-25309440(+) ttacaatgtaa >hg38_chr15:25367638-25367648(+) ttacaatgaat >hg38_chr15:25388656-25388666(-) aaacaaaggca >hg38_chr15:25392674-25392684(+) acactatgggt >hg38_chr15:25414457-25414467(+) taacaatgcac >hg38_chr15:25416366-25416376(+) caacaatgtga >hg38_chr15:25425179-25425189(+) ataccatggta >hg38_chr15:25425180-25425190(-) ttaccatggta >hg38_chr15:25432217-25432227(-) TCACTATGGAC >hg38_chr15:25432347-25432357(+) ACACAAAGGCA >hg38_chr15:25437156-25437166(+) TAATAATGTAT >hg38_chr15:25462171-25462181(+) taacaatgcgt >hg38_chr15:25462196-25462206(-) taacaatgggg >hg38_chr15:25462886-25462896(+) aaacaatgctt >hg38_chr15:25497974-25497984(+) aaacaatgggc >hg38_chr15:25502035-25502045(+) AAACAATGTCT >hg38_chr15:25605320-25605330(-) tgacaatagta >hg38_chr15:25605335-25605345(-) acacaatgaac >hg38_chr15:25643383-25643393(-) GAACAAAGGGG >hg38_chr15:25643391-25643401(-) ACACAATGGAA >hg38_chr15:25684021-25684031(+) GCACAATAGCA >hg38_chr15:25685406-25685416(-) AAACAAAGGCC >hg38_chr15:25692268-25692278(-) gcacaatggct >hg38_chr15:25696205-25696215(-) ATACAAAGGAT >hg38_chr15:25742213-25742223(+) GGACAATGAGA >hg38_chr15:25756092-25756102(-) aaacaatGAAC >hg38_chr15:25775361-25775371(-) TTACAAAGGAG >hg38_chr15:25790957-25790967(-) TTACAATGCAA >hg38_chr15:25810910-25810920(+) TTACAAAGGCA >hg38_chr15:25822590-25822600(+) acacaatgagc >hg38_chr15:25841855-25841865(-) ccacaatggac >hg38_chr15:25841910-25841920(-) ttacaatgaca >hg38_chr15:25927671-25927681(+) atacaatgcca >hg38_chr15:25927696-25927706(+) gaacaatggat >hg38_chr15:25931973-25931983(-) CAACAATGGGA >hg38_chr15:25932082-25932092(-) GCACAAAGGAC >hg38_chr15:25947874-25947884(+) GAACAATGTCT >hg38_chr15:25948133-25948143(+) taacaatagta >hg38_chr15:26064209-26064219(-) ATACAATTGAA >hg38_chr15:26081998-26082008(-) TTACAAAGGAA >hg38_chr15:26102447-26102457(-) aaacaatggga >hg38_chr15:26115674-26115684(-) AGACAATAGTA >hg38_chr15:26130184-26130194(+) TGACAATGCAC >hg38_chr15:26133010-26133020(+) AAACAATGATG >hg38_chr15:26197919-26197929(-) taacaatgcct >hg38_chr15:26205823-26205833(+) gaacaatagaa >hg38_chr15:26230831-26230841(-) TAACAAAGGAA >hg38_chr15:26230844-26230854(+) GGACAATGTAA >hg38_chr15:26254429-26254439(+) caacaatagca >hg38_chr15:26283980-26283990(+) TGACAATAATA >hg38_chr15:26286068-26286078(-) ggacaatgtgc >hg38_chr15:26401796-26401806(-) GCACAAAGGGA >hg38_chr15:26433462-26433472(+) GGACAATGGTG >hg38_chr15:26640033-26640043(-) AAACAATGCTC >hg38_chr15:26711512-26711522(-) ACACAATAGAA >hg38_chr15:26751379-26751389(-) GAACAATGCAG >hg38_chr15:26757990-26758000(+) GTATAATGGAA >hg38_chr15:26817697-26817707(+) TAACAATAGAA >hg38_chr15:26929809-26929819(-) agacaatggga >hg38_chr15:27013962-27013972(+) taacaatatta >hg38_chr15:27014035-27014045(+) aaacaatgttt >hg38_chr15:27025684-27025694(-) AAACAATGAAT >hg38_chr15:27028968-27028978(-) TTACAATGCCC >hg38_chr15:27029655-27029665(-) GGACAATGCCT >hg38_chr15:27059145-27059155(+) agacaatgaag >hg38_chr15:27059749-27059759(+) AGACAATGGTG >hg38_chr15:27182959-27182969(+) AAACAATAGCA >hg38_chr15:27209140-27209150(-) GGACAATGATG >hg38_chr15:27395079-27395089(-) gcacaatggga >hg38_chr15:27395098-27395108(+) gtacaattgat >hg38_chr15:27399467-27399477(-) TTACAAAGGAA >hg38_chr15:27411386-27411396(-) taactatggta >hg38_chr15:27412676-27412686(-) GCACAAAGGTC >hg38_chr15:27433671-27433681(-) ATACAATACTA >hg38_chr15:27482485-27482495(+) TCACAATGTGG >hg38_chr15:27602781-27602791(-) GCACAAAGGAA >hg38_chr15:27645859-27645869(+) TCACAATGAGA >hg38_chr15:27705206-27705216(-) ATACAGTGGAA >hg38_chr15:27767978-27767988(+) ccacaatggca >hg38_chr15:27768479-27768489(+) gaacaatgtat >hg38_chr15:27785933-27785943(+) ctacaatgtga >hg38_chr15:27788184-27788194(+) aaacaatgtgt >hg38_chr15:27789481-27789491(-) gaacaatagct >hg38_chr15:27789490-27789500(-) atacaataaga >hg38_chr15:27799026-27799036(+) AAACAATGTTT >hg38_chr15:28875854-28875864(+) ACACAATGCCT >hg38_chr15:28876509-28876519(+) GAACAATGCCG >hg38_chr15:28955491-28955501(-) CTACAATGGTA >hg38_chr15:30922111-30922121(-) CTACAATGAGG >hg38_chr15:30922133-30922143(-) TTATAATGCGT >hg38_chr15:32748299-32748309(+) AGACAATAAGA >hg38_chr15:32748320-32748330(-) AGACAATAAAT >hg38_chr15:32983991-32984001(+) GCACAATGTTA >hg38_chr15:32991781-32991791(+) AAACAATGTGG >hg38_chr15:32993075-32993085(+) GGACAATGCCT >hg38_chr15:33005868-33005878(-) TTACAAAGGGA >hg38_chr15:33023505-33023515(+) aaacaatagta >hg38_chr15:33036963-33036973(+) AGACAAAGGAG >hg38_chr15:33041839-33041849(-) gtacaatggtt >hg38_chr15:33055502-33055512(+) gaacagtggaa >hg38_chr15:33093591-33093601(+) ACACAATGTCA >hg38_chr15:33108860-33108870(-) CAACAATGTGT >hg38_chr15:33111186-33111196(-) TTACAATGTAC >hg38_chr15:33147880-33147890(+) GCACAATGGGA >hg38_chr15:33152678-33152688(-) TAACACTGGGT >hg38_chr15:33179098-33179108(+) AGACAATAAAA >hg38_chr15:33180067-33180077(+) TAACAATGTGG >hg38_chr15:33190075-33190085(+) TAACAATGTTT >hg38_chr15:33193020-33193030(+) ttacaatatac >hg38_chr15:33193046-33193056(-) AAACAATGGAG >hg38_chr15:33206595-33206605(-) AAACAATGCAG >hg38_chr15:33211456-33211466(-) ACACAATGAAT >hg38_chr15:33242768-33242778(+) AGACAATGAGT >hg38_chr15:33266683-33266693(-) ggacaaaggaa >hg38_chr15:33266717-33266727(-) aaacaataaaa >hg38_chr15:33305191-33305201(-) ACACAATGTTT >hg38_chr15:33354117-33354127(-) TAACAATAAGT >hg38_chr15:33446868-33446878(-) CTACAATATAT >hg38_chr15:33468961-33468971(+) caacaatagtg >hg38_chr15:33571353-33571363(-) taacaaaggac >hg38_chr15:33619843-33619853(-) tgacaatagca >hg38_chr15:33656201-33656211(-) CAATAATGGAC >hg38_chr15:33826496-33826506(-) GCACAATGCCC >hg38_chr15:33835097-33835107(-) CAACAATGACA >hg38_chr15:33835141-33835151(-) TCACAATGGGA >hg38_chr15:33839623-33839633(-) taataatggaa >hg38_chr15:33852631-33852641(-) AGATAATGGCG >hg38_chr15:33896935-33896945(+) gcacaatagct >hg38_chr15:33910989-33910999(-) TCACAATGATT >hg38_chr15:33914038-33914048(+) taacaatagca >hg38_chr15:33941846-33941856(-) TAACAATGTGT >hg38_chr15:33941877-33941887(-) AAACACTGGAA >hg38_chr15:33943249-33943259(+) acactatggaa >hg38_chr15:33976227-33976237(+) TTACAATGTAT >hg38_chr15:33981526-33981536(+) AAACAATGAAA >hg38_chr15:33981550-33981560(+) ATACAATACAC >hg38_chr15:33985376-33985386(-) taacaaaggaa >hg38_chr15:34035336-34035346(-) TAACATTGGTA >hg38_chr15:34066135-34066145(-) TTACAAAGGCT >hg38_chr15:34076934-34076944(-) AGACAATGAGG >hg38_chr15:34129996-34130006(+) TTACAATGAAG >hg38_chr15:34133328-34133338(+) AGATAATGGTg >hg38_chr15:34147530-34147540(+) taacaatgtca >hg38_chr15:34147545-34147555(+) ctacaatgagt >hg38_chr15:34176904-34176914(+) TGACAATGTAA >hg38_chr15:34183256-34183266(-) ATACAAAGGGT >hg38_chr15:34208277-34208287(-) acactatggac >hg38_chr15:34253174-34253184(-) taataATGTAT >hg38_chr15:34268132-34268142(+) TTACAATGATG >hg38_chr15:34269812-34269822(+) tcacagtggta >hg38_chr15:34269845-34269855(-) tgacaatgaca >hg38_chr15:34367353-34367363(-) GAACAATGGCC >hg38_chr15:34376487-34376497(+) agacaataaga >hg38_chr15:34616326-34616336(+) AGACAATGGAA >hg38_chr15:34638210-34638220(+) TGACAATGCTG >hg38_chr15:34650665-34650675(-) GGACAATGTCA >hg38_chr15:34680388-34680398(-) ttacagtggga >hg38_chr15:34680417-34680427(-) tgacaatggtt >hg38_chr15:34719027-34719037(+) TTACAATGTAC >hg38_chr15:34722017-34722027(+) TCACAATGAGA >hg38_chr15:34726570-34726580(-) TGACAATGACC >hg38_chr15:34759560-34759570(-) CAACAATGAGA >hg38_chr15:34787876-34787886(-) GAACAATGTGA >hg38_chr15:34787885-34787895(-) ATACAATGTGA >hg38_chr15:34787902-34787912(+) ATACAATAAGT >hg38_chr15:34804788-34804798(-) ccacaatgtct >hg38_chr15:34804802-34804812(+) gaacagtggga >hg38_chr15:34822128-34822138(-) GGACAATGAGA >hg38_chr15:34851503-34851513(+) GCACAATGTTA >hg38_chr15:34853665-34853675(-) TGACAATGTAG >hg38_chr15:34901897-34901907(+) TAacaatgatg >hg38_chr15:34908600-34908610(-) GCACAATAGGG >hg38_chr15:34912040-34912050(-) atacaatgggg >hg38_chr15:34912314-34912324(-) ttacaataaat >hg38_chr15:34913793-34913803(+) AAACAATGGGC >hg38_chr15:34920067-34920077(+) AGACAATGAAA >hg38_chr15:34973726-34973736(+) AGACAATGGGA >hg38_chr15:34976320-34976330(+) CAACAATGTga >hg38_chr15:34982558-34982568(+) GGACAATATAA >hg38_chr15:34988366-34988376(-) CGACAACGGCC >hg38_chr15:34995159-34995169(+) AAACAAAGGTC >hg38_chr15:35067494-35067504(-) AAACAAAGGAA >hg38_chr15:35067518-35067528(-) ATACAATGAGA >hg38_chr15:35142862-35142872(-) ACATAATGGAG >hg38_chr15:35167271-35167281(+) taacaatgaac >hg38_chr15:35170771-35170781(+) aaacagtggat >hg38_chr15:35181648-35181658(+) aaacaatgccc >hg38_chr15:35181709-35181719(+) ttacaatagtt >hg38_chr15:35183343-35183353(+) GCACAATGCTT >hg38_chr15:35204938-35204948(+) agacaaaggaa >hg38_chr15:35206471-35206481(+) GGACAAAGGTG >hg38_chr15:35218432-35218442(-) ACATAATGGTA >hg38_chr15:35220032-35220042(+) CCACAATGACT >hg38_chr15:35266675-35266685(-) TCATAATGGAA >hg38_chr15:35287012-35287022(+) AGACAATAGAA >hg38_chr15:35290642-35290652(-) TAACAGTGGCA >hg38_chr15:35302384-35302394(-) GAACAGTGGGT >hg38_chr15:35398215-35398225(-) ttacaaaggtc >hg38_chr15:35429201-35429211(+) ccacaatgtac >hg38_chr15:35482151-35482161(-) agacaatgctg >hg38_chr15:35506662-35506672(+) TTACAATGAAG >hg38_chr15:35506691-35506701(-) TGACAATGAGC >hg38_chr15:35519753-35519763(+) TTACAATAGAG >hg38_chr15:35522185-35522195(+) aaacaatcgcc >hg38_chr15:35556750-35556760(-) tgacaatatac >hg38_chr15:35556787-35556797(-) GTACAatagaa >hg38_chr15:35557775-35557785(+) TTACGATGGCG >hg38_chr15:35570088-35570098(-) acacagtggaa >hg38_chr15:35572772-35572782(+) tcacaatgata >hg38_chr15:35592795-35592805(+) gtacaaaggta >hg38_chr15:35611928-35611938(-) ATACAATACTA >hg38_chr15:35625972-35625982(-) TCACAAAGGAA >hg38_chr15:35631037-35631047(+) GAACAATAGAA >hg38_chr15:35683764-35683774(-) aaacaatgaac >hg38_chr15:35692599-35692609(+) ATACAAAGGGA >hg38_chr15:35692620-35692630(+) ATACAAAGGGA >hg38_chr15:35697695-35697705(+) AAACAATAGCG >hg38_chr15:35710251-35710261(+) ATACAATACAC >hg38_chr15:35713990-35714000(+) GAACAATAGTC >hg38_chr15:35736909-35736919(-) acacaaaggtc >hg38_chr15:35736937-35736947(+) gcataatggat >hg38_chr15:35737396-35737406(-) tgacaatgcca >hg38_chr15:35737446-35737456(-) tcacaatatac >hg38_chr15:35749226-35749236(-) GGACAATAAGA >hg38_chr15:35749254-35749264(-) GAACAATAACT >hg38_chr15:35751315-35751325(+) GAACAAAGGAG >hg38_chr15:35751333-35751343(+) CAACAATAGCT >hg38_chr15:35751736-35751746(-) TAACAATGAAA >hg38_chr15:35751805-35751815(-) TTACAATGCTG >hg38_chr15:35757678-35757688(+) GAACAATGCAT >hg38_chr15:35858852-35858862(-) GAACAATAGCA >hg38_chr15:35861508-35861518(-) aaacaataaga >hg38_chr15:35946054-35946064(-) GCACAATGGTG >hg38_chr15:35946123-35946133(-) TTACAATAAGA >hg38_chr15:35973933-35973943(-) GAATAATGGTG >hg38_chr15:35999337-35999347(-) AAACAATGTAA >hg38_chr15:36030143-36030153(+) AAACAATACGT >hg38_chr15:36030193-36030203(+) AAACAATGCTG >hg38_chr15:36050002-36050012(-) ATACAATGGAG >hg38_chr15:36061299-36061309(-) GAACAAAGGAG >hg38_chr15:36081238-36081248(+) gaacaaaggcc >hg38_chr15:36090729-36090739(+) TTACAATAGAT >hg38_chr15:36126350-36126360(+) GAACAATGGCC >hg38_chr15:36126350-36126360(+) GAACAATGGCC >hg38_chr15:36126371-36126381(-) GCACAATGTGC >hg38_chr15:36161923-36161933(-) GAACAATGACC >hg38_chr15:36188543-36188553(-) ATACAATGGAA >hg38_chr15:36189342-36189352(-) AAATAATGGCA >hg38_chr15:36283084-36283094(+) TTACAATGTAT >hg38_chr15:36335309-36335319(-) TAACTATGGCA >hg38_chr15:36342959-36342969(-) caacaatagga >hg38_chr15:36347557-36347567(+) GCACAATGGAT >hg38_chr15:36356872-36356882(+) AAACAATGATC >hg38_chr15:36364646-36364656(+) GAACAATAAAT >hg38_chr15:36364671-36364681(-) TTATAATGGTA >hg38_chr15:36369392-36369402(+) ACACAATGCAC >hg38_chr15:36374995-36375005(-) AGACAATGAAC >hg38_chr15:36407890-36407900(-) AGATAATGGGT >hg38_chr15:36447985-36447995(+) ATACAATGAAA >hg38_chr15:36449420-36449430(+) agacaatcggc >hg38_chr15:36469632-36469642(-) ACACAATACGG >hg38_chr15:36478767-36478777(+) ATATAATGGCA >hg38_chr15:36478776-36478786(-) AAACAATGCTG >hg38_chr15:36479376-36479386(+) ACACAAAGGCA >hg38_chr15:36479408-36479418(-) TTACAGTGGAA >hg38_chr15:36479428-36479438(-) TAACAATGTAG >hg38_chr15:36532503-36532513(+) GCACAATGTGG >hg38_chr15:36533416-36533426(+) CAACAATGGGG >hg38_chr15:36533434-36533444(-) GAACAATGAAT >hg38_chr15:36598993-36599003(-) CTACAATGCTA >hg38_chr15:36599899-36599909(+) TCACAATAGAA >hg38_chr15:36658137-36658147(+) AGACAATGTGT >hg38_chr15:36660142-36660152(-) TCATAATGGAA >hg38_chr15:36660152-36660162(+) AAACAATGCGG >hg38_chr15:36708246-36708256(+) TGACAATGTCA >hg38_chr15:36710943-36710953(+) TAACAATGATC >hg38_chr15:36718665-36718675(+) atacaattgat >hg38_chr15:36756854-36756864(+) GGACAATAGGG >hg38_chr15:36772980-36772990(-) CTACAATGCAG >hg38_chr15:36782851-36782861(-) GTACAATGCTA >hg38_chr15:36791113-36791123(+) GAACAAAGGCA >hg38_chr15:36791140-36791150(+) AAACAATAGCA >hg38_chr15:36803188-36803198(+) AAACAATAGAA >hg38_chr15:36881558-36881568(-) CTACAAAGGAA >hg38_chr15:36886341-36886351(+) AGACAATGGCC >hg38_chr15:36887823-36887833(+) AAACAAAGGCA >hg38_chr15:36895356-36895366(+) CAACAATGTGG >hg38_chr15:36898069-36898079(+) AAACAATGGAC >hg38_chr15:36898834-36898844(+) CAACAATGCAG >hg38_chr15:36902127-36902137(+) gcacaatgcct >hg38_chr15:36904344-36904354(-) AAACAATAACT >hg38_chr15:36904864-36904874(-) AGACAATGCAC >hg38_chr15:36935014-36935024(-) TTACAATGGGA >hg38_chr15:36950986-36950996(-) TAACAATGAAA >hg38_chr15:36952089-36952099(+) AAACAATGTAA >hg38_chr15:36957185-36957195(+) TAACAATGTTT >hg38_chr15:36981281-36981291(-) aaacaatgagt >hg38_chr15:37026312-37026322(+) GTACGATGGGA >hg38_chr15:37028286-37028296(-) GTACAGTGGTG >hg38_chr15:37028308-37028318(+) CCACAATGTCA >hg38_chr15:37028332-37028342(+) GAACAAAGGCT >hg38_chr15:37056814-37056824(+) GCACAATGGAG >hg38_chr15:37057925-37057935(-) TTATAATGGCC >hg38_chr15:37057936-37057946(+) ACACAATGGTA >hg38_chr15:37059235-37059245(-) CGACAATGAGA >hg38_chr15:37076345-37076355(+) TTACAATGTAT >hg38_chr15:37087350-37087360(+) GCACAATAGAA >hg38_chr15:37107421-37107431(-) AGACAATGACC >hg38_chr15:37107473-37107483(+) AAACAATCGAA >hg38_chr15:37113516-37113526(+) taacaatGGTT >hg38_chr15:37123165-37123175(+) TAACCATGGAT >hg38_chr15:37131854-37131864(-) CCACAATGCTA >hg38_chr15:37136369-37136379(+) TAACAAAGGGA >hg38_chr15:37136383-37136393(+) TTACAACGGAT >hg38_chr15:37146716-37146726(-) tgacaatgtta >hg38_chr15:37148607-37148617(-) GGATAATGGAA >hg38_chr15:37148995-37149005(-) TGACAATGCTG >hg38_chr15:37149012-37149022(-) AAACAATAGGA >hg38_chr15:37164797-37164807(+) atataatggtt >hg38_chr15:37165471-37165481(+) GGACAATGGCA >hg38_chr15:37165546-37165556(-) GAACAATGGCT >hg38_chr15:37187806-37187816(+) gaacaatagac >hg38_chr15:37193744-37193754(+) ccacaatagct >hg38_chr15:37203223-37203233(-) CCACAATGTAT >hg38_chr15:37203283-37203293(-) CTACAATAGGA >hg38_chr15:37259859-37259869(+) CCACAATGAAA >hg38_chr15:37288807-37288817(-) TTACAATGATT >hg38_chr15:37369177-37369187(-) TCACAATGGGA >hg38_chr15:37377758-37377768(+) TTACAATGTCA >hg38_chr15:37394998-37395008(+) AAACAATGGAA >hg38_chr15:37397019-37397029(-) CAACAATGAGG >hg38_chr15:37406844-37406854(-) AAACAATGCTG >hg38_chr15:37480314-37480324(+) atacaatggaa >hg38_chr15:37517327-37517337(-) ACACAATGCCA >hg38_chr15:37517347-37517357(+) TAACAATAGCA >hg38_chr15:37612436-37612446(-) ggacaaaggat >hg38_chr15:37612478-37612488(-) cgacaataaca >hg38_chr15:37640332-37640342(+) aaataatggta >hg38_chr15:37674347-37674357(+) aaacaatagtc >hg38_chr15:37675078-37675088(+) ttactatggga >hg38_chr15:37675152-37675162(-) ttacaatagtg >hg38_chr15:37725963-37725973(-) ACACAAAGGAG >hg38_chr15:37725988-37725998(-) AAACAATAAAA >hg38_chr15:37727105-37727115(+) gaacaataaat >hg38_chr15:37727148-37727158(-) atacaataaat >hg38_chr15:37740895-37740905(-) GGACAATGAAA >hg38_chr15:37771692-37771702(-) GAACAAAGGAA >hg38_chr15:37800542-37800552(+) CAACAATGGAG >hg38_chr15:37836260-37836270(+) taacaatatct >hg38_chr15:37836303-37836313(-) ccacaatgtat >hg38_chr15:37837156-37837166(+) GAACAATGACA >hg38_chr15:37837162-37837172(+) TGACAATGATA >hg38_chr15:37837742-37837752(+) AAACTATGGCA >hg38_chr15:37853760-37853770(+) GGACAATAGCC >hg38_chr15:37868802-37868812(-) TTACAATGAAA >hg38_chr15:37875785-37875795(-) TTACAATGACT >hg38_chr15:37879156-37879166(-) GAACAATGAGG >hg38_chr15:37896453-37896463(+) agacaataggt >hg38_chr15:38040694-38040704(+) tcacaaaggac >hg38_chr15:38047959-38047969(+) taacaatggga >hg38_chr15:38050166-38050176(-) taacaatacta >hg38_chr15:38065883-38065893(-) TTACAATGGTA >hg38_chr15:38065942-38065952(+) GAACAATAGCC >hg38_chr15:38076877-38076887(-) CAACAATGGCC >hg38_chr15:38091819-38091829(-) ttaCAATGGAA >hg38_chr15:38129786-38129796(+) GGACAAAGGGT >hg38_chr15:38129818-38129828(+) CAACAATGTGA >hg38_chr15:38185848-38185858(-) ACACAATAAAA >hg38_chr15:38185882-38185892(-) GAACAATATAT >hg38_chr15:38187905-38187915(-) acacaatgccc >hg38_chr15:38193875-38193885(-) taacaatgtgt >hg38_chr15:38193895-38193905(-) taacaatagtt >hg38_chr15:38197753-38197763(+) gaacAATGGGC >hg38_chr15:38250626-38250636(+) GGACAATGCTG >hg38_chr15:38251290-38251300(-) TAACTATAGCG >hg38_chr15:38267804-38267814(+) AAAcaatggct >hg38_chr15:38276192-38276202(-) GCACAATGTCT >hg38_chr15:38304480-38304490(-) GAACAATAACA >hg38_chr15:38306972-38306982(-) GAACAATGAAG >hg38_chr15:38307030-38307040(-) AGATAATGGAA >hg38_chr15:38307632-38307642(-) Gtactatggac >hg38_chr15:38307649-38307659(-) GTACAATGGAA >hg38_chr15:38309833-38309843(-) caacaatggtg >hg38_chr15:38318645-38318655(-) taacaatgaat >hg38_chr15:38335328-38335338(+) GAATAATggga >hg38_chr15:38350046-38350056(-) GAACAATGACG >hg38_chr15:38406159-38406169(-) ACACAATAGAA >hg38_chr15:38417713-38417723(+) GAACAATGTGC >hg38_chr15:38454630-38454640(+) CTACAATGTAC >hg38_chr15:38466357-38466367(-) TAACAGTGGTT >hg38_chr15:38473066-38473076(+) GAACAATGAAA >hg38_chr15:38480234-38480244(-) taacaaaggga >hg38_chr15:38480257-38480267(-) taacaataata >hg38_chr15:38491402-38491412(+) acataatggac >hg38_chr15:38533078-38533088(-) AAACAAAGGAG >hg38_chr15:38547259-38547269(-) ttacaatggga >hg38_chr15:38552215-38552225(-) GAACAATGGAC >hg38_chr15:38577554-38577564(-) ATACAATAGGA >hg38_chr15:38577616-38577626(+) ATACAAGGGTA >hg38_chr15:38665624-38665634(-) TCACAATGAGA >hg38_chr15:38668906-38668916(+) TGACAATGGAG >hg38_chr15:38672175-38672185(-) GAACAATGAGA >hg38_chr15:38672194-38672204(+) CTACAAAGGAA >hg38_chr15:38672224-38672234(-) GAACAATAGAG >hg38_chr15:38672873-38672883(+) ATACAATAACG >hg38_chr15:38721195-38721205(+) CCACAATGGAA >hg38_chr15:38751047-38751057(-) TAACAATGGCC >hg38_chr15:38758026-38758036(+) AGACAATGCTC >hg38_chr15:38758080-38758090(+) AAATAATGGCT >hg38_chr15:38828238-38828248(-) AAACAATGGAA >hg38_chr15:38854670-38854680(-) GCACAATGTGC >hg38_chr15:38899711-38899721(-) TGACAATGGGC >hg38_chr15:38899723-38899733(+) TGACAATGTGC >hg38_chr15:38906240-38906250(+) TCACAATGTTT >hg38_chr15:38909660-38909670(-) taacaatgaat >hg38_chr15:38909693-38909703(-) acacaatggta >hg38_chr15:38930209-38930219(-) caacaatgata >hg38_chr15:38964555-38964565(-) tcacaatgttt >hg38_chr15:38964833-38964843(-) taacaataagt >hg38_chr15:38964875-38964885(-) ctacaatagaa >hg38_chr15:38964887-38964897(-) taacaatagca >hg38_chr15:38965183-38965193(+) tgacaatggat >hg38_chr15:39034424-39034434(+) GGACAATGGTC >hg38_chr15:39061403-39061413(-) ACACAATGTAA >hg38_chr15:39078844-39078854(+) agacaatgaaa >hg38_chr15:39083274-39083284(-) AGACAATGGCT >hg38_chr15:39087848-39087858(-) TTACAATAAAT >hg38_chr15:39087858-39087868(-) TTACAATGGCT >hg38_chr15:39087920-39087930(+) AGACAATGCTA >hg38_chr15:39096639-39096649(+) TAACAATAGAA >hg38_chr15:39106857-39106867(+) GTACAATACAA >hg38_chr15:39106862-39106872(+) ATACAATAAGA >hg38_chr15:39106881-39106891(+) ATACAATAAGA >hg38_chr15:39127282-39127292(+) GAACAATAGAA >hg38_chr15:39131780-39131790(+) TGACAATGACC >hg38_chr15:39146424-39146434(+) AAACAATGATT >hg38_chr15:39146443-39146453(-) GCACAATGTTG >hg38_chr15:39158384-39158394(+) TAACAATGAGG >hg38_chr15:39169929-39169939(+) TCACAATGCTT >hg38_chr15:39177490-39177500(-) TGACAATAGTG >hg38_chr15:39181163-39181173(-) GAACAAAGGAA >hg38_chr15:39200683-39200693(-) GAACAATGCAG >hg38_chr15:39267066-39267076(-) acacaataggg >hg38_chr15:39270032-39270042(-) GGACAATGAAT >hg38_chr15:39270110-39270120(-) GAACAATGCCA >hg38_chr15:39279363-39279373(+) ctacaatgaga >hg38_chr15:39297157-39297167(+) TCACAATGACA >hg38_chr15:39315345-39315355(+) GTACAATGCAG >hg38_chr15:39392380-39392390(+) aaacaatgaga >hg38_chr15:39465562-39465572(+) acacaatgcct >hg38_chr15:39489508-39489518(-) TGACAATAGGT >hg38_chr15:39490674-39490684(-) GAACAAAGGCA >hg38_chr15:39490706-39490716(-) GGACAATGATT >hg38_chr15:39505195-39505205(-) ATACAATATCA >hg38_chr15:39606394-39606404(+) atacaatgtct >hg38_chr15:39616932-39616942(+) GAACACTGGAA >hg38_chr15:39642220-39642230(+) TCACAATGAAT >hg38_chr15:39663559-39663569(+) AAACAATAGGA >hg38_chr15:39664772-39664782(+) aaacaatacta >hg38_chr15:39678977-39678987(+) CTACAAAGGTC >hg38_chr15:39682580-39682590(-) ACACAATGGTC >hg38_chr15:39695591-39695601(+) TGACAATGGGT >hg38_chr15:39704847-39704857(-) TGACAAAGGAT >hg38_chr15:39757046-39757056(-) TTACAATAAGT >hg38_chr15:39762058-39762068(-) ACACAATGCAG >hg38_chr15:39778332-39778342(+) CAACAATGACC >hg38_chr15:39818541-39818551(+) CAACAATAGCT >hg38_chr15:39818577-39818587(+) ACACAATGGAT >hg38_chr15:39823783-39823793(+) ttacaatagat >hg38_chr15:39829373-39829383(+) TCACAATGCTC >hg38_chr15:39829454-39829464(+) GAACAAAGGGT >hg38_chr15:39835780-39835790(+) aaacaatgtgt >hg38_chr15:39860153-39860163(+) TAACAATGGTG >hg38_chr15:39865903-39865913(-) ctacaatgaat >hg38_chr15:39875187-39875197(+) GAATAATGGCT >hg38_chr15:39887373-39887383(+) GAACAATGCAT >hg38_chr15:39890696-39890706(+) AGACAAAGGAA >hg38_chr15:39907285-39907295(-) AGACAATGCAA >hg38_chr15:39907304-39907314(+) TGACAATGCCC >hg38_chr15:39918570-39918580(+) CTACAATGCAT >hg38_chr15:39948914-39948924(+) ACACAATGCAA >hg38_chr15:39995395-39995405(-) GGACAATGGAA >hg38_chr15:40000794-40000804(-) CAACAATGTAG >hg38_chr15:40012176-40012186(+) GCACAATGGCA >hg38_chr15:40029100-40029110(-) ATATAATGGGT >hg38_chr15:40032638-40032648(+) GCACAATAGCA >hg38_chr15:40039210-40039220(-) AGACAATGGAA >hg38_chr15:40069243-40069253(+) AGACAATGGGC >hg38_chr15:40103492-40103502(-) CAACAAAGGCG >hg38_chr15:40125375-40125385(+) gaacaatatca >hg38_chr15:40137040-40137050(-) GGACAAAGGGC >hg38_chr15:40155562-40155572(+) TGACAATGCAG >hg38_chr15:40160917-40160927(-) GCATAATGGGA >hg38_chr15:40178762-40178772(-) atataatgata >hg38_chr15:40179570-40179580(+) taacaatatct >hg38_chr15:40197080-40197090(+) ATACAATAAGC >hg38_chr15:40232816-40232826(+) GCACAAAGGGA >hg38_chr15:40293971-40293981(+) GAACAATGCCT >hg38_chr15:40327552-40327562(+) ACACAATGGCT >hg38_chr15:40341378-40341388(+) CAACAATAGTC >hg38_chr15:40341406-40341416(-) AGACAATGTCC >hg38_chr15:40341437-40341447(+) atataatgacg >hg38_chr15:40341455-40341465(+) caacaatggac >hg38_chr15:40482738-40482748(-) acacaatgggg >hg38_chr15:40494071-40494081(-) agacaatgcat >hg38_chr15:40500688-40500698(-) gtacaatagaa >hg38_chr15:40520162-40520172(-) AGACAATGGTT >hg38_chr15:40520336-40520346(+) GAACAATATGA >hg38_chr15:40520352-40520362(+) ACACAATGTTG >hg38_chr15:40529000-40529010(-) AGACAAAGGCA >hg38_chr15:40578993-40579003(+) TAACAAAGGCT >hg38_chr15:40619081-40619091(+) TGATAATGGCA >hg38_chr15:40624349-40624359(-) TAACAAAGGAC >hg38_chr15:40674732-40674742(-) atataatgata >hg38_chr15:40675021-40675031(-) aaacaaaggta >hg38_chr15:40700360-40700370(-) GAACAATGACC >hg38_chr15:40711441-40711451(+) aaacaataaat >hg38_chr15:40723579-40723589(+) acacaatgtat >hg38_chr15:40739222-40739232(-) GAACAATGCTG >hg38_chr15:40796198-40796208(-) gaacaatgcct >hg38_chr15:40907571-40907581(-) GAACAATGGTG >hg38_chr15:40949086-40949096(-) AAACAGTGGAA >hg38_chr15:41234799-41234809(-) TAACTATGGTT >hg38_chr15:41263970-41263980(+) aaacaataggg >hg38_chr15:41292756-41292766(-) caacaatgaat >hg38_chr15:41304137-41304147(-) TCACAATGCTG >hg38_chr15:41445567-41445577(+) ATACAATAGTG >hg38_chr15:41447683-41447693(+) TGACAATGGAT >hg38_chr15:41453978-41453988(-) GTACAATGAGT >hg38_chr15:41544020-41544030(+) CTACAATGAGG >hg38_chr15:41562023-41562033(+) TAACAAAGGGC >hg38_chr15:41610238-41610248(+) atacaatacaa >hg38_chr15:41610243-41610253(+) atacaatacaa >hg38_chr15:41630017-41630027(-) AAACAATGGAG >hg38_chr15:41630071-41630081(-) AGACAATGAAG >hg38_chr15:41679620-41679630(-) acacaatgaac >hg38_chr15:41679635-41679645(+) atacaattgat >hg38_chr15:41688540-41688550(-) aaacagtggat >hg38_chr15:41717428-41717438(-) taataatggta >hg38_chr15:41758735-41758745(+) GCATAATGGGT >hg38_chr15:41909113-41909123(-) CAACAATGGGT >hg38_chr15:41912354-41912364(+) AAACAATAACC >hg38_chr15:41921291-41921301(+) AGACAAAGGCA >hg38_chr15:41938497-41938507(-) AGACAATGAAA >hg38_chr15:41940686-41940696(-) TTACTATGGCA >hg38_chr15:41944376-41944386(+) TTACTATGGAT >hg38_chr15:41947962-41947972(+) GAACAATGGTT >hg38_chr15:41961523-41961533(+) tgactatggaa >hg38_chr15:42041953-42041963(+) GGACAATGGCC >hg38_chr15:42076739-42076749(+) atacactggta >hg38_chr15:42078355-42078365(+) atacaaagggc >hg38_chr15:42107545-42107555(+) GAACAATGAAC >hg38_chr15:42164085-42164095(+) GAACAATGAAC >hg38_chr15:42179211-42179221(+) TAACAATGCAT >hg38_chr15:42213861-42213871(+) aaacaaaggaa >hg38_chr15:42217860-42217870(+) GAACAATGTAA >hg38_chr15:42220422-42220432(+) ggacaatagaa >hg38_chr15:42224757-42224767(+) ttacactggaa >hg38_chr15:42224775-42224785(+) ccacaatgtAC >hg38_chr15:42254259-42254269(-) AAACAATGTTT >hg38_chr15:42276168-42276178(-) GAACAATAGCC >hg38_chr15:42290306-42290316(+) aaacaatagtc >hg38_chr15:42292910-42292920(+) AAACAAAGGTA >hg38_chr15:42329521-42329531(+) ATATAATGGCT >hg38_chr15:42363788-42363798(-) caataatggta >hg38_chr15:42363806-42363816(+) ttacaaaggag >hg38_chr15:42412589-42412599(+) GAATAATGGAG >hg38_chr15:42483589-42483599(-) ggacaAAGGCA >hg38_chr15:42491220-42491230(+) GAACAACGGAC >hg38_chr15:42491268-42491278(+) GAACAAAGGCA >hg38_chr15:42504766-42504776(-) tcacaatgtat >hg38_chr15:42513633-42513643(+) CAACAATGCCC >hg38_chr15:42537721-42537731(-) aaacaatagga >hg38_chr15:42537744-42537754(+) atacactggag >hg38_chr15:42537774-42537784(-) acacaatgacc >hg38_chr15:42544925-42544935(+) GTACAATACCA >hg38_chr15:42561659-42561669(+) TAACAATAAAA >hg38_chr15:42561690-42561700(-) CAACAATGAAT >hg38_chr15:42561709-42561719(-) CAACAATGAAT >hg38_chr15:42584292-42584302(+) AAACAATGGGG >hg38_chr15:42584333-42584343(+) GGACAAAGGAC >hg38_chr15:42616032-42616042(-) ATACAATGTTT >hg38_chr15:42616069-42616079(-) AAACAATGCTC >hg38_chr15:42619232-42619242(-) atacaaagggg >hg38_chr15:42647564-42647574(-) aaacaataaca >hg38_chr15:42651225-42651235(+) GAACAATAGTG >hg38_chr15:42651391-42651401(-) GGACAATGCAG >hg38_chr15:42653241-42653251(-) tcacaatggaa >hg38_chr15:42653886-42653896(+) taacaatgcat >hg38_chr15:42653901-42653911(-) tgacaatgtac >hg38_chr15:42699209-42699219(-) atataatgtat >hg38_chr15:42699214-42699224(-) taacaatataa >hg38_chr15:42786464-42786474(-) CGATAATAGAC >hg38_chr15:42805048-42805058(-) ATACAATAGAG >hg38_chr15:42835507-42835517(+) acacaatgaaa >hg38_chr15:42879004-42879014(+) GAACAATATAC >hg38_chr15:42879312-42879322(-) aaacaatgtat >hg38_chr15:42890689-42890699(-) taacaaaggca >hg38_chr15:42908659-42908669(-) GTACACTGGAC >hg38_chr15:42908695-42908705(-) gtacaatacca >hg38_chr15:42921984-42921994(-) TGACAATGTCA >hg38_chr15:42959818-42959828(+) GTACAGTGGAG >hg38_chr15:42977798-42977808(+) GAACAAAGGGC >hg38_chr15:43052724-43052734(+) CTACAATGAGA >hg38_chr15:43086756-43086766(-) taataatgtaa >hg38_chr15:43086792-43086802(+) tgacaatgaga >hg38_chr15:43098469-43098479(+) gcacaataata >hg38_chr15:43135477-43135487(-) TCACAATGCAA >hg38_chr15:43135530-43135540(+) AAACAAAGGAA >hg38_chr15:43196022-43196032(-) GTACAATGTTA >hg38_chr15:43225654-43225664(+) aaacaatacta >hg38_chr15:43285670-43285680(+) AGACAGTGGAA >hg38_chr15:43298021-43298031(-) aaacaatgccg >hg38_chr15:43298092-43298102(-) gaacaatatta >hg38_chr15:43317746-43317756(+) GGACAATGGTT >hg38_chr15:43398940-43398950(+) ctacaatgcca >hg38_chr15:43398946-43398956(-) tgataatggca >hg38_chr15:43417768-43417778(+) TAACAATGTTA >hg38_chr15:43417815-43417825(+) GAACAAAGGGC >hg38_chr15:43489342-43489352(+) TCACAATGAGG >hg38_chr15:43791790-43791800(+) GGACAATGGGA >hg38_chr15:43817101-43817111(-) atacaataact >hg38_chr15:43832135-43832145(-) gaacaatgcat >hg38_chr15:43832143-43832153(-) agacaatggaa >hg38_chr15:43858648-43858658(-) AAACAATGATC >hg38_chr15:43868440-43868450(-) CCACAATGGCA >hg38_chr15:43928220-43928230(-) AAACAATGCAT >hg38_chr15:43977345-43977355(-) TAACAATAGTG >hg38_chr15:44083747-44083757(+) aaacaatgata >hg38_chr15:44083800-44083810(-) ACACAATGGCT >hg38_chr15:44090993-44091003(+) TAACTATGGAA >hg38_chr15:44186695-44186705(-) TGACAATACGG >hg38_chr15:44186858-44186868(-) ACACAATGCCA >hg38_chr15:44189002-44189012(-) GTACAATAGCT >hg38_chr15:44193458-44193468(+) GCACAATGATA >hg38_chr15:44193466-44193476(+) ATACAATAGGA >hg38_chr15:44219891-44219901(+) AGACAATAGTT >hg38_chr15:44220560-44220570(-) GGACAATATAG >hg38_chr15:44230244-44230254(+) tgacaatggaa >hg38_chr15:44287280-44287290(-) GAACAATGATT >hg38_chr15:44288045-44288055(-) ACATAATGGAG >hg38_chr15:44297380-44297390(-) AGACAAAGGTA >hg38_chr15:44323702-44323712(-) AGACAATGCAT >hg38_chr15:44324299-44324309(-) GTACAATAAAG >hg38_chr15:44325762-44325772(-) AGACAATGAGA >hg38_chr15:44425003-44425013(+) TAACAATGGTA >hg38_chr15:44452319-44452329(-) aaacaatggca >hg38_chr15:44452372-44452382(-) tcacaaaggaa >hg38_chr15:44492436-44492446(+) ttacaatgggt >hg38_chr15:44502648-44502658(-) aaactatggtg >hg38_chr15:44527181-44527191(-) ACACAATGCTT >hg38_chr15:44527240-44527250(-) AAACAATGTAC >hg38_chr15:44529071-44529081(+) AAACAATATAA >hg38_chr15:44947224-44947234(-) agacaatggtt >hg38_chr15:44947292-44947302(-) gaacaaaggat >hg38_chr15:45101435-45101445(+) AGACAATGTGG >hg38_chr15:45101497-45101507(-) acacaaaggAC >hg38_chr15:45101513-45101523(+) aaacaatgcct >hg38_chr15:45158205-45158215(-) gtacaatggca >hg38_chr15:45187291-45187301(+) GAACAAAGGTG >hg38_chr15:45198589-45198599(-) GAACAATGGCA >hg38_chr15:45198600-45198610(+) CCACAAtgccg >hg38_chr15:45200396-45200406(-) GGACAATGGCA >hg38_chr15:45200407-45200417(+) TCACAAtgccg >hg38_chr15:45201218-45201228(-) CCACAAtgccg >hg38_chr15:45201229-45201239(+) AAACAATGGTA >hg38_chr15:45305725-45305735(-) taacaatgtgt >hg38_chr15:45305765-45305775(-) tgacaatgtgc >hg38_chr15:45307592-45307602(-) TCACAATAGAC >hg38_chr15:45328843-45328853(+) GAACAATGATG >hg38_chr15:45372177-45372187(-) agacaatacgt >hg38_chr15:45372203-45372213(+) ctacaatggca >hg38_chr15:45481306-45481316(+) TTACAATAGCT >hg38_chr15:45481367-45481377(-) ACATAATGGGA >hg38_chr15:45508782-45508792(+) ACACAATAGGA >hg38_chr15:45508801-45508811(-) AGACAATAGAA >hg38_chr15:45574468-45574478(+) caacaatgccg >hg38_chr15:45604666-45604676(+) AGACAATGACT >hg38_chr15:45659047-45659057(-) TCATAATGGTT >hg38_chr15:45662512-45662522(+) GAACAATAGGA >hg38_chr15:45727764-45727774(+) ctataatggtg >hg38_chr15:45727781-45727791(-) atacaatgaca >hg38_chr15:45747980-45747990(+) agacaatagtc >hg38_chr15:45774309-45774319(-) CAACAATGCGG >hg38_chr15:45795592-45795602(+) gaacaatgaag >hg38_chr15:45802408-45802418(-) GAACAAAGGGA >hg38_chr15:45803625-45803635(+) CCACAATGCAC >hg38_chr15:45902320-45902330(+) TAACAATTGTA >hg38_chr15:45902349-45902359(+) GTATAATGGTG >hg38_chr15:45983073-45983083(+) gtacaatagcc >hg38_chr15:46009604-46009614(-) agacaaaggac >hg38_chr15:46063084-46063094(+) GCACAATGCTG >hg38_chr15:46080159-46080169(-) AAACAAAGGAA >hg38_chr15:46081464-46081474(+) ACACAATGATC >hg38_chr15:46130647-46130657(-) taacaatatga >hg38_chr15:46130692-46130702(-) tgacaatgtaa >hg38_chr15:46278400-46278410(+) GCACAATAGCT >hg38_chr15:46398791-46398801(-) taacaataact >hg38_chr15:46418940-46418950(-) ACACAAAGGAC >hg38_chr15:46464759-46464769(-) agacaatgctc >hg38_chr15:46483728-46483738(-) gtacaatggaa >hg38_chr15:46660557-46660567(-) GAACAATAACT >hg38_chr15:46672312-46672322(+) ggacaatgtaa >hg38_chr15:46699402-46699412(+) taacaaagcga >hg38_chr15:46699408-46699418(-) gtacaatcgct >hg38_chr15:46856919-46856929(-) gcacaatagct >hg38_chr15:46873226-46873236(-) CAACAATGAGC >hg38_chr15:46917731-46917741(-) aaacaaaggct >hg38_chr15:46968647-46968657(+) gcacaatggca >hg38_chr15:46979912-46979922(-) acacaatagct >hg38_chr15:46989292-46989302(+) ttacaatgcat >hg38_chr15:46989490-46989500(+) atacaattgat >hg38_chr15:47087892-47087902(+) GAATAATGGTT >hg38_chr15:47127965-47127975(-) aaacaaaggct >hg38_chr15:47158564-47158574(+) gaacaatagaa >hg38_chr15:47159136-47159146(+) GAACAAAGGGA >hg38_chr15:47177386-47177396(+) ccacaatagat >hg38_chr15:47242440-47242450(-) ACACAAAGGAA >hg38_chr15:47265991-47266001(-) agacaatagaa >hg38_chr15:47289633-47289643(+) AAACAATGGAG >hg38_chr15:47294956-47294966(-) GGACAAAGGAA >hg38_chr15:47416128-47416138(-) CCACAATGGTG >hg38_chr15:47422538-47422548(-) ATACAATGAAG >hg38_chr15:47441062-47441072(-) TCACAATGCTG >hg38_chr15:47480449-47480459(-) gaataatgggg >hg38_chr15:47517907-47517917(+) CCACAATGAGT >hg38_chr15:47575389-47575399(+) GCACAATGGTG >hg38_chr15:47618487-47618497(-) GGACAATGCAA >hg38_chr15:47626685-47626695(-) AAACAAAGGTG >hg38_chr15:47644322-47644332(-) ACACAATGACT >hg38_chr15:47712159-47712169(+) TTACACTGGAT >hg38_chr15:47785157-47785167(-) AAACAATGCTC >hg38_chr15:47816089-47816099(-) AAACAATGTGT >hg38_chr15:47825121-47825131(-) atataatggaa >hg38_chr15:47825139-47825149(+) acacaatgtgt >hg38_chr15:47825196-47825206(-) tcacaatagtt >hg38_chr15:47861680-47861690(+) GCACAATGCCA >hg38_chr15:47873388-47873398(+) TGACAATAGAT >hg38_chr15:47885353-47885363(+) ACACAATAGTT >hg38_chr15:47945062-47945072(+) gcacaatgccc >hg38_chr15:47945377-47945387(-) CCACAATAGGT >hg38_chr15:47997342-47997352(-) GTACAAAGGCA >hg38_chr15:48139577-48139587(+) AAACAATGGGT >hg38_chr15:48147337-48147347(+) CAACAATGGTC >hg38_chr15:48147352-48147362(-) TGACAATGAAA >hg38_chr15:48158740-48158750(+) AAACAATGCTG >hg38_chr15:48178289-48178299(+) CGACAATGGCG >hg38_chr15:48179912-48179922(+) GTACAATATAT >hg38_chr15:48274709-48274719(-) taacaatgtca >hg38_chr15:48281354-48281364(-) tcacaatggac >hg38_chr15:48297761-48297771(-) TAACAATGGCT >hg38_chr15:48298040-48298050(+) TAACAAAGGCT >hg38_chr15:48298859-48298869(-) TTACAATATTA >hg38_chr15:48306816-48306826(-) ACACAATGGGT >hg38_chr15:48318332-48318342(-) agacaatggag >hg38_chr15:48334142-48334152(+) TTACAATGTTG >hg38_chr15:48358490-48358500(+) GAACAATGATT >hg38_chr15:48365476-48365486(+) AGACAAAGGAT >hg38_chr15:48373911-48373921(+) CAACAATAGCT >hg38_chr15:48380835-48380845(-) GGACAATGCTT >hg38_chr15:48380858-48380868(-) AAACAAAGGCT >hg38_chr15:48389120-48389130(+) GAACAATGTGT >hg38_chr15:48408684-48408694(+) TTACAATGGAA >hg38_chr15:48408692-48408702(+) GAACAATGAGG >hg38_chr15:48409044-48409054(-) ttacaaagggg >hg38_chr15:48439106-48439116(+) agacaatgtag >hg38_chr15:48439138-48439148(+) TAACAAAGGAA >hg38_chr15:48460224-48460234(+) TGACAATGCCG >hg38_chr15:48479972-48479982(+) TGACAATAGCT >hg38_chr15:48540596-48540606(+) TTACAATGAAT >hg38_chr15:48544622-48544632(-) GAACTATGGCC >hg38_chr15:48553539-48553549(+) AAACAATAAAA >hg38_chr15:48555024-48555034(-) AAATAATGGCT >hg38_chr15:48566295-48566305(+) GGACAATGACA >hg38_chr15:48570976-48570986(-) AAACAATGTAC >hg38_chr15:48572351-48572361(-) CTACAAAGGTA >hg38_chr15:48590633-48590643(-) CAACAATGAAA >hg38_chr15:48592448-48592458(+) TAACTATGGCA >hg38_chr15:48595101-48595111(+) ATACAATGTAA >hg38_chr15:48614781-48614791(+) AAATAATGGAC >hg38_chr15:48658814-48658824(+) gaacaataatc >hg38_chr15:48667708-48667718(+) AGACAATGTGT >hg38_chr15:48681138-48681148(-) acacaatgatg >hg38_chr15:48703987-48703997(-) GGACAATGAGT >hg38_chr15:48747899-48747909(-) AAACAAGGGCG >hg38_chr15:48761589-48761599(-) gaacaatgaca >hg38_chr15:48761802-48761812(+) TCACAATAGGC >hg38_chr15:48770018-48770028(-) gaacaatgaag >hg38_chr15:48770435-48770445(+) GTACAATGCAT >hg38_chr15:48771364-48771374(-) GTACTATGGGA >hg38_chr15:48775447-48775457(+) taacaatgaat >hg38_chr15:48783046-48783056(-) GTACAATATGT >hg38_chr15:48784458-48784468(-) TGACAATATAA >hg38_chr15:48809120-48809130(-) aAACAATGCTA >hg38_chr15:48855422-48855432(+) GGACAATGCTT >hg38_chr15:48856063-48856073(-) TTACAATGAAA >hg38_chr15:48861407-48861417(-) CAACAATGGAA >hg38_chr15:48875572-48875582(+) gaacaatgctt >hg38_chr15:48905452-48905462(+) GAACAATGGTT >hg38_chr15:48929008-48929018(+) TGACAATGAGG >hg38_chr15:48933852-48933862(-) aaacaatgtca >hg38_chr15:48938815-48938825(+) atacaATAACC >hg38_chr15:48945512-48945522(+) gtacaatggac >hg38_chr15:48975556-48975566(+) acacaATAGGA >hg38_chr15:48975574-48975584(-) CAACAATGGCT >hg38_chr15:48976215-48976225(+) GGACAATAGGG >hg38_chr15:49015562-49015572(+) GTACATTGGTA >hg38_chr15:49015582-49015592(-) GAACAAAGGAA >hg38_chr15:49032008-49032018(+) ACACAATGACT >hg38_chr15:49035987-49035997(+) CAACAATGGCA >hg38_chr15:49037673-49037683(-) TGATAATGGAA >hg38_chr15:49046116-49046126(+) GAACAATGAAG >hg38_chr15:49052159-49052169(+) ggacaatagat >hg38_chr15:49114793-49114803(-) taacaatgctg >hg38_chr15:49136423-49136433(+) tcacaatagcc >hg38_chr15:49145868-49145878(+) GTATAATGGAA >hg38_chr15:49148797-49148807(+) AAACAATGTTA >hg38_chr15:49169869-49169879(+) Gaacaataaag >hg38_chr15:49179792-49179802(-) ATACAATGAGC >hg38_chr15:49185262-49185272(+) ggataatggct >hg38_chr15:49196512-49196522(-) ttacaatgaaa >hg38_chr15:49227239-49227249(-) atacaataata >hg38_chr15:49255681-49255691(-) AAACTATGGCA >hg38_chr15:49255929-49255939(-) AAACAATGAAG >hg38_chr15:49274144-49274154(+) taacaatgggg >hg38_chr15:49276373-49276383(-) GGACAAAGGCA >hg38_chr15:49323683-49323693(+) GGACAATGTAT >hg38_chr15:49324344-49324354(-) CAACAATGGAT >hg38_chr15:49329138-49329148(-) TTACAATGGGG >hg38_chr15:49342598-49342608(-) acacaatagaa >hg38_chr15:49342668-49342678(-) agacaaaggag >hg38_chr15:49349270-49349280(-) GAACAAAGGAG >hg38_chr15:49375991-49376001(-) ATACAAAGGAG >hg38_chr15:49384643-49384653(-) AAACAATGCCA >hg38_chr15:49437754-49437764(+) acacaatgcaa >hg38_chr15:49441885-49441895(-) GTACAATGAGA >hg38_chr15:49442016-49442026(-) ctataatggat >hg38_chr15:49442670-49442680(-) TTATAATGGCA >hg38_chr15:49444234-49444244(+) AGACAATGAAA >hg38_chr15:49468655-49468665(-) ACACAAAGGAC >hg38_chr15:49472657-49472667(+) TCACAATGAAT >hg38_chr15:49494956-49494966(-) CAACAATGACA >hg38_chr15:49494985-49494995(-) AAACAATGTGA >hg38_chr15:49496319-49496329(-) AAACAATAACA >hg38_chr15:49510175-49510185(-) tgataatggga >hg38_chr15:49510872-49510882(-) gaacaatgtct >hg38_chr15:49607498-49607508(-) taacaatagca >hg38_chr15:49610769-49610779(+) TAACAATGGAA >hg38_chr15:49620361-49620371(-) ACACAATGGTC >hg38_chr15:49623864-49623874(-) GAACAATGGTC >hg38_chr15:49625651-49625661(+) gaacaaaggaa >hg38_chr15:49640529-49640539(+) atacaaaggaa >hg38_chr15:49641383-49641393(-) atacaatgtaa >hg38_chr15:49641434-49641444(-) taacaatgtga >hg38_chr15:49641440-49641450(-) taacaataaca >hg38_chr15:49664384-49664394(-) gcacaatgtta >hg38_chr15:49670690-49670700(+) TTACAAAGGCA >hg38_chr15:49674848-49674858(-) AAACAAAGGGG >hg38_chr15:49674857-49674867(-) TAACAATAAAA >hg38_chr15:49675219-49675229(+) AAACAATAGGA >hg38_chr15:49687846-49687856(+) ggacaatgcct >hg38_chr15:49692887-49692897(-) atacaatagct >hg38_chr15:49696613-49696623(-) ttataatggct >hg38_chr15:49720398-49720408(+) GCACAATGCAA >hg38_chr15:49725882-49725892(+) acacaatgaaa >hg38_chr15:49767488-49767498(+) ttacaaaggta >hg38_chr15:49793586-49793596(-) acacaatagac >hg38_chr15:49806809-49806819(-) TCACAATagct >hg38_chr15:49863383-49863393(-) CCACAATGGGG >hg38_chr15:49867918-49867928(+) tcacaatagag >hg38_chr15:49884022-49884032(+) aaacaatggca >hg38_chr15:49896071-49896081(+) GGACAAAGGAG >hg38_chr15:49901004-49901014(+) AGACAAAGGAG >hg38_chr15:49911105-49911115(+) taacaatgtga >hg38_chr15:49957731-49957741(-) tcataatggtt >hg38_chr15:49975609-49975619(+) atacaaagggc >hg38_chr15:49976983-49976993(+) AAACAATGGCT >hg38_chr15:49981807-49981817(-) ATACAATACAC >hg38_chr15:49987625-49987635(-) AAACAGTGGTA >hg38_chr15:50004208-50004218(-) CCACAATGAAT >hg38_chr15:50050286-50050296(-) gtacaatagtt >hg38_chr15:50062898-50062908(+) GGACAATGGCG >hg38_chr15:50063466-50063476(+) ATACAATAATA >hg38_chr15:50077867-50077877(-) CCACAATGGCC >hg38_chr15:50098065-50098075(+) TCACAAAGGAC >hg38_chr15:50124743-50124753(+) GAACAATAGAT >hg38_chr15:50137592-50137602(+) CAACAATGCAA >hg38_chr15:50145547-50145557(+) ACACAAAGGAA >hg38_chr15:50286721-50286731(-) TCATAatggaa >hg38_chr15:50286762-50286772(-) gtacaatacaa >hg38_chr15:50356465-50356475(-) AAACAATGCTC >hg38_chr15:50455607-50455617(-) tcacaatgtcc >hg38_chr15:50455616-50455626(+) gaacaataaaa >hg38_chr15:50497330-50497340(+) TAACAAAGGGC >hg38_chr15:50498117-50498127(-) AAACAATGATA >hg38_chr15:50498155-50498165(+) GAATAATGGAA >hg38_chr15:50537156-50537166(+) tgacaaaggaa >hg38_chr15:50537164-50537174(+) gaacaaaggta >hg38_chr15:50537175-50537185(+) atacaatggag >hg38_chr15:50573636-50573646(+) GAACAATAGAG >hg38_chr15:50578049-50578059(-) aaacaatagtt >hg38_chr15:50585723-50585733(+) GGACAATGTAG >hg38_chr15:50593536-50593546(+) TAACAATGACC >hg38_chr15:50620039-50620049(-) atacaattgta >hg38_chr15:50620078-50620088(+) TGATAATGGCG >hg38_chr15:50633029-50633039(-) AAATAATGGAA >hg38_chr15:50633085-50633095(+) AAATAATGGAT >hg38_chr15:50700005-50700015(-) ATACAAAGGCA >hg38_chr15:50727107-50727117(-) TCACAATGTTT >hg38_chr15:50727161-50727171(-) CTACAATAATA >hg38_chr15:50735308-50735318(-) acacaatggaa >hg38_chr15:50748609-50748619(+) ACACAAAGACG >hg38_chr15:50791042-50791052(-) CAACAATGATA >hg38_chr15:50791313-50791323(+) ACACAATGACT >hg38_chr15:50791324-50791334(+) TTACAATAGGG >hg38_chr15:50817719-50817729(+) TAACTATGGCT >hg38_chr15:50817738-50817748(-) ACACAATAGCT >hg38_chr15:50822463-50822473(+) GAACAATAACA >hg38_chr15:50828949-50828959(+) GCACAATGGAC >hg38_chr15:50854763-50854773(+) ACACAATGACT >hg38_chr15:50863771-50863781(+) gaacaaagggt >hg38_chr15:50869664-50869674(-) TAACAATAGAT >hg38_chr15:50886997-50887007(+) tcacaatgtgg >hg38_chr15:50907834-50907844(-) GTAcaatggct >hg38_chr15:51020013-51020023(-) caacaatagac >hg38_chr15:51060056-51060066(-) GCACAATGAGT >hg38_chr15:51110211-51110221(-) atacaatatca >hg38_chr15:51121359-51121369(+) AAACAATGCCT >hg38_chr15:51345952-51345962(+) atataatggaa >hg38_chr15:51383068-51383078(+) CCACAATGACA >hg38_chr15:51409601-51409611(-) TGACAATGCCA >hg38_chr15:51515555-51515565(+) AAACAATAGAT >hg38_chr15:51564884-51564894(+) ACACAAAGGCA >hg38_chr15:51589920-51589930(-) acataatggtg >hg38_chr15:51603119-51603129(+) aaacaataaaa >hg38_chr15:51650223-51650233(+) TCACAATGAAT >hg38_chr15:51679121-51679131(-) gtacaatgcac >hg38_chr15:51679128-51679138(-) caacaatgtac >hg38_chr15:51679308-51679318(-) AAATAATGGAA >hg38_chr15:51700173-51700183(+) taataatgtaa >hg38_chr15:51715437-51715447(-) CAACAATGGTT >hg38_chr15:51715450-51715460(-) AAACAAAGGGT >hg38_chr15:51740477-51740487(-) aaacaatgtta >hg38_chr15:51743489-51743499(-) aaacaatagac >hg38_chr15:51765838-51765848(+) GGACAATGCTT >hg38_chr15:51774205-51774215(-) GAACAATGACT >hg38_chr15:51774262-51774272(+) TAACAATAGCA >hg38_chr15:51801658-51801668(+) GAACAATCGGT >hg38_chr15:51829549-51829559(-) TTACAATGGGC >hg38_chr15:51854239-51854249(-) ctacaatgaca >hg38_chr15:51857091-51857101(+) aaacaatgaac >hg38_chr15:51884763-51884773(+) gaacaaaggcc >hg38_chr15:51915465-51915475(-) TGACAATGAAT >hg38_chr15:51970651-51970661(+) aaacaatagca >hg38_chr15:51971740-51971750(-) CGATAATGGCG >hg38_chr15:51971821-51971831(+) CGACAGTGTCG >hg38_chr15:51971821-51971831(-) CGACACTGTCG >hg38_chr15:52011986-52011996(-) aaacaatggcc >hg38_chr15:52019488-52019498(-) ACACAATGGAG >hg38_chr15:52019522-52019532(+) CAACAAAGGCG >hg38_chr15:52028952-52028962(-) aaacagtggtc >hg38_chr15:52089705-52089715(+) aaacaaaggaa >hg38_chr15:52140086-52140096(+) GCACAATGGTG >hg38_chr15:52201044-52201054(+) ggacaataaca >hg38_chr15:52207939-52207949(+) tgacactggta >hg38_chr15:52227754-52227764(+) aaacaataggg >hg38_chr15:52288531-52288541(+) AAACAACGGTG >hg38_chr15:52307363-52307373(+) CAACAATGGAC >hg38_chr15:52347261-52347271(+) ccacaatggaa >hg38_chr15:52356012-52356022(+) TCATAATGGAA >hg38_chr15:52395868-52395878(-) GGACAATGGCA >hg38_chr15:52466627-52466637(+) taACAGTGGTC >hg38_chr15:52508513-52508523(-) AAACAAAGGAG >hg38_chr15:52514142-52514152(-) TTACAATAAAT >hg38_chr15:52528777-52528787(-) ACACAAAGGTA >hg38_chr15:52550751-52550761(+) GAACAAAGGGG >hg38_chr15:52553551-52553561(+) GGACAatagtc >hg38_chr15:52555215-52555225(+) ATACAATGTAT >hg38_chr15:52599241-52599251(+) GTACAAAGGTT >hg38_chr15:52599269-52599279(+) TAACAATGGCC >hg38_chr15:52633873-52633883(+) tcacaatgcat >hg38_chr15:52633878-52633888(-) ccacaatgcat >hg38_chr15:52633918-52633928(+) taacaatagca >hg38_chr15:52649895-52649905(+) ACACAATGGCA >hg38_chr15:52651607-52651617(-) GTACAATGTGC >hg38_chr15:52651636-52651646(-) GAACAATGAGT >hg38_chr15:52689854-52689864(+) TAACAATGGGC >hg38_chr15:52711337-52711347(-) ACACAATGGGC >hg38_chr15:52735596-52735606(-) AAACAATGAGA >hg38_chr15:52745854-52745864(+) agacaatgaac >hg38_chr15:52745881-52745891(+) agacaatggag >hg38_chr15:52783657-52783667(+) AAACAAAGGAA >hg38_chr15:52791601-52791611(-) GGACAATGGGC >hg38_chr15:52792406-52792416(+) AAACAATGGGT >hg38_chr15:52814230-52814240(-) ACACAAAGGAG >hg38_chr15:52855174-52855184(-) GAACAATGGGA >hg38_chr15:52977960-52977970(+) TCACAATGAGA >hg38_chr15:53045133-53045143(+) ACACAATGTTC >hg38_chr15:53068868-53068878(+) GAACAATGAGA >hg38_chr15:53107952-53107962(+) TAACAATGTTT >hg38_chr15:53111164-53111174(+) tgacaataacg >hg38_chr15:53129274-53129284(+) GCACAATGGGA >hg38_chr15:53196433-53196443(-) GAACAATGGAG >hg38_chr15:53196505-53196515(+) GCACAATGGGG >hg38_chr15:53204100-53204110(-) ggacaatgagc >hg38_chr15:53204124-53204134(-) GAACAATGAGT >hg38_chr15:53243115-53243125(+) CGACAGTGGGA >hg38_chr15:53312945-53312955(-) GGACAATAGCA >hg38_chr15:53389605-53389615(+) GAACAATGAGG >hg38_chr15:53390210-53390220(+) ACACAATGGCT >hg38_chr15:53438828-53438838(+) ACACAATGGTG >hg38_chr15:53521138-53521148(+) AGACAATGGCC >hg38_chr15:53523007-53523017(-) GTACAAAGGCT >hg38_chr15:53540069-53540079(+) tcacaatggag >hg38_chr15:53577059-53577069(-) GAACAATGGAT >hg38_chr15:53644848-53644858(+) TTACAATAGAG >hg38_chr15:53659050-53659060(+) taacaatacac >hg38_chr15:53711171-53711181(+) GTACAAAGGCT >hg38_chr15:53717049-53717059(+) TCACAATGCAT >hg38_chr15:53747762-53747772(-) TGACAATGACT >hg38_chr15:53758666-53758676(+) TGACAATGGGA >hg38_chr15:53880491-53880501(+) ATACAACGGCG >hg38_chr15:54326241-54326251(+) AAACAATGGGC >hg38_chr15:55030742-55030752(+) ggacaatagca >hg38_chr15:55031110-55031120(+) AGACAATGTTT >hg38_chr15:55032221-55032231(+) aaataatggag >hg38_chr15:55084408-55084418(+) GCACAAAGGAT >hg38_chr15:55084430-55084440(+) TCACAATGCAT >hg38_chr15:55194160-55194170(+) GCACAATGAAG >hg38_chr15:55198653-55198663(-) AAACGATGGAA >hg38_chr15:55217737-55217747(-) GAACAATAGCA >hg38_chr15:55249123-55249133(+) CAACAATGCAC >hg38_chr15:55283575-55283585(+) GGACAATGACT >hg38_chr15:55324436-55324446(-) TAACAATAAGC >hg38_chr15:55356692-55356702(-) AAATAATGGAA >hg38_chr15:55371322-55371332(+) CAACAATGAAA >hg38_chr15:55434279-55434289(-) TCACAATGCAA >hg38_chr15:55525378-55525388(-) atacaatgatg >hg38_chr15:55525383-55525393(-) taacaatacaa >hg38_chr15:55539361-55539371(-) AGATAATGGAA >hg38_chr15:55580097-55580107(+) ATACAATAATA >hg38_chr15:55580288-55580298(-) AAACAATGAAC >hg38_chr15:55580496-55580506(+) agacaatgttc >hg38_chr15:55583178-55583188(+) TGACAATAGAA >hg38_chr15:55615914-55615924(+) GAACAATGATC >hg38_chr15:55652445-55652455(-) GAACAATGGGC >hg38_chr15:55685424-55685434(-) CAACAATAGCA >hg38_chr15:55707629-55707639(+) AAACAATGCAT >hg38_chr15:55713801-55713811(-) tgacaaaggat >hg38_chr15:55717523-55717533(-) GCACAATGTCA >hg38_chr15:55741297-55741307(+) AAACAATAGAC >hg38_chr15:55741842-55741852(-) ACACAATGGGC >hg38_chr15:55743339-55743349(+) GGACAATGCAC >hg38_chr15:55775659-55775669(-) ATATAATGCGG >hg38_chr15:55786256-55786266(+) GGACAATGACA >hg38_chr15:55787732-55787742(+) TAACAATAGAC >hg38_chr15:55796777-55796787(-) TCACAATGTGG >hg38_chr15:55796800-55796810(-) CAACAATGGCA >hg38_chr15:55816116-55816126(-) AGACAATGTGA >hg38_chr15:55819944-55819954(-) CAACAATAGGG >hg38_chr15:55912677-55912687(-) CAACAATGATT >hg38_chr15:55918193-55918203(+) GAACAGTGGAC >hg38_chr15:55918729-55918739(+) GTACAATAGTT >hg38_chr15:55931128-55931138(+) atacaattgta >hg38_chr15:55972897-55972907(+) acacaatgata >hg38_chr15:55991473-55991483(+) gcacaatgcat >hg38_chr15:55999064-55999074(-) AGACAATGATG >hg38_chr15:56031522-56031532(-) AAACAATGTAG >hg38_chr15:56031569-56031579(+) GTACAAAGGGT >hg38_chr15:56031583-56031593(+) GCACAATGTTT >hg38_chr15:56070846-56070856(+) gaacaaaggca >hg38_chr15:56070857-56070867(+) atacaatggag >hg38_chr15:56074942-56074952(+) agacaatggaa >hg38_chr15:56092215-56092225(-) AAACAAAGGGG >hg38_chr15:56092465-56092475(-) TCACAATGGCT >hg38_chr15:56161908-56161918(-) TAACAATGGGA >hg38_chr15:56176272-56176282(+) agataatggct >hg38_chr15:56206229-56206239(+) atacaatagaa >hg38_chr15:56206266-56206276(-) tcacaatgttt >hg38_chr15:56206283-56206293(+) ctacaatataa >hg38_chr15:56215325-56215335(-) ctacaATAGAT >hg38_chr15:56235574-56235584(+) AGACAATAGCC >hg38_chr15:56240713-56240723(+) TAATAATGGCA >hg38_chr15:56298289-56298299(+) CCACAATGTTT >hg38_chr15:56302965-56302975(-) atacaatgact >hg38_chr15:56318833-56318843(-) tgacaataata >hg38_chr15:56388410-56388420(-) AGACAATGAAA >hg38_chr15:56445049-56445059(-) AAACAATGAAG >hg38_chr15:56447083-56447093(-) atataatgaat >hg38_chr15:56450798-56450808(-) aaacaaaggat >hg38_chr15:56494349-56494359(-) CCACAATGAGA >hg38_chr15:56496329-56496339(+) ACACAATGCTA >hg38_chr15:56496370-56496380(-) GTACAATGAAA >hg38_chr15:56502200-56502210(+) aaacaatggtt >hg38_chr15:56534878-56534888(+) ttataatggag >hg38_chr15:56536730-56536740(+) GGACAATGGGA >hg38_chr15:56593412-56593422(+) taacaatgaat >hg38_chr15:56594249-56594259(+) taacaataaat >hg38_chr15:56594295-56594305(+) taacaatgcat >hg38_chr15:56616820-56616830(+) AGACAAAGGCA >hg38_chr15:56629026-56629036(-) AAACAACGGGA >hg38_chr15:56630665-56630675(+) AAACAATATTA >hg38_chr15:56630700-56630710(+) CAACAATGGGT >hg38_chr15:56639329-56639339(+) gaacaatgtca >hg38_chr15:56639340-56639350(+) gaacaatagga >hg38_chr15:56641754-56641764(-) ATATAATGGAG >hg38_chr15:56656101-56656111(-) TAACTATGCGT >hg38_chr15:56685393-56685403(+) tgacaatggaa >hg38_chr15:56685409-56685419(+) atacaatgaac >hg38_chr15:56716266-56716276(+) tgacaatggta >hg38_chr15:56716571-56716581(+) aaacaatgaag >hg38_chr15:56717111-56717121(-) tcacaatgcct >hg38_chr15:56724803-56724813(+) ctacaatgtag >hg38_chr15:56726393-56726403(-) aaacaatgtga >hg38_chr15:56738811-56738821(+) agacaatggtc >hg38_chr15:56767520-56767530(+) aaacaatgagt >hg38_chr15:56805983-56805993(+) gaacaaaggga >hg38_chr15:56838708-56838718(-) GCACAATGTCA >hg38_chr15:56869218-56869228(+) AAACAATGGAT >hg38_chr15:56871590-56871600(+) CAACAATGGTC >hg38_chr15:56871960-56871970(+) CTACAATAGGT >hg38_chr15:56880337-56880347(+) gtacaatataa >hg38_chr15:56880377-56880387(+) ccacaatagga >hg38_chr15:56892046-56892056(+) AAACAATAGCC >hg38_chr15:56917705-56917715(+) AAACAATGGCT >hg38_chr15:56919447-56919457(-) CAACAATAGAA >hg38_chr15:56920833-56920843(-) AAATAATGGTT >hg38_chr15:56925605-56925615(-) AGACAATGACA >hg38_chr15:56928409-56928419(-) tcacaatgcct >hg38_chr15:56945742-56945752(-) ttacaatggca >hg38_chr15:56945775-56945785(-) taacaatatac >hg38_chr15:56963163-56963173(-) cgacaataaaa >hg38_chr15:56973563-56973573(+) gaacaatagat >hg38_chr15:56979508-56979518(+) AAACTATGGAA >hg38_chr15:56985277-56985287(-) TCACAATGTCT >hg38_chr15:57003546-57003556(+) caacaatgcaa >hg38_chr15:57025549-57025559(+) acacaatggga >hg38_chr15:57025845-57025855(-) ttacaaaggaa >hg38_chr15:57026181-57026191(+) agacaatatat >hg38_chr15:57028631-57028641(-) agacaatgaaa >hg38_chr15:57028698-57028708(-) agacaatgaaa >hg38_chr15:57033125-57033135(+) gaacaataaag >hg38_chr15:57041589-57041599(+) CAACAATGGCA >hg38_chr15:57060888-57060898(-) AGACAATGGCT >hg38_chr15:57066659-57066669(+) ATACAATATAC >hg38_chr15:57084331-57084341(+) CGACAGTGGAA >hg38_chr15:57084350-57084360(+) TTACAATGTGA >hg38_chr15:57089702-57089712(+) GAACAATGTAG >hg38_chr15:57091168-57091178(-) GAACAATATAT >hg38_chr15:57094398-57094408(+) CGACAATAGCT >hg38_chr15:57094436-57094446(+) TCACAATGCAG >hg38_chr15:57094862-57094872(+) AAACAGTGGAT >hg38_chr15:57118158-57118168(-) ACACAATGGTG >hg38_chr15:57118230-57118240(-) TTACAAAGGTT >hg38_chr15:57121849-57121859(-) GAACAATAGAG >hg38_chr15:57122614-57122624(+) ATACAATGCTG >hg38_chr15:57123077-57123087(+) CAACAATGAAT >hg38_chr15:57123089-57123099(+) ATACAATAGTA >hg38_chr15:57123326-57123336(+) TAACAATGTTT >hg38_chr15:57133108-57133118(-) AAACAAAGGAG >hg38_chr15:57133121-57133131(-) AAACAATAGTA >hg38_chr15:57153327-57153337(-) ctacaatggca >hg38_chr15:57156698-57156708(-) GAACACTGGTC >hg38_chr15:57161778-57161788(+) gaacaatagat >hg38_chr15:57179963-57179973(-) GAACAAAGGGA >hg38_chr15:57185268-57185278(-) AAACAATGTAC >hg38_chr15:57185290-57185300(-) CAACAATGTTG >hg38_chr15:57199165-57199175(+) ACACAATGCCT >hg38_chr15:57201680-57201690(+) AGATAATGGAA >hg38_chr15:57213080-57213090(-) TAACAATGACA >hg38_chr15:57230366-57230376(-) AAACAAAGGTG >hg38_chr15:57237384-57237394(-) AAACAATGGAC >hg38_chr15:57251492-57251502(+) TAACAATAAAG >hg38_chr15:57262419-57262429(-) GAACAATGGTC >hg38_chr15:57287191-57287201(+) ATACAAAGACG >hg38_chr15:57288340-57288350(-) CAACAATGGAA >hg38_chr15:57293343-57293353(+) agacaatggaa >hg38_chr15:57293401-57293411(+) tgacaatggta >hg38_chr15:57387674-57387684(+) CCACAATGAGA >hg38_chr15:57392669-57392679(-) GGACAATAGCC >hg38_chr15:57405132-57405142(-) aaacaatagag >hg38_chr15:57439816-57439826(-) TCACAATGGGA >hg38_chr15:57478225-57478235(-) CCACAATGAGC >hg38_chr15:57481470-57481480(-) gaacaatgctg >hg38_chr15:57506236-57506246(-) AGACAATGCCC >hg38_chr15:57506487-57506497(+) GCACAATGGAA >hg38_chr15:57533483-57533493(+) TCACAATGACA >hg38_chr15:57533489-57533499(+) TGACAAAGGAT >hg38_chr15:57612593-57612603(-) CTACAATGCAA >hg38_chr15:57668147-57668157(-) atacaatggaa >hg38_chr15:57670132-57670142(-) aaacaatatta >hg38_chr15:57671230-57671240(-) aaacaatgagt >hg38_chr15:57676815-57676825(+) tcataatggaa >hg38_chr15:57872892-57872902(+) AGACAATAGCT >hg38_chr15:57874074-57874084(+) agataatggtg >hg38_chr15:57947534-57947544(+) GAACAATGTGT >hg38_chr15:57974871-57974881(+) caacaatgagg >hg38_chr15:57994472-57994482(-) GTACAATACAA >hg38_chr15:57994509-57994519(+) GAACAAAGGGA >hg38_chr15:57994517-57994527(+) GGACAATGTTT >hg38_chr15:58039553-58039563(+) TTACAATGTAA >hg38_chr15:58042505-58042515(-) AAACAATGTAC >hg38_chr15:58042532-58042542(+) AAACAATGTAT >hg38_chr15:58042743-58042753(+) AGACTATGGAC >hg38_chr15:58050149-58050159(+) TTACAATGGGC >hg38_chr15:58057698-58057708(+) GAACAATAGCT >hg38_chr15:58064228-58064238(-) TAACAATAGTG >hg38_chr15:58064242-58064252(+) AAACAATGCCT >hg38_chr15:58072279-58072289(-) GTACACTGGAT >hg38_chr15:58111107-58111117(+) ACACAATGTGT >hg38_chr15:58118526-58118536(-) ATACAATGGAG >hg38_chr15:58123849-58123859(+) tgacaatataa >hg38_chr15:58192850-58192860(+) agactatggat >hg38_chr15:58201708-58201718(+) ggacaaaggca >hg38_chr15:58224163-58224173(+) aaacaatggaa >hg38_chr15:58227938-58227948(+) AAACAATAGGT >hg38_chr15:58229591-58229601(+) CGACAATGAAT >hg38_chr15:58279123-58279133(-) AGACAATGAGC >hg38_chr15:58279171-58279181(-) CAACAAAGGTA >hg38_chr15:58282472-58282482(+) gaacaaaggag >hg38_chr15:58307644-58307654(+) gTACAATAGAG >hg38_chr15:58320835-58320845(-) agacaatcgtt >hg38_chr15:58320857-58320867(+) caacaatggaa >hg38_chr15:58372605-58372615(+) ggacaatagtt >hg38_chr15:58383920-58383930(+) gaacaatggaa >hg38_chr15:58407547-58407557(-) CAACAATGCCC >hg38_chr15:58439155-58439165(-) acacaatgagg >hg38_chr15:58455313-58455323(+) agacaatagac >hg38_chr15:58469813-58469823(+) GAACAATGGCT >hg38_chr15:58498941-58498951(-) CAACAATGCCC >hg38_chr15:58509121-58509131(-) GTACAATAACA >hg38_chr15:58510151-58510161(+) acacaatagac >hg38_chr15:58517762-58517772(+) AAACAataaca >hg38_chr15:58540621-58540631(-) tgacaatagcg >hg38_chr15:58540642-58540652(-) agacaatagga >hg38_chr15:58568401-58568411(+) aaacaatagtc >hg38_chr15:58568445-58568455(+) gaACAAAGGAG >hg38_chr15:58598669-58598679(+) AGACAATGTCT >hg38_chr15:58621647-58621657(-) ACACAATGCTT >hg38_chr15:58632911-58632921(-) gaacaatgcct >hg38_chr15:58640153-58640163(+) TGACAATAGCT >hg38_chr15:58653655-58653665(+) acataatggcc >hg38_chr15:58667140-58667150(+) AAACAATGAGT >hg38_chr15:58668102-58668112(+) GGATAATGGGA >hg38_chr15:58674539-58674549(+) AAACAATGCTA >hg38_chr15:58701382-58701392(+) aaacaatgctc >hg38_chr15:58720148-58720158(+) caacaatgcct >hg38_chr15:58726123-58726133(+) taacaataaca >hg38_chr15:58737675-58737685(-) TCACAATGgct >hg38_chr15:58738337-58738347(+) TGACAAAGGTA >hg38_chr15:58738991-58739001(-) TGACAATGGGT >hg38_chr15:58748935-58748945(+) GAACAATACAC >hg38_chr15:58770883-58770893(+) CAACAATGCCT >hg38_chr15:58779245-58779255(+) gcacaatggca >hg38_chr15:58854950-58854960(+) TAACAATGAAT >hg38_chr15:58862467-58862477(+) tcacaatagat >hg38_chr15:58862541-58862551(+) atacaatggaa >hg38_chr15:58885866-58885876(+) ATATAATGGAG >hg38_chr15:58898800-58898810(-) TGACAAAGGTA >hg38_chr15:58909011-58909021(-) gtacaatgcta >hg38_chr15:58909037-58909047(+) aaacaatgaac >hg38_chr15:58910234-58910244(-) aaataatggcc >hg38_chr15:58915441-58915451(+) TCACAATGTTT >hg38_chr15:58930027-58930037(+) TAACAATGATA >hg38_chr15:58933673-58933683(+) GCACAATGAGC >hg38_chr15:58987526-58987536(+) GTACAAAGGGG >hg38_chr15:58988797-58988807(-) ACACAATGGAG >hg38_chr15:59034189-59034199(-) atacaaaggga >hg38_chr15:59034256-59034266(-) gcacaatgttg >hg38_chr15:59057433-59057443(-) ATACAATGCAG >hg38_chr15:59070566-59070576(-) ATACAATGTAT >hg38_chr15:59075423-59075433(-) TAACAATGGAC >hg38_chr15:59079975-59079985(+) atacaataggc >hg38_chr15:59087297-59087307(+) GAACAAAGGAT >hg38_chr15:59147880-59147890(+) CAACAATGCCT >hg38_chr15:59161412-59161422(+) TGACAATGAGC >hg38_chr15:59165551-59165561(+) AAACAATAGAC >hg38_chr15:59247507-59247517(+) aaacaatgcca >hg38_chr15:59252014-59252024(+) atacaatgcaa >hg38_chr15:59260387-59260397(+) CAACAATGGGG >hg38_chr15:59292090-59292100(-) TAACAATAGGA >hg38_chr15:59292560-59292570(-) ACACAATGGGG >hg38_chr15:59292620-59292630(-) TTACAATGGGG >hg38_chr15:59295870-59295880(+) TAACAATGGCT >hg38_chr15:59341797-59341807(-) tcacaatagca >hg38_chr15:59366552-59366562(+) GAACAACGGCA >hg38_chr15:59424790-59424800(-) ttacaatgact >hg38_chr15:59424826-59424836(+) ctacaatagcc >hg38_chr15:59436953-59436963(-) acacaatggtg >hg38_chr15:59499362-59499372(-) gaacaatgtac >hg38_chr15:59499381-59499391(+) taacaatacaa >hg38_chr15:59499386-59499396(+) atacaattgta >hg38_chr15:59499387-59499397(-) atacaattgta >hg38_chr15:59545782-59545792(-) GAACAATAGCC >hg38_chr15:59554076-59554086(-) GAACAATGGGA >hg38_chr15:59554121-59554131(-) GAACAATGGGA >hg38_chr15:59646445-59646455(-) acacaaaggca >hg38_chr15:59689682-59689692(-) ttacaatatta >hg38_chr15:59689692-59689702(+) atacaataggt >hg38_chr15:59702514-59702524(-) AAACAATGATT >hg38_chr15:59704104-59704114(+) TCACAATGCCT >hg38_chr15:59725188-59725198(+) ATACAATGTAA >hg38_chr15:59772197-59772207(-) AAACAATGGTA >hg38_chr15:59772246-59772256(+) TCACAAAGGAT >hg38_chr15:59812307-59812317(+) GAACAATAGGC >hg38_chr15:59886191-59886201(-) AAACAATGATT >hg38_chr15:59888861-59888871(-) TGACAATGGTA >hg38_chr15:59916128-59916138(-) AGACAATGCCC >hg38_chr15:59916611-59916621(-) TAACAATAGAA >hg38_chr15:59923318-59923328(-) AAACAAAGGTT >hg38_chr15:59937331-59937341(-) ACACAATGAAG >hg38_chr15:59953771-59953781(-) AAACAAAGGCA >hg38_chr15:59956894-59956904(-) GTACAATGGAT >hg38_chr15:59982909-59982919(+) aaacaatagca >hg38_chr15:59983209-59983219(+) agacaataaaa >hg38_chr15:59995713-59995723(+) TAACAATGTAA >hg38_chr15:59999018-59999028(-) GCACAATGCCT >hg38_chr15:60009058-60009068(-) TCACAATGCCC >hg38_chr15:60010233-60010243(+) GCACAATAGCC >hg38_chr15:60010264-60010274(+) TTACAATAACT >hg38_chr15:60075496-60075506(-) GAACAATAGCA >hg38_chr15:60076714-60076724(+) AGACAATGGCG >hg38_chr15:60079342-60079352(-) aaacaatgtga >hg38_chr15:60110741-60110751(+) gaacaatgccg >hg38_chr15:60120384-60120394(-) TAACAATGACT >hg38_chr15:60318331-60318341(+) ACACAATGTAA >hg38_chr15:60319298-60319308(-) TGACAATAATA >hg38_chr15:60347770-60347780(+) GCACAATGAAG >hg38_chr15:60347825-60347835(+) ATACAAAGGCC >hg38_chr15:60391242-60391252(+) ccacaatggtt >hg38_chr15:60394271-60394281(+) AGACAAAGGAC >hg38_chr15:60394284-60394294(+) GGACAAAGGGC >hg38_chr15:60395477-60395487(+) GAACAAAGGCT >hg38_chr15:60395490-60395500(+) AGACAATGCAT >hg38_chr15:60406976-60406986(+) AAACAATGCAA >hg38_chr15:60429202-60429212(+) aaacaatagca >hg38_chr15:60440118-60440128(+) acacaataaac >hg38_chr15:60440877-60440887(+) GTACAATGAAA >hg38_chr15:60469903-60469913(-) TTACAATAACT >hg38_chr15:60476912-60476922(+) CCACAATGCCG >hg38_chr15:60490772-60490782(-) TAACAATATTC >hg38_chr15:60493434-60493444(+) CAACAATGAGA >hg38_chr15:60494276-60494286(+) TCACAATATAA >hg38_chr15:60494364-60494374(+) GTACAAAGGCA >hg38_chr15:60516845-60516855(-) atacaatatga >hg38_chr15:60516860-60516870(+) atacaatggga >hg38_chr15:60523202-60523212(-) caacaatgaca >hg38_chr15:60550827-60550837(+) ATACAAAGATA >hg38_chr15:60569725-60569735(+) ATACAAGGGTA >hg38_chr15:60580835-60580845(-) TAACAATAAAG >hg38_chr15:60585227-60585237(-) AAACAATGGTA >hg38_chr15:60706394-60706404(-) GAACAATGGAC >hg38_chr15:60798996-60799006(+) TCACAATGAGA >hg38_chr15:60804910-60804920(-) GAACAATGAAC >hg38_chr15:60863266-60863276(+) TCACAATGCCT >hg38_chr15:60863306-60863316(+) CTACAATGTAC >hg38_chr15:60874493-60874503(-) ccacaatggtg >hg38_chr15:60929039-60929049(-) GAACAAAGGAG >hg38_chr15:60929076-60929086(-) ATACAATGCTC >hg38_chr15:61004031-61004041(-) CCACAATGGGA >hg38_chr15:61072332-61072342(+) GGACAATAGTG >hg38_chr15:61085184-61085194(-) GGACAAAGGAA >hg38_chr15:61116971-61116981(-) GAATAATGGGC >hg38_chr15:61146937-61146947(+) AGACAATAAAA >hg38_chr15:61147099-61147109(+) TCACAATAGGT >hg38_chr15:61174847-61174857(+) gcataatggct >hg38_chr15:61197152-61197162(+) AAACAATGACT >hg38_chr15:61208197-61208207(+) acataatggaa >hg38_chr15:61215889-61215899(+) TTACAATGGCA >hg38_chr15:61426874-61426884(+) agataatggat >hg38_chr15:61426941-61426951(-) GCACAATAATA >hg38_chr15:61440251-61440261(+) TTACAAAGGGG >hg38_chr15:61440259-61440269(-) TGACAATGCCC >hg38_chr15:61456858-61456868(+) TGACAATGTTC >hg38_chr15:61456871-61456881(-) TTACAATATAA >hg38_chr15:61528601-61528611(-) acacaatagcg >hg38_chr15:61533482-61533492(-) GAACAATGGCT >hg38_chr15:61598928-61598938(-) AAACAATGCCT >hg38_chr15:61640902-61640912(-) TGACAAAGGAA >hg38_chr15:61657567-61657577(+) caacaatgata >hg38_chr15:61704168-61704178(+) AGACAATAGAG >hg38_chr15:61773205-61773215(+) aaataatggga >hg38_chr15:61824151-61824161(+) aaacaatggca >hg38_chr15:61834334-61834344(+) GAACAATGAGC >hg38_chr15:61849588-61849598(-) agacaatacgg >hg38_chr15:61877861-61877871(-) gaacaatagga >hg38_chr15:61877875-61877885(-) gtataatggcc >hg38_chr15:61888552-61888562(+) aaacaaaggaa >hg38_chr15:61952083-61952093(+) ACACAATGTTA >hg38_chr15:61954130-61954140(-) GGACAATAGTG >hg38_chr15:62014987-62014997(+) ATACAAAGGGA >hg38_chr15:62015057-62015067(+) agacaatagga >hg38_chr15:62062950-62062960(-) AAATAATGGAA >hg38_chr15:62063129-62063139(+) GAACAATGAGA >hg38_chr15:62112992-62113002(-) ggacaataata >hg38_chr15:62188630-62188640(+) TAACAGTGGAT >hg38_chr15:62201339-62201349(+) acacaatagac >hg38_chr15:62201422-62201432(+) aaacaatggtc >hg38_chr15:62210013-62210023(-) aaacaatagca >hg38_chr15:62316109-62316119(+) ggacaataata >hg38_chr15:62316545-62316555(-) atacaatgcta >hg38_chr15:62444644-62444654(+) GAACAATGGTG >hg38_chr15:62498898-62498908(-) GAACAATAACC >hg38_chr15:62503556-62503566(+) TAACAATGTCT >hg38_chr15:62583400-62583410(+) TAATAATGTAC >hg38_chr15:62603462-62603472(-) acacaaaggag >hg38_chr15:62603485-62603495(-) acataatggag >hg38_chr15:62647642-62647652(-) TGACAATGATG >hg38_chr15:62705185-62705195(-) TAACAAAGGCT >hg38_chr15:62730672-62730682(-) caacaatggaa >hg38_chr15:62749248-62749258(-) GCACAATGGCC >hg38_chr15:62749623-62749633(-) TTACAAAGGCT >hg38_chr15:62749909-62749919(+) TCACAATGGAC >hg38_chr15:62758761-62758771(-) AGACAAAGGCA >hg38_chr15:62769877-62769887(-) ATACAATGGCA >hg38_chr15:62776101-62776111(-) aaacaatgGCA >hg38_chr15:62776109-62776119(-) aaacaatgaaa >hg38_chr15:62809666-62809676(+) GAACAAAGGTC >hg38_chr15:62812460-62812470(+) GTACAAAGGCC >hg38_chr15:62846020-62846030(+) TAACAAAGGTC >hg38_chr15:62857861-62857871(+) GAACAAAGGCC >hg38_chr15:62881174-62881184(+) GGACAATGGAG >hg38_chr15:62886603-62886613(+) AGACAATGGCA >hg38_chr15:62886835-62886845(+) TCACAATAGAG >hg38_chr15:62902266-62902276(-) aaacaattgcg >hg38_chr15:62929236-62929246(-) TTACAATGCAA >hg38_chr15:62932738-62932748(-) agacaatgact >hg38_chr15:62958989-62958999(+) TTACAACGGTG >hg38_chr15:62964264-62964274(-) taacaataccg >hg38_chr15:63006433-63006443(-) CAACAATGCCT >hg38_chr15:63019803-63019813(+) CCACAATAGCC >hg38_chr15:63051409-63051419(+) CTACAATGCCT >hg38_chr15:63052130-63052140(-) TAACAATGAAC >hg38_chr15:63066642-63066652(-) CGACAATAACC >hg38_chr15:63137016-63137026(+) TCACAATAGCA >hg38_chr15:63156088-63156098(-) atacaatggcc >hg38_chr15:63188498-63188508(+) AGACAATAAAT >hg38_chr15:63196992-63197002(+) AAACAATGCAA >hg38_chr15:63210298-63210308(+) AGACAATGAGG >hg38_chr15:63233929-63233939(-) TAACAATGCAA >hg38_chr15:63251696-63251706(+) atacaatgcca >hg38_chr15:63291505-63291515(-) tcacaatgctt >hg38_chr15:63314882-63314892(-) ATACAATGTCC >hg38_chr15:63323619-63323629(-) TGATAATGGTC >hg38_chr15:63324295-63324305(+) GTACAATGGTC >hg38_chr15:63347092-63347102(+) atacaatagag >hg38_chr15:63362268-63362278(+) taacaatgcta >hg38_chr15:63379932-63379942(-) GAACAATGTCA >hg38_chr15:63447135-63447145(-) TTACAATGAAG >hg38_chr15:63449632-63449642(-) TTACAATGGCC >hg38_chr15:63463186-63463196(+) GGACAAAGGAG >hg38_chr15:63483621-63483631(-) agacaatgctg >hg38_chr15:63495542-63495552(+) tcacaatgcag >hg38_chr15:63514624-63514634(+) GTACAATGCAG >hg38_chr15:63514642-63514652(-) GAACAATAGGC >hg38_chr15:63519174-63519184(+) caacaatggcc >hg38_chr15:63537335-63537345(-) GAACAATGCAA >hg38_chr15:63551500-63551510(+) ttacaatgcta >hg38_chr15:63551508-63551518(-) caacaatgtag >hg38_chr15:63553558-63553568(-) TCACAATGGAA >hg38_chr15:63559962-63559972(+) TAACAATGTGT >hg38_chr15:63565572-63565582(-) taactatggtt >hg38_chr15:63585507-63585517(+) taacaatgaat >hg38_chr15:63585516-63585526(-) taacaatgtat >hg38_chr15:63626253-63626263(+) acacaatggac >hg38_chr15:63648086-63648096(+) GAACAATGCCT >hg38_chr15:63648111-63648121(-) GAACAAAGGAA >hg38_chr15:63657627-63657637(-) atacaataaaa >hg38_chr15:63658333-63658343(+) TGATAATGGCA >hg38_chr15:63730990-63731000(-) GGACAAAGGAA >hg38_chr15:63731018-63731028(-) GTACAATATTA >hg38_chr15:63742886-63742896(+) tcataatggat >hg38_chr15:63749661-63749671(+) AAACAATGACT >hg38_chr15:63763670-63763680(+) tcacaatagtg >hg38_chr15:63777371-63777381(-) gcacaatgctg >hg38_chr15:63805219-63805229(+) atacaatggca >hg38_chr15:63843399-63843409(-) ttaCAATGCAA >hg38_chr15:63935522-63935532(-) gaacaataatt >hg38_chr15:63972961-63972971(-) ggacaataggt >hg38_chr15:64011088-64011098(+) GGACAATGGAA >hg38_chr15:64073540-64073550(-) tgacaatataa >hg38_chr15:64073597-64073607(-) taacaatgata >hg38_chr15:64074320-64074330(+) ctacaatgtag >hg38_chr15:64113145-64113155(-) aaacaatagaa >hg38_chr15:64115970-64115980(-) ATATAATGAAC >hg38_chr15:64115996-64116006(+) gaacaatgcag >hg38_chr15:64212010-64212020(-) TCACAATGACA >hg38_chr15:64216915-64216925(+) GCACAATACGT >hg38_chr15:64224404-64224414(-) TTACAATGGAA >hg38_chr15:64224471-64224481(+) AAACAATGCAT >hg38_chr15:64232236-64232246(+) TGACAATATAA >hg38_chr15:64241273-64241283(+) atacaatgtta >hg38_chr15:64248100-64248110(+) TTACAATGCTG >hg38_chr15:64282896-64282906(+) taacaatgttg >hg38_chr15:64282937-64282947(-) aaacaatgtaa >hg38_chr15:64284102-64284112(-) atacaatgggg >hg38_chr15:64309579-64309589(+) ctacaatgcac >hg38_chr15:64315363-64315373(-) GAACAATGGAC >hg38_chr15:64315414-64315424(+) TAAGAATGGTA >hg38_chr15:64323888-64323898(-) CTACAATGTGA >hg38_chr15:64344372-64344382(-) CAACAATGGAA >hg38_chr15:64360998-64361008(+) ATACAATATAA >hg38_chr15:64412722-64412732(-) TAACAATAATA >hg38_chr15:64430450-64430460(+) CAACAATGCAT >hg38_chr15:64454785-64454795(-) ATACAATGAGT >hg38_chr15:64461954-64461964(+) ACACAATAGTC >hg38_chr15:64462772-64462782(-) GCACAATGAGC >hg38_chr15:64515212-64515222(-) GAACTATGGGT >hg38_chr15:64522069-64522079(-) TAACAATGCTT >hg38_chr15:64524982-64524992(-) gaacaataaca >hg38_chr15:64599975-64599985(-) gaacaaaggac >hg38_chr15:64608429-64608439(-) acacaataggt >hg38_chr15:64634779-64634789(-) AGACAATGGCT >hg38_chr15:64634839-64634849(+) ACACAAAGGAA >hg38_chr15:64643706-64643716(-) TAACAATAAAT >hg38_chr15:64670172-64670182(-) CTACAATGCTA >hg38_chr15:64775025-64775035(+) AGACAATGCCT >hg38_chr15:64825739-64825749(+) TAACAATGGGC >hg38_chr15:64826063-64826073(-) GGACAATGGTA >hg38_chr15:64894557-64894567(+) aaacaatgagc >hg38_chr15:64908365-64908375(-) ttacaatgacc >hg38_chr15:64916046-64916056(-) AGACAATGCAG >hg38_chr15:64957969-64957979(+) ggataatgggg >hg38_chr15:64979438-64979448(+) gaacaaaggag >hg38_chr15:64979608-64979618(-) acacaaaggaa >hg38_chr15:64989440-64989450(+) ACACAAAGGCA >hg38_chr15:64996442-64996452(+) acacaatggaa >hg38_chr15:65000799-65000809(-) TAACAATGGAT >hg38_chr15:65001067-65001077(+) acacaatgctt >hg38_chr15:65037397-65037407(-) CAACAATGTGT >hg38_chr15:65045247-65045257(+) TAACAATGAAA >hg38_chr15:65068316-65068326(-) GAACAAAGGGA >hg38_chr15:65116246-65116256(+) TTACAATAACA >hg38_chr15:65155917-65155927(+) AGACAATAGGA >hg38_chr15:65156421-65156431(-) TCACAATGATC >hg38_chr15:65164322-65164332(-) ATATAATGTAT >hg38_chr15:65266582-65266592(-) CCACAATGGCC >hg38_chr15:65266591-65266601(+) GGATAATGGAA >hg38_chr15:65286510-65286520(+) GGACAATGGGC >hg38_chr15:65296180-65296190(+) CAACAATAGAA >hg38_chr15:65305221-65305231(+) ggacaatgact >hg38_chr15:65326247-65326257(+) AGACAATGGCA >hg38_chr15:65334118-65334128(+) CTACAATGTGG >hg38_chr15:65334743-65334753(+) ACACAATGGGG >hg38_chr15:65339989-65339999(-) GGACAAAGGTG >hg38_chr15:65355753-65355763(-) GCACAATGCCG >hg38_chr15:65355787-65355797(-) GGACAATGGCT >hg38_chr15:65368395-65368405(+) TGACAATGCCA >hg38_chr15:65368428-65368438(-) ACACAATGTGC >hg38_chr15:65373470-65373480(+) GAACAAAGGGG >hg38_chr15:65380145-65380155(+) AAATAATGGCT >hg38_chr15:65381725-65381735(+) TGACAAAGGGA >hg38_chr15:65400905-65400915(+) CCACAATGACC >hg38_chr15:65415296-65415306(+) AAACAATGTGA >hg38_chr15:65450548-65450558(+) GAATAATGGAG >hg38_chr15:65538570-65538580(-) caacaatgttc >hg38_chr15:65538584-65538594(+) tgacaatgaag >hg38_chr15:65576704-65576714(+) CTACAATGTAC >hg38_chr15:65597265-65597275(+) AAACAATGAGC >hg38_chr15:65618728-65618738(-) TTACAAAGGCA >hg38_chr15:65713062-65713072(-) taataatgtat >hg38_chr15:65713383-65713393(-) ttacaatgaga >hg38_chr15:65713406-65713416(+) acacaatgttg >hg38_chr15:65730072-65730082(-) TTACAGTGGAA >hg38_chr15:65730244-65730254(+) AAACAATAAAC >hg38_chr15:65731407-65731417(+) acacaATAGTA >hg38_chr15:65750606-65750616(-) TAACAATGAGC >hg38_chr15:65780707-65780717(-) gaacaatgcct >hg38_chr15:65786327-65786337(-) GTATAATGGAT >hg38_chr15:65786774-65786784(+) CTACAATGTGC >hg38_chr15:65792822-65792832(-) CAACAATAGCT >hg38_chr15:65803240-65803250(+) TTACAAAGGAA >hg38_chr15:65819580-65819590(+) GGACAATATAT >hg38_chr15:65819587-65819597(+) ATATAATGGGT >hg38_chr15:65824959-65824969(-) AAACAAAGGAC >hg38_chr15:65826879-65826889(-) ttacaataaaa >hg38_chr15:65826925-65826935(-) acacaataaac >hg38_chr15:65861896-65861906(-) acacaatagac >hg38_chr15:65885802-65885812(+) gtacactggtt >hg38_chr15:65887765-65887775(+) CAACAATGTGG >hg38_chr15:65895649-65895659(-) TGACAATAGCT >hg38_chr15:65896721-65896731(-) TTACAATGAGC >hg38_chr15:66295651-66295661(-) ATACAATGACT >hg38_chr15:66295667-66295677(+) AAACAAAGGCT >hg38_chr15:66390180-66390190(+) TTACAATAGGA >hg38_chr15:66409105-66409115(-) gAACAATGAAG >hg38_chr15:66453123-66453133(+) ctactatggat >hg38_chr15:66474380-66474390(+) GGATAATGGGC >hg38_chr15:66628986-66628996(+) aaacaatagag >hg38_chr15:66646598-66646608(-) GGACAATGAGC >hg38_chr15:66657682-66657692(+) GGACAATAGCC >hg38_chr15:66723313-66723323(+) AGACAAAGGAC >hg38_chr15:66723329-66723339(-) ACACAATAGCC >hg38_chr15:66725059-66725069(+) TAACAATCGGG >hg38_chr15:66733383-66733393(-) tgacaatgatg >hg38_chr15:66743835-66743845(-) agacaatgttt >hg38_chr15:66746450-66746460(-) GAACAATAGGC >hg38_chr15:66770490-66770500(-) AGACAATGAAG >hg38_chr15:66774469-66774479(-) CAACAATAGGC >hg38_chr15:66799451-66799461(-) gaacaaagggc >hg38_chr15:66858796-66858806(-) gcacaatggag >hg38_chr15:66883553-66883563(+) CGATAATGACA >hg38_chr15:66996398-66996408(-) ttacaatggtg >hg38_chr15:66996815-66996825(+) taacaatgttt >hg38_chr15:67033009-67033019(+) ctacaatggaa >hg38_chr15:67038583-67038593(-) AGATAATGGAC >hg38_chr15:67038596-67038606(-) ATACAATACAT >hg38_chr15:67039043-67039053(-) AAACAAAGGCA >hg38_chr15:67041905-67041915(-) AGACAAAGGAA >hg38_chr15:67055235-67055245(+) CAACAATGACA >hg38_chr15:67064467-67064477(+) AAACAAAGGGC >hg38_chr15:67064928-67064938(-) GGACAATGGCT >hg38_chr15:67091841-67091851(+) gcacaaaggga >hg38_chr15:67105814-67105824(-) CAACAATGGGC >hg38_chr15:67131422-67131432(-) CAACAATGAAA >hg38_chr15:67145086-67145096(-) GAACAATGGAT >hg38_chr15:67147101-67147111(-) TAACAATGGAG >hg38_chr15:67149667-67149677(+) GTACAATAGGA >hg38_chr15:67150227-67150237(-) AAACAATGTCA >hg38_chr15:67199173-67199183(+) TAACAATGGAT >hg38_chr15:67237148-67237158(-) ATACAATAAAT >hg38_chr15:67248567-67248577(+) AGACAATGGTG >hg38_chr15:67248586-67248596(+) GCACAATAGCC >hg38_chr15:67248610-67248620(+) TAACAATGTTC >hg38_chr15:67259559-67259569(-) ggacaatgata >hg38_chr15:67259773-67259783(+) AGACAATAGAT >hg38_chr15:67259815-67259825(+) AAACAATAGAA >hg38_chr15:67300445-67300455(-) GAACAATAGAC >hg38_chr15:67310621-67310631(+) ttacaataata >hg38_chr15:67324743-67324753(-) aaacaatggaa >hg38_chr15:67324767-67324777(-) gaataatggtt >hg38_chr15:67324798-67324808(+) gtataatggat >hg38_chr15:67351799-67351809(-) aaacaataacc >hg38_chr15:67352746-67352756(-) tcacaatgaac >hg38_chr15:67383873-67383883(-) AGACAATGTCT >hg38_chr15:67399379-67399389(-) TGATAATGGTC >hg38_chr15:67409242-67409252(-) ACACAAAGGCA >hg38_chr15:67468660-67468670(+) AAACAATAGTT >hg38_chr15:67494257-67494267(+) GAACAATCGGC >hg38_chr15:67495219-67495229(+) TAACAATAACA >hg38_chr15:67495225-67495235(+) TAACAATGTGA >hg38_chr15:67500667-67500677(+) TAACAAAGGAA >hg38_chr15:67501292-67501302(-) AGACAATAGTG >hg38_chr15:67501302-67501312(-) CAACAATAGCA >hg38_chr15:67501915-67501925(-) GAACAATGGGC >hg38_chr15:67502264-67502274(-) AAACAATGGTG >hg38_chr15:67502296-67502306(+) AAACAATGCCA >hg38_chr15:67505328-67505338(+) CAACaatagtg >hg38_chr15:67534260-67534270(-) AAACAAAGGCA >hg38_chr15:67549419-67549429(+) GCATAATGGGT >hg38_chr15:67558736-67558746(-) atacaaaggcc >hg38_chr15:67559382-67559392(-) TCACAATGGCC >hg38_chr15:67564257-67564267(-) ttacaatggcc >hg38_chr15:67566608-67566618(+) TTACAAAGGTT >hg38_chr15:67567194-67567204(-) TCACAATGGTG >hg38_chr15:67571465-67571475(-) GTACAGTGGTA >hg38_chr15:67635565-67635575(-) acacaaaggaa >hg38_chr15:67650351-67650361(+) gtacaatgttg >hg38_chr15:67659380-67659390(-) GTATAATGAAT >hg38_chr15:67659445-67659455(-) TTACAATGAAC >hg38_chr15:67678387-67678397(-) TGACAATGGAG >hg38_chr15:67691713-67691723(-) CAACAATGAGC >hg38_chr15:67691746-67691756(-) ACACAAAGGAT >hg38_chr15:67692914-67692924(+) CAACAATGGTG >hg38_chr15:67692933-67692943(+) TTACAATGTGC >hg38_chr15:67715452-67715462(-) CAACAATGCTT >hg38_chr15:67731406-67731416(-) AAACAATGAAA >hg38_chr15:67745780-67745790(+) TTACAATGATG >hg38_chr15:67765999-67766009(-) GGACAATAGGA >hg38_chr15:67782079-67782089(-) CCACAATGACT >hg38_chr15:67804756-67804766(-) GAACAAAGGGA >hg38_chr15:67834153-67834163(-) ATACAATGGGA >hg38_chr15:67834184-67834194(+) ATACAATGTAG >hg38_chr15:67843850-67843860(+) GGACAATGCGC >hg38_chr15:67984479-67984489(-) TGATAATGGGA >hg38_chr15:68010555-68010565(+) GAACAAAGGGC >hg38_chr15:68054127-68054137(-) AAATAATGGCG >hg38_chr15:68057910-68057920(-) AGACAATGGGA >hg38_chr15:68067834-68067844(-) GCACAAAGGAA >hg38_chr15:68078008-68078018(-) AGACAATGAAC >hg38_chr15:68079262-68079272(-) GAACAATGAAC >hg38_chr15:68081006-68081016(+) AGACAATAAAA >hg38_chr15:68081196-68081206(-) agacaataaca >hg38_chr15:68089372-68089382(-) AAACAATAAAT >hg38_chr15:68091914-68091924(-) aaacaataggt >hg38_chr15:68107012-68107022(-) GTACAAAGGGT >hg38_chr15:68114163-68114173(+) TTACAAAGGAG >hg38_chr15:68120324-68120334(-) caacaatagca >hg38_chr15:68121377-68121387(-) agacaaaggaa >hg38_chr15:68140085-68140095(+) TTACAATGTAT >hg38_chr15:68154504-68154514(-) TGATAATGGGC >hg38_chr15:68188426-68188436(-) ACACAATGAAC >hg38_chr15:68191184-68191194(+) TAACAAAGGGG >hg38_chr15:68191524-68191534(+) tgataatggtt >hg38_chr15:68224933-68224943(+) GGACAATGACA >hg38_chr15:68239676-68239686(+) atacaatgctc >hg38_chr15:68256731-68256741(+) ggacaatggcg >hg38_chr15:68262986-68262996(-) AAACAAAGGAA >hg38_chr15:68281120-68281130(-) tcacaatgtct >hg38_chr15:68281151-68281161(+) TAACAATAGAA >hg38_chr15:68282047-68282057(+) GAATAATGGGA >hg38_chr15:68282658-68282668(-) TTACAATGCAA >hg38_chr15:68291433-68291443(-) AAACAATGTGG >hg38_chr15:68292432-68292442(-) TTACAATGTTG >hg38_chr15:68331194-68331204(+) GAACAATAGGG >hg38_chr15:68372740-68372750(+) AGACAAAGGGC >hg38_chr15:68420265-68420275(-) ATATAATGGGA >hg38_chr15:68444560-68444570(-) acacaatgttt >hg38_chr15:68451945-68451955(+) acacaatataa >hg38_chr15:68454862-68454872(-) gtacaatatag >hg38_chr15:68507577-68507587(-) gaacaatgtag >hg38_chr15:68526459-68526469(-) ccacaatgagg >hg38_chr15:68527927-68527937(-) caacaatagct >hg38_chr15:68571384-68571394(-) tgacaatgctg >hg38_chr15:68575205-68575215(+) aaacaatgggg >hg38_chr15:68590032-68590042(-) AAACAAAGGAG >hg38_chr15:68593550-68593560(+) GAACAATAAGA >hg38_chr15:68601994-68602004(+) acacgatggga >hg38_chr15:68651960-68651970(-) gtacaaaggca >hg38_chr15:68665317-68665327(-) aaataatggac >hg38_chr15:68665615-68665625(-) acacaaaggac >hg38_chr15:68700671-68700681(-) CAACAATAGGT >hg38_chr15:68730484-68730494(+) GAACAATGAAA >hg38_chr15:68777202-68777212(-) AGACAAAGGAG >hg38_chr15:68823031-68823041(-) GTACAATAAAG >hg38_chr15:68831057-68831067(-) ATACAATGTTT >hg38_chr15:68854822-68854832(+) atacaatggta >hg38_chr15:68875443-68875453(+) AAACAATGTAG >hg38_chr15:68990635-68990645(-) gtacaaaggcc >hg38_chr15:68991832-68991842(+) gaacaaaggca >hg38_chr15:68998533-68998543(+) GAACAATAACC >hg38_chr15:69004478-69004488(+) gcacaatgtaa >hg38_chr15:69008770-69008780(+) aaacaatggta >hg38_chr15:69032140-69032150(+) taACAAAGGTa >hg38_chr15:69085815-69085825(+) GAACAGTGGAT >hg38_chr15:69088503-69088513(-) aaacaatgtta >hg38_chr15:69089497-69089507(+) Caacaatagct >hg38_chr15:69117711-69117721(-) GCACAAAGGAA >hg38_chr15:69120251-69120261(-) TAATAATGTAA >hg38_chr15:69148336-69148346(+) TGACAatggtc >hg38_chr15:69187436-69187446(-) ggacaataata >hg38_chr15:69187498-69187508(+) CTATAATGGAG >hg38_chr15:69201276-69201286(-) gaacaatggcc >hg38_chr15:69217641-69217651(-) CAACAATGAAA >hg38_chr15:69271302-69271312(-) TGACAATGCTG >hg38_chr15:69272351-69272361(+) AGACAATGTGT >hg38_chr15:69300360-69300370(+) CGAGAATGGAA >hg38_chr15:69409811-69409821(+) atacagtggaa >hg38_chr15:69422669-69422679(-) CAACAATGGCT >hg38_chr15:69454484-69454494(-) gTACAATGGAA >hg38_chr15:69495940-69495950(+) gaacaataatt >hg38_chr15:69495967-69495977(-) tcacaatagcg >hg38_chr15:69496024-69496034(-) caacaatgaac >hg38_chr15:69497435-69497445(-) GAACAAAGGTG >hg38_chr15:69500033-69500043(+) GCACAATGGGC >hg38_chr15:69526924-69526934(-) AGACAATCGCC >hg38_chr15:69531433-69531443(-) CGACCATGGTT >hg38_chr15:69554717-69554727(+) GAACAATAAAG >hg38_chr15:69554760-69554770(-) AGACAATGTAA >hg38_chr15:69580083-69580093(+) TTACAATGAGT >hg38_chr15:69776791-69776801(-) aaacaatgttt >hg38_chr15:69813162-69813172(-) ATACAATGGCC >hg38_chr15:69853854-69853864(+) atataatggga >hg38_chr15:69885622-69885632(+) GGACAATGGTA >hg38_chr15:69891128-69891138(+) CAACAATGCAT >hg38_chr15:69910891-69910901(+) ATACTATGGCT >hg38_chr15:69919964-69919974(+) GAACAAAGGGA >hg38_chr15:69937122-69937132(+) atacaataata >hg38_chr15:69937157-69937167(+) cgacaatgata >hg38_chr15:69937192-69937202(+) acacaatggac >hg38_chr15:69986715-69986725(+) GAACAATGGCA >hg38_chr15:69991430-69991440(+) CAACAATAGGC >hg38_chr15:69995263-69995273(-) ggacaatgctc >hg38_chr15:69995325-69995335(-) TAATAATGGCT >hg38_chr15:70050144-70050154(+) TTACAATGTAT >hg38_chr15:70081501-70081511(+) GAACAATGATA >hg38_chr15:70098031-70098041(+) TCACAATGCCC >hg38_chr15:70098588-70098598(-) GAACAAAGGAG >hg38_chr15:70111566-70111576(-) TGACAATGAAA >hg38_chr15:70140926-70140936(+) TGACAATAGTT >hg38_chr15:70145510-70145520(-) AGACAAAGGAT >hg38_chr15:70157946-70157956(+) AGACAATGACC >hg38_chr15:70241508-70241518(+) aaataatggga >hg38_chr15:70254671-70254681(+) GGACAATGGAG >hg38_chr15:70267183-70267193(+) GGACAAAGGCA >hg38_chr15:70296527-70296537(-) aaacaatagag >hg38_chr15:70331553-70331563(-) gaacaataaag >hg38_chr15:70347749-70347759(-) taacaatgtat >hg38_chr15:70367645-70367655(-) ggacaatgtga >hg38_chr15:70406752-70406762(-) GCACAATGACC >hg38_chr15:70427863-70427873(-) gaacaataacc >hg38_chr15:70466578-70466588(-) AAACAATGAAT >hg38_chr15:70526836-70526846(-) AAATAATGGAT >hg38_chr15:70579921-70579931(+) TCACAATAGCT >hg38_chr15:70579944-70579954(+) GAACAATGAGG >hg38_chr15:70595835-70595845(-) AAACAATGGCC >hg38_chr15:70595904-70595914(-) TAACAATAACA >hg38_chr15:70633229-70633239(+) gaacaaaggcc >hg38_chr15:70634839-70634849(-) TTACAAAGGTG >hg38_chr15:70634876-70634886(+) ACACAGTGGAA >hg38_chr15:70652049-70652059(+) CAACAATGGCA >hg38_chr15:70652055-70652065(-) TCACAATGCCA >hg38_chr15:70672379-70672389(-) CTACAGTGGTA >hg38_chr15:70703214-70703224(+) CCACAATAGCA >hg38_chr15:70706656-70706666(+) taacaatgaac >hg38_chr15:70714448-70714458(-) ATACAGTGGAA >hg38_chr15:70715383-70715393(+) TTACAATAAAA >hg38_chr15:70741970-70741980(+) TTACAATGGAT >hg38_chr15:70754905-70754915(-) GAACAATAGGA >hg38_chr15:70758405-70758415(+) TGACAATGAGT >hg38_chr15:70764559-70764569(+) TAACAAAGGAC >hg38_chr15:70770991-70771001(+) CAACAATAGAG >hg38_chr15:70812206-70812216(-) GAACAATGCTC >hg38_chr15:70815767-70815777(+) GGACAATGGTG >hg38_chr15:70825332-70825342(+) AAACAATCGGA >hg38_chr15:70882285-70882295(-) ACACAATGAAG >hg38_chr15:70892997-70893007(-) GGACAAAGACG >hg38_chr15:70923518-70923528(-) gaacaatgcct >hg38_chr15:70925665-70925675(+) atacaataatg >hg38_chr15:70925668-70925678(+) caataatggaa >hg38_chr15:70937110-70937120(-) AAACAAAGGAC >hg38_chr15:71038010-71038020(+) TAACAATACAA >hg38_chr15:71043252-71043262(-) CTATAATGGTA >hg38_chr15:71054089-71054099(-) TTACAATAAAT >hg38_chr15:71054718-71054728(+) AGACAATGAAA >hg38_chr15:71060965-71060975(+) caacaatgtat >hg38_chr15:71107555-71107565(-) ACACAATGGGA >hg38_chr15:71108123-71108133(+) TTACAATGGAG >hg38_chr15:71114344-71114354(-) GGACAATGAAG >hg38_chr15:71146254-71146264(-) agacaatcggg >hg38_chr15:71203850-71203860(-) TAACAAAGGCA >hg38_chr15:71219647-71219657(+) TGACAATGGCT >hg38_chr15:71239177-71239187(+) taacaaaggta >hg38_chr15:71239189-71239199(-) acacaataata >hg38_chr15:71281261-71281271(+) TAACAATGCTT >hg38_chr15:71281280-71281290(-) ACACAATGTTT >hg38_chr15:71290572-71290582(-) ttacaatgcat >hg38_chr15:71299401-71299411(+) aaacaatgtgc >hg38_chr15:71328035-71328045(+) TTACAATAGGC >hg38_chr15:71331796-71331806(+) TTACAATGCAT >hg38_chr15:71387253-71387263(-) CAACAATGTCA >hg38_chr15:71403761-71403771(-) atacagtggtt >hg38_chr15:71429283-71429293(-) taacaatcgtt >hg38_chr15:71443803-71443813(+) GCACAATGGGA >hg38_chr15:71502137-71502147(-) GTACAATGGGG >hg38_chr15:71503426-71503436(-) GGACAATGGGA >hg38_chr15:71572319-71572329(+) atactatgggt >hg38_chr15:71572350-71572360(-) gcacaaaggaa >hg38_chr15:71572855-71572865(+) AAACAATGTCA >hg38_chr15:71581176-71581186(+) caacaatgtac >hg38_chr15:71581183-71581193(+) gtacaaaggtt >hg38_chr15:71599794-71599804(-) ACACAATGCAG >hg38_chr15:71610027-71610037(+) cgacaataaag >hg38_chr15:71623305-71623315(-) gcacaatgcct >hg38_chr15:71623349-71623359(+) tcacaatagcc >hg38_chr15:71634959-71634969(-) AAACAATGGCA >hg38_chr15:71634969-71634979(-) AAACAATGGCA >hg38_chr15:71648591-71648601(-) GGACAATGGTG >hg38_chr15:71662553-71662563(+) AAACAATGCCA >hg38_chr15:71674740-71674750(-) taacaatcgaa >hg38_chr15:71676892-71676902(+) gaataatgcgc >hg38_chr15:71752150-71752160(+) GCACAATACGT >hg38_chr15:71790286-71790296(+) ggacactggta >hg38_chr15:71836127-71836137(+) taacaataaag >hg38_chr15:71868641-71868651(-) gaacaatatat >hg38_chr15:71869545-71869555(+) gaacaatagtg >hg38_chr15:71885605-71885615(-) ttacaatgatg >hg38_chr15:71891394-71891404(+) TTACAAAGGAA >hg38_chr15:71891450-71891460(+) AAACAATAAAT >hg38_chr15:71922504-71922514(-) taataatgtat >hg38_chr15:71936409-71936419(+) gcacaatgaag >hg38_chr15:71944726-71944736(+) gaacaatgtca >hg38_chr15:71950934-71950944(+) TCACAATAGCA >hg38_chr15:71979623-71979633(-) tcacaatgcaa >hg38_chr15:71980379-71980389(-) agacaatacga >hg38_chr15:71980431-71980441(-) tcacaatgagc >hg38_chr15:71981146-71981156(+) ttacaatgtat >hg38_chr15:72033465-72033475(+) ttacaatggaa >hg38_chr15:72042360-72042370(+) AAACAATGTCC >hg38_chr15:72057931-72057941(-) TTATAATgggt >hg38_chr15:72057936-72057946(+) TTATAATGGGT >hg38_chr15:72105908-72105918(+) aaactatggta >hg38_chr15:72106892-72106902(+) ttacaataggt >hg38_chr15:72112600-72112610(-) ATACAATAGAC >hg38_chr15:72118636-72118646(-) GGACAATGGAG >hg38_chr15:72228553-72228563(+) CCACAATGTAG >hg38_chr15:72228960-72228970(-) AGACAAAGGCA >hg38_chr15:72229154-72229164(+) AGACAATGGAT >hg38_chr15:72389470-72389480(-) GAACAATGCTT >hg38_chr15:72422812-72422822(+) GGACAATGGAA >hg38_chr15:72448422-72448432(+) acacaaaggaa >hg38_chr15:72473699-72473709(-) AAACAATGTAA >hg38_chr15:72487242-72487252(+) AGACAATGCTA >hg38_chr15:72492930-72492940(-) TGACAGTGGTA >hg38_chr15:72494429-72494439(+) agacaaaggag >hg38_chr15:72528041-72528051(+) CAACAATGGAG >hg38_chr15:72555054-72555064(-) CAACAATGTTT >hg38_chr15:72566147-72566157(-) AAACAATATAT >hg38_chr15:72567084-72567094(-) TAACAACGGTT >hg38_chr15:72567744-72567754(+) ATACAAAGTCG >hg38_chr15:72592164-72592174(+) TAACAATGGTC >hg38_chr15:72592739-72592749(-) gaacaatgtcc >hg38_chr15:72599826-72599836(+) aaacaatgcag >hg38_chr15:72599842-72599852(-) ggacaaaggca >hg38_chr15:72602136-72602146(-) atacaaaggta >hg38_chr15:72673442-72673452(+) aaacaatgagt >hg38_chr15:72673467-72673477(-) tgacaatgact >hg38_chr15:72693152-72693162(-) caacaatggca >hg38_chr15:72771510-72771520(+) gaacaatggca >hg38_chr15:72771580-72771590(+) agacaatgtca >hg38_chr15:72783913-72783923(+) GGACAACGGCG >hg38_chr15:72814744-72814754(-) taacgatggtg >hg38_chr15:72907856-72907866(+) TGATAATGGGC >hg38_chr15:72919889-72919899(-) atacaatgagg >hg38_chr15:72932399-72932409(-) TTACAATGAAT >hg38_chr15:72954871-72954881(-) taacaaaggaa >hg38_chr15:73016035-73016045(+) TTACAATGAAA >hg38_chr15:73016314-73016324(-) taacaatataa >hg38_chr15:73018107-73018117(+) TCACAATGCCC >hg38_chr15:73034740-73034750(-) GAACTATGGTA >hg38_chr15:73042467-73042477(-) GAACAATGGCC >hg38_chr15:73078306-73078316(+) TGACAATGTAG >hg38_chr15:73078362-73078372(+) ACACAGTGGAT >hg38_chr15:73078517-73078527(+) AAACAATGGCA >hg38_chr15:73089094-73089104(+) CAACAATGTCA >hg38_chr15:73094424-73094434(-) agacaatgaag >hg38_chr15:73107579-73107589(-) TTACAAAGGCT >hg38_chr15:73123384-73123394(+) CAACAATAGGA >hg38_chr15:73123398-73123408(-) TAACAATAAAA >hg38_chr15:73127520-73127530(-) tcacaatgcgg >hg38_chr15:73169024-73169034(+) AAACAATAGAA >hg38_chr15:73175579-73175589(-) CAACAATGGCA >hg38_chr15:73191968-73191978(-) gcacaatgAAT >hg38_chr15:73244554-73244564(-) AAACAAAGGTA >hg38_chr15:73248387-73248397(-) aaacaatgact >hg38_chr15:73258408-73258418(-) gAACAATGAAA >hg38_chr15:73311709-73311719(-) gaacaatataa >hg38_chr15:73316008-73316018(-) atacaatgtca >hg38_chr15:73316045-73316055(+) aaacaatgtag >hg38_chr15:73342257-73342267(+) TCACAATGCAG >hg38_chr15:73368597-73368607(+) GAACAATGGGC >hg38_chr15:73470557-73470567(-) acacaatagac >hg38_chr15:73488604-73488614(-) atacaatggca >hg38_chr15:73491980-73491990(+) taacagtggta >hg38_chr15:73492038-73492048(-) tgacaatgaca >hg38_chr15:73524343-73524353(+) agacaatgttg >hg38_chr15:73526578-73526588(+) taacaatagtg >hg38_chr15:73560409-73560419(-) GTATAATGAAT >hg38_chr15:73564523-73564533(+) AAACAATAGGC >hg38_chr15:73599972-73599982(+) TGACAATGCTA >hg38_chr15:73600317-73600327(+) CAACAATGGTC >hg38_chr15:73600337-73600347(-) TAACAATAATG >hg38_chr15:73600349-73600359(-) TAATAATGGTA >hg38_chr15:73606149-73606159(+) TAACAATGTTT >hg38_chr15:73620867-73620877(+) aaacaatgggc >hg38_chr15:73623854-73623864(+) TTATAATGGTT >hg38_chr15:73630533-73630543(+) CAACAATGGGT >hg38_chr15:73631205-73631215(-) GCACAATAGGT >hg38_chr15:73667479-73667489(-) agataatgggc >hg38_chr15:73748565-73748575(+) ACACAAAGGGA >hg38_chr15:73863347-73863357(+) atacaatacat >hg38_chr15:73863417-73863427(+) agacaatggaa >hg38_chr15:73867108-73867118(+) AGACAAAGGGC >hg38_chr15:73915228-73915238(+) caacaatgtat >hg38_chr15:73935701-73935711(-) AGACAATGCTA >hg38_chr15:73951115-73951125(+) AAACAAAGGAG >hg38_chr15:73986457-73986467(-) tcacaatgtcc >hg38_chr15:74007909-74007919(-) TCACAATAGAC >hg38_chr15:74007935-74007945(-) TGACAAAGGTA >hg38_chr15:74027136-74027146(+) gcataatggac >hg38_chr15:74141082-74141092(-) CAACAATGGAA >hg38_chr15:74141433-74141443(-) CGACAATGATC >hg38_chr15:74147338-74147348(-) acacaatgcaa >hg38_chr15:74161582-74161592(-) atacaatggaa >hg38_chr15:74161595-74161605(+) atacaatgagc >hg38_chr15:74193406-74193416(+) TCATAATGGAG >hg38_chr15:74214155-74214165(-) CCACAATGGCT >hg38_chr15:74220830-74220840(+) AGACAATGAAG >hg38_chr15:74224065-74224075(+) GAACAAAGGCA >hg38_chr15:74264342-74264352(+) ACACAAAGGGA >hg38_chr15:74264372-74264382(+) GCACAATGCCC >hg38_chr15:74300250-74300260(+) TAACAATAGAC >hg38_chr15:74320906-74320916(-) GGACAATAGAA >hg38_chr15:74327912-74327922(-) TCACAAAGGAT >hg38_chr15:74407681-74407691(+) AGACAATGGAG >hg38_chr15:74476482-74476492(-) caataatggag >hg38_chr15:74476485-74476495(-) atacaataatg >hg38_chr15:74483019-74483029(-) tgacaatgaaa >hg38_chr15:74525466-74525476(+) gaacaatggct >hg38_chr15:74531199-74531209(+) GAACAATGTAG >hg38_chr15:74542648-74542658(+) AAACACTGGAA >hg38_chr15:74542656-74542666(+) GAACAATGCAG >hg38_chr15:74568481-74568491(-) aaacaatggct >hg38_chr15:74615450-74615460(+) GAACAATGCCC >hg38_chr15:74657067-74657077(+) ggacactggac >hg38_chr15:74664491-74664501(-) CAACAATGGGT >hg38_chr15:74688477-74688487(-) acacaatggag >hg38_chr15:74760453-74760463(+) AAACAATGGCA >hg38_chr15:74771671-74771681(+) AGACAATGGCC >hg38_chr15:74890151-74890161(-) CGATAATGCCG >hg38_chr15:74901726-74901736(+) AAACAATAGGA >hg38_chr15:74937495-74937505(-) ACACAATATAC >hg38_chr15:74988118-74988128(+) TGACAATGAAA >hg38_chr15:75017540-75017550(-) GGACAATGACA >hg38_chr15:75017558-75017568(+) TGACAATGAGA >hg38_chr15:75130161-75130171(-) gaacaaaggca >hg38_chr15:75156924-75156934(-) AAACAAAGGTG >hg38_chr15:75156946-75156956(+) AAACAAAGACG >hg38_chr15:75182258-75182268(-) GGACAAAGGAT >hg38_chr15:75424872-75424882(+) taacaaaggat >hg38_chr15:75424911-75424921(+) CAACAATGATA >hg38_chr15:75573331-75573341(-) TAACAATCGTA >hg38_chr15:75578026-75578036(+) GAACAATAGAG >hg38_chr15:75618728-75618738(+) GCACAATGGTC >hg38_chr15:75635868-75635878(-) GTACAatagtg >hg38_chr15:75635883-75635893(-) GTACAATGTGG >hg38_chr15:75635893-75635903(-) TCACAATGTAG >hg38_chr15:75635921-75635931(-) TCACAATGTAG >hg38_chr15:75641099-75641109(-) AGACAATGAAA >hg38_chr15:75641116-75641126(+) ATACAATATTT >hg38_chr15:75824048-75824058(-) gaacaataggc >hg38_chr15:75849748-75849758(+) GAACAAAGGAC >hg38_chr15:75865303-75865313(-) caacaaaggta >hg38_chr15:75865318-75865328(-) acacaatgtta >hg38_chr15:75865340-75865350(-) acacaatagga >hg38_chr15:75883250-75883260(-) AGATAATGGGT >hg38_chr15:75887074-75887084(-) GAACAATAACA >hg38_chr15:75899829-75899839(-) GAACAATATAT >hg38_chr15:75913726-75913736(+) AGATAATGGAT >hg38_chr15:75913737-75913747(-) AGACAATGAGA >hg38_chr15:75927814-75927824(-) AAACAAAGGGT >hg38_chr15:75947088-75947098(-) ctacaatgcga >hg38_chr15:75949624-75949634(-) gcacaatcgat >hg38_chr15:75949638-75949648(-) atacaatacaa >hg38_chr15:75992105-75992115(+) atacaatgcag >hg38_chr15:75992127-75992137(-) gaacaatatag >hg38_chr15:75994443-75994453(+) taataatggga >hg38_chr15:76002172-76002182(+) caacaatagta >hg38_chr15:76002449-76002459(+) ggacaatgaga >hg38_chr15:76005778-76005788(-) caacaatgaag >hg38_chr15:76010264-76010274(-) TAACAATGTAC >hg38_chr15:76035856-76035866(-) CAACAATAGCT >hg38_chr15:76105714-76105724(-) agataatggag >hg38_chr15:76183976-76183986(-) GGACAATGGGG >hg38_chr15:76206665-76206675(-) GTACAATGGAG >hg38_chr15:76232066-76232076(-) acacaaaggtT >hg38_chr15:76253990-76254000(+) gtacaatggag >hg38_chr15:76293409-76293419(-) TGACAATGGGA >hg38_chr15:76305214-76305224(+) TAACAATGACC >hg38_chr15:76336536-76336546(-) GAACAATGGAG >hg38_chr15:76341941-76341951(-) TAACAATAGAA >hg38_chr15:76345417-76345427(+) AAACAATGGAT >hg38_chr15:76410852-76410862(-) agacaatagaa >hg38_chr15:76415023-76415033(+) atacaATGACT >hg38_chr15:76415037-76415047(+) aaacaatgctc >hg38_chr15:76478755-76478765(-) AAACAATGTGA >hg38_chr15:76484134-76484144(+) atacaatggat >hg38_chr15:76507965-76507975(-) gtacaattacg >hg38_chr15:76508001-76508011(-) acacaatgtgt >hg38_chr15:76563176-76563186(+) tgacaatgtcc >hg38_chr15:76647837-76647847(+) tcacaaaggac >hg38_chr15:76647863-76647873(+) gaacaatagag >hg38_chr15:76676991-76677001(+) GTACAATATCT >hg38_chr15:76696364-76696374(+) TAATAATGTAA >hg38_chr15:76750099-76750109(+) caacaatgtta >hg38_chr15:76753366-76753376(+) aaacaatagat >hg38_chr15:76772625-76772635(-) GGACAATAGGG >hg38_chr15:76817162-76817172(-) ctacaatggtg >hg38_chr15:76817213-76817223(+) gTacaatgaaa >hg38_chr15:76825229-76825239(+) ACACAAAGGTT >hg38_chr15:76828718-76828728(+) AGACAATGGTG >hg38_chr15:76860411-76860421(+) AAACAATGTTC >hg38_chr15:76862189-76862199(+) ACACAATAAAC >hg38_chr15:76926821-76926831(-) ATACAAAGGAA >hg38_chr15:76931329-76931339(-) ATACAATATCG >hg38_chr15:76932095-76932105(+) TGACAATGGGG >hg38_chr15:76954835-76954845(+) TTACAATGACA >hg38_chr15:76973624-76973634(+) GAACAATGGAA >hg38_chr15:77061828-77061838(+) aaacaatgtaa >hg38_chr15:77130685-77130695(+) GGACTATGGGA >hg38_chr15:77134136-77134146(-) CCACAATGCTA >hg38_chr15:77135044-77135054(+) agacaaaggca >hg38_chr15:77163909-77163919(-) aAACGATGACG >hg38_chr15:77166286-77166296(+) AAACAATAACA >hg38_chr15:77173783-77173793(+) ctacaatgtct >hg38_chr15:77190737-77190747(-) gtacaatacac >hg38_chr15:77201955-77201965(-) GCACAAAGGGA >hg38_chr15:77203643-77203653(+) tgacaaaggaa >hg38_chr15:77224646-77224656(+) GTACAAAGGGG >hg38_chr15:77251675-77251685(+) agacactggac >hg38_chr15:77282507-77282517(+) GTACAAAGGGA >hg38_chr15:77294575-77294585(+) taacaatatga >hg38_chr15:77308811-77308821(+) TTACAATGAGG >hg38_chr15:77328657-77328667(+) tgacaatgttg >hg38_chr15:77350984-77350994(-) acacaatagtg >hg38_chr15:77402656-77402666(-) ACACTATGGGA >hg38_chr15:77416040-77416050(+) ttacaatgtag >hg38_chr15:77457893-77457903(+) TAACAATATCT >hg38_chr15:77468176-77468186(-) AAACAATGGAT >hg38_chr15:77468484-77468494(-) taacaataggt >hg38_chr15:77469133-77469143(+) acacaatgtcc >hg38_chr15:77474666-77474676(-) TAACAATGACT >hg38_chr15:77483772-77483782(+) TGACAATGTCT >hg38_chr15:77497331-77497341(+) AGACAATGAGG >hg38_chr15:77506448-77506458(-) AGACAATAAAC >hg38_chr15:77519101-77519111(-) GGACAATGGAT >hg38_chr15:77538855-77538865(-) ggacaatggaa >hg38_chr15:77568671-77568681(+) CAACAATGCGC >hg38_chr15:77623754-77623764(-) CAACAAAGGAC >hg38_chr15:77627743-77627753(-) AAACAAAGGAC >hg38_chr15:77634483-77634493(-) gaacaatagtg >hg38_chr15:77739799-77739809(+) ACACAAAGGGA >hg38_chr15:77820462-77820472(+) CGACAATGGGT >hg38_chr15:77847104-77847114(+) acacaataaac >hg38_chr15:78050659-78050669(+) AGACAATGTAG >hg38_chr15:78050666-78050676(+) GTAGAATGGTA >hg38_chr15:78157712-78157722(-) GTACAATGCAT >hg38_chr15:78194371-78194381(+) GCACAATGACA >hg38_chr15:78194390-78194400(-) GAACAATAACT >hg38_chr15:78241094-78241104(+) GAACAATGGGC >hg38_chr15:78312814-78312824(-) gaacaaaggaa >hg38_chr15:78316439-78316449(-) AGACAAAGGGC >hg38_chr15:78316738-78316748(-) ACACAATGCAA >hg38_chr15:78321336-78321346(-) GAACAAAGGCA >hg38_chr15:78323200-78323210(-) GCACAATGCCA >hg38_chr15:78358094-78358104(+) AGACAATGCTA >hg38_chr15:78387778-78387788(-) gaacaaaggag >hg38_chr15:78415697-78415707(-) TAACAAAGGTA >hg38_chr15:78415740-78415750(+) AGACAATGAAT >hg38_chr15:78423012-78423022(+) GGACAATGCCT >hg38_chr15:78440992-78441002(+) TGACAATGACA >hg38_chr15:78462238-78462248(-) acacaatggat >hg38_chr15:78485269-78485279(-) AGACAATGATC >hg38_chr15:78561208-78561218(+) TGACAATGTTT >hg38_chr15:78575531-78575541(-) CAATAATGGGT >hg38_chr15:78575579-78575589(-) ATACAAAGGAA >hg38_chr15:78603456-78603466(+) CAACAATGGCC >hg38_chr15:78716552-78716562(-) gtacagtggat >hg38_chr15:78716565-78716575(+) agacaatgctt >hg38_chr15:78851139-78851149(+) GCACAATGGCA >hg38_chr15:78851148-78851158(-) TCACAATGGTG >hg38_chr15:78872238-78872248(-) AGATAATGGAG >hg38_chr15:78873320-78873330(-) GAACAATAACA >hg38_chr15:78873356-78873366(-) CTACAATAGGC >hg38_chr15:78875047-78875057(-) atacaataact >hg38_chr15:78881778-78881788(-) GAACAATACTA >hg38_chr15:78883490-78883500(-) AAACAATAAAA >hg38_chr15:78883505-78883515(+) AAATAATGGGC >hg38_chr15:78885322-78885332(-) AAACAATGTAA >hg38_chr15:78889094-78889104(-) GCACAATGCTG >hg38_chr15:78889119-78889129(+) AAATAATGGCT >hg38_chr15:78897734-78897744(+) GAACAATGTGC >hg38_chr15:78897765-78897775(-) ATACAATGGTT >hg38_chr15:78949580-78949590(-) TAACAATGACT >hg38_chr15:78957184-78957194(+) TAACAATAGGT >hg38_chr15:78999884-78999894(-) TAACAATGGAG >hg38_chr15:79060354-79060364(-) GGACAATGGTG >hg38_chr15:79130740-79130750(+) atacaatgggg >hg38_chr15:79136594-79136604(-) TGACAATAGCA >hg38_chr15:79169117-79169127(-) GAACAATGGAA >hg38_chr15:79217306-79217316(-) GAACAATGAAG >hg38_chr15:79283708-79283718(+) CAATAATGGAC >hg38_chr15:79290544-79290554(-) caacaatgtga >hg38_chr15:79303384-79303394(-) ttacaatgtaa >hg38_chr15:79303868-79303878(-) caacaatagga >hg38_chr15:79303889-79303899(-) atataatgaac >hg38_chr15:79337836-79337846(+) gtacaatgata >hg38_chr15:79337854-79337864(-) acacaatgctg >hg38_chr15:79337879-79337889(+) ttacaatataa >hg38_chr15:79419023-79419033(+) AAACAAAGGAA >hg38_chr15:79436650-79436660(-) GGACAATgaag >hg38_chr15:79440928-79440938(-) agacaatgaac >hg38_chr15:79497586-79497596(-) TGACAATGGCT >hg38_chr15:79556495-79556505(-) ATATAATGGCA >hg38_chr15:79577953-79577963(-) aaacaatggaa >hg38_chr15:79579819-79579829(+) GAACAGTGGAA >hg38_chr15:79580407-79580417(-) GGACACTGGta >hg38_chr15:79581906-79581916(-) tcacaatagcc >hg38_chr15:79635656-79635666(+) ATACACTGGGA >hg38_chr15:79635678-79635688(-) TGACAATGGCT >hg38_chr15:79666320-79666330(+) ggacaaaggaa >hg38_chr15:79677139-79677149(+) GAACAATGAGA >hg38_chr15:79680803-79680813(-) ggacaaagggt >hg38_chr15:79680862-79680872(-) cgacaatgcct >hg38_chr15:79825642-79825652(-) ATACAATAATA >hg38_chr15:79825688-79825698(+) ttacaataaaa >hg38_chr15:79842071-79842081(+) AGACAATGTGA >hg38_chr15:79858386-79858396(-) atacaataggc >hg38_chr15:79894968-79894978(-) ggataatgggt >hg38_chr15:79894985-79894995(-) gtataatggca >hg38_chr15:79895015-79895025(+) AAACAATAGGT >hg38_chr15:79943994-79944004(+) gaaccatggta >hg38_chr15:79956733-79956743(+) TTACAATGACA >hg38_chr15:79957445-79957455(-) ggacaatacgt >hg38_chr15:80010816-80010826(-) CTACAGTGGTA >hg38_chr15:80058019-80058029(-) aaacaataaac >hg38_chr15:80058318-80058328(+) GAACAAAGGAA >hg38_chr15:80069459-80069469(-) ACACAAAGGAA >hg38_chr15:80073532-80073542(-) ATACAATGGCT >hg38_chr15:80199480-80199490(-) TTACAATGCAA >hg38_chr15:80202901-80202911(-) TAACAATAATT >hg38_chr15:80207709-80207719(-) tcacaatagtt >hg38_chr15:80231889-80231899(-) AAACAATACCG >hg38_chr15:80233714-80233724(+) AAACAAAGGCA >hg38_chr15:80330309-80330319(-) ggataatggaa >hg38_chr15:80330374-80330384(+) taacaatacaa >hg38_chr15:80330379-80330389(+) atacaatagta >hg38_chr15:80350311-80350321(-) aaacaatgtgg >hg38_chr15:80396215-80396225(-) TGACAATGACT >hg38_chr15:80396229-80396239(-) AAACAAAGGAC >hg38_chr15:80403922-80403932(-) GAACAAAGGCG >hg38_chr15:80404280-80404290(+) CGACAAAGGCT >hg38_chr15:80454969-80454979(+) GTACAATAGGA >hg38_chr15:80455189-80455199(-) ACACAAAGGAT >hg38_chr15:80455209-80455219(-) AAACAATGCAA >hg38_chr15:80472087-80472097(-) ACACAatgaag >hg38_chr15:80494967-80494977(+) GGACAAAGGGA >hg38_chr15:80499552-80499562(-) GGACAATGGGG >hg38_chr15:80587183-80587193(+) AGACAATGAGC >hg38_chr15:80636788-80636798(+) agacaatggcc >hg38_chr15:80669603-80669613(+) TCACAATAGCC >hg38_chr15:80699519-80699529(+) GAACAATACTA >hg38_chr15:80699534-80699544(-) ATACAAAGGAT >hg38_chr15:80709701-80709711(-) gaacaatgagg >hg38_chr15:80755120-80755130(-) GCACAATGTGA >hg38_chr15:80755172-80755182(+) CAACAATGAAC >hg38_chr15:80812501-80812511(+) gtataatgggc >hg38_chr15:80812532-80812542(-) tcacaataggc >hg38_chr15:80823060-80823070(+) taacaataaca >hg38_chr15:80851242-80851252(+) AAACAAAGGGT >hg38_chr15:80954340-80954350(+) GAACAAAGGCA >hg38_chr15:80954640-80954650(-) GGACAATGAAC >hg38_chr15:80967433-80967443(-) AGACAATAGGG >hg38_chr15:80971270-80971280(+) AAACAATGGCC >hg38_chr15:81050132-81050142(+) gtacaataacc >hg38_chr15:81153005-81153015(+) GGACAATGGGC >hg38_chr15:81244747-81244757(-) aaataatggct >hg38_chr15:81259473-81259483(+) gcacaatgtct >hg38_chr15:81304033-81304043(-) ACACAAAGGAT >hg38_chr15:81396938-81396948(+) caacaatgaga >hg38_chr15:81410873-81410883(-) AGACAATGAAC >hg38_chr15:81410901-81410911(-) AGACAATAGCA >hg38_chr15:81433487-81433497(+) gaacaatcgct >hg38_chr15:81441994-81442004(+) taacaaaggat >hg38_chr15:81464624-81464634(-) aaacaatgaaa >hg38_chr15:81478970-81478980(+) ccacaatgatg >hg38_chr15:81542613-81542623(-) taacaatgtaa >hg38_chr15:81578711-81578721(-) ctacaatgcac >hg38_chr15:81739288-81739298(+) caacaatggct >hg38_chr15:81758869-81758879(+) GAACAATGCTT >hg38_chr15:81829407-81829417(-) agactatggca >hg38_chr15:81829696-81829706(+) acacaatgaag >hg38_chr15:81832732-81832742(+) tgacaatgtct >hg38_chr15:81847180-81847190(+) AAACAATAGAA >hg38_chr15:81896566-81896576(+) GAACAATGGGT >hg38_chr15:81904662-81904672(+) gaacaatatac >hg38_chr15:81904669-81904679(+) atacaataata >hg38_chr15:81951183-81951193(-) TGACAATGTGG >hg38_chr15:81955712-81955722(-) taataatggca >hg38_chr15:81973416-81973426(+) tcataatggca >hg38_chr15:81990550-81990560(-) acacaatggaa >hg38_chr15:82015849-82015859(-) agacaaaggtg >hg38_chr15:82015873-82015883(-) TGACAATAGCT >hg38_chr15:82021630-82021640(+) TTACAATGCAG >hg38_chr15:82045037-82045047(-) GAACAATGGGT >hg38_chr15:82046212-82046222(+) CCACAATGCTA >hg38_chr15:82046454-82046464(-) GGACAATGATT >hg38_chr15:82047437-82047447(-) GAACAAAGGAC >hg38_chr15:82082024-82082034(-) caataatggaa >hg38_chr15:82088539-82088549(-) TCACAATGAGT >hg38_chr15:82138089-82138099(+) TGACAATGAAG >hg38_chr15:82185048-82185058(+) CTACAATGGAT >hg38_chr15:82186370-82186380(-) AGACAATATAG >hg38_chr15:82287875-82287885(-) gaacaatgtgt >hg38_chr15:82644119-82644129(-) CCACAATGTAT >hg38_chr15:82701980-82701990(-) aaacaatgtaa >hg38_chr15:82755168-82755178(-) TAACAATGCAG >hg38_chr15:82809351-82809361(+) ATACAATAACT >hg38_chr15:82832723-82832733(-) TGACAATGAAG >hg38_chr15:82855851-82855861(-) GAACAATGAGG >hg38_chr15:82864881-82864891(-) aaacaatgaat >hg38_chr15:82864909-82864919(+) tgataatggtg >hg38_chr15:82867601-82867611(-) aaacaatggta >hg38_chr15:82900717-82900727(+) CAACAATGCAC >hg38_chr15:82942675-82942685(+) CCACAATGGGG >hg38_chr15:82943346-82943356(+) TGACAATGCAG >hg38_chr15:82989604-82989614(+) GTACAATTGAA >hg38_chr15:82990884-82990894(+) TTACAATGTTA >hg38_chr15:82993260-82993270(+) acacaataggt >hg38_chr15:83030152-83030162(-) TTACAGTGGGA >hg38_chr15:83050110-83050120(-) GCACAATGGAT >hg38_chr15:83050125-83050135(-) ATACAATAGAC >hg38_chr15:83081464-83081474(+) ccacaatgaga >hg38_chr15:83155643-83155653(+) AAACAATGCTG >hg38_chr15:83165206-83165216(-) ttacaatgaag >hg38_chr15:83200520-83200530(-) ATACAATGCAG >hg38_chr15:83206752-83206762(+) GGACAATGATA >hg38_chr15:83208417-83208427(+) taacaatgcag >hg38_chr15:83209577-83209587(+) AAACAATGGAG >hg38_chr15:83228031-83228041(+) gtataatggat >hg38_chr15:83228042-83228052(-) atacaatgtat >hg38_chr15:83248547-83248557(+) GCACAATGCCT >hg38_chr15:83286319-83286329(-) ACACAATGCTA >hg38_chr15:83296851-83296861(+) gaacaatgctc >hg38_chr15:83310939-83310949(-) CAACAATAGCC >hg38_chr15:83310951-83310961(-) GTACAATAGCT >hg38_chr15:83408661-83408671(-) ctacaaaggac >hg38_chr15:83439019-83439029(+) TAACAAAGGCT >hg38_chr15:83447255-83447265(+) CAACAATGAAG >hg38_chr15:83498491-83498501(-) GAATAATGGCa >hg38_chr15:83498976-83498986(-) GGACAATAGAA >hg38_chr15:83510247-83510257(+) ATACAATAGAA >hg38_chr15:83510290-83510300(-) GAACAAAGACG >hg38_chr15:83522901-83522911(-) TTACAATGCTG >hg38_chr15:83544890-83544900(+) acataatggag >hg38_chr15:83549256-83549266(-) caacaatggaa >hg38_chr15:83733486-83733496(-) GGACAATGAAC >hg38_chr15:83753204-83753214(-) TAATAATGGCC >hg38_chr15:83889690-83889700(+) agacaatgaac >hg38_chr15:83889755-83889765(-) TGACAATAGTC >hg38_chr15:84135062-84135072(+) GAACAAAGGAT >hg38_chr15:84600486-84600496(+) AAACAAAGGGG >hg38_chr15:84601016-84601026(-) GAACAATGGAC >hg38_chr15:84678431-84678441(-) gaacaatgtta >hg38_chr15:84718211-84718221(+) gtacaatggaa >hg38_chr15:84737640-84737650(+) ggacaatgaac >hg38_chr15:84766381-84766391(+) ATACAATAGGC >hg38_chr15:84818356-84818366(+) AGACAATAGAC >hg38_chr15:84875135-84875145(+) GTACAATGGTA >hg38_chr15:84948371-84948381(-) atacagtgggt >hg38_chr15:84984712-84984722(-) atacaatacta >hg38_chr15:85027032-85027042(-) acacaatagta >hg38_chr15:85051109-85051119(-) taacaatgacc >hg38_chr15:85051150-85051160(-) acacaaaggca >hg38_chr15:85057790-85057800(+) gtataatgaat >hg38_chr15:85057822-85057832(+) gtacaataatg >hg38_chr15:85060834-85060844(+) atacaatagca >hg38_chr15:85062530-85062540(+) caacaatggga >hg38_chr15:85062548-85062558(+) caacaatgcac >hg38_chr15:85063953-85063963(-) GGACAATGAAA >hg38_chr15:85072289-85072299(-) ACATAATGGAG >hg38_chr15:85075572-85075582(+) AAACAATGCAG >hg38_chr15:85113008-85113018(-) AGACAATGCCA >hg38_chr15:85130593-85130603(-) gaacaatgctc >hg38_chr15:85130607-85130617(-) agacaatagag >hg38_chr15:85130615-85130625(-) gaacaatgaga >hg38_chr15:85131432-85131442(-) ccacaatgcaa >hg38_chr15:85290159-85290169(+) TAATAATAGTA >hg38_chr15:85303709-85303719(+) aaacaatgcca >hg38_chr15:85348985-85348995(+) acACAATGACT >hg38_chr15:85395087-85395097(-) GAACAATGGGC >hg38_chr15:85395107-85395117(-) GAACAATGAAC >hg38_chr15:85395941-85395951(+) caacaatggca >hg38_chr15:85404837-85404847(-) AAACAAAGGCA >hg38_chr15:85405070-85405080(-) TCACAATGGAT >hg38_chr15:85413735-85413745(+) GCACAATAGTT >hg38_chr15:85426332-85426342(-) GCACAATGGGA >hg38_chr15:85430219-85430229(-) AAACAATGGTG >hg38_chr15:85430231-85430241(+) CTATAATGGGC >hg38_chr15:85431097-85431107(-) TCACAATGCAG >hg38_chr15:85432132-85432142(+) GAACAATGGAA >hg38_chr15:85445224-85445234(-) AAACAATGCCC >hg38_chr15:85466698-85466708(+) TCATAATGGGC >hg38_chr15:85471060-85471070(-) CCACAATGAGG >hg38_chr15:85471712-85471722(-) acacaatgtaa >hg38_chr15:85478690-85478700(-) GGACAAAGGAG >hg38_chr15:85482929-85482939(+) TAACAATGACC >hg38_chr15:85499971-85499981(-) AAACAATAAAA >hg38_chr15:85550804-85550814(-) TGACAAAGGAA >hg38_chr15:85550810-85550820(-) ACACAATGACA >hg38_chr15:85592162-85592172(-) CAACAATGCCC >hg38_chr15:85598759-85598769(-) AAACAATGGAA >hg38_chr15:85607251-85607261(-) TGACAAAGGAA >hg38_chr15:85608778-85608788(+) GAACAATAGAA >hg38_chr15:85610195-85610205(-) AAACAATGCTG >hg38_chr15:85610219-85610229(-) AAACAAAGGCA >hg38_chr15:85716825-85716835(-) ACACAATAGCA >hg38_chr15:85766714-85766724(+) ACACAATGACA >hg38_chr15:85786175-85786185(-) GGACAATGGGA >hg38_chr15:85786682-85786692(+) GGACAATAGGC >hg38_chr15:85788131-85788141(+) GAACAATGTTA >hg38_chr15:85788898-85788908(+) ggACAATGGAT >hg38_chr15:85788914-85788924(-) AAACCATGGCG >hg38_chr15:85793935-85793945(+) AGACAATGGGA >hg38_chr15:85794587-85794597(-) GGACAATGGTG >hg38_chr15:85800700-85800710(+) ACACAAAGGAG >hg38_chr15:85821830-85821840(+) TGACAATGTAC >hg38_chr15:85840488-85840498(-) AGACAATGCTA >hg38_chr15:85846259-85846269(+) tgacaatagtg >hg38_chr15:85846299-85846309(+) cgataatgtcg >hg38_chr15:85854471-85854481(-) AGACAATGGTT >hg38_chr15:85937057-85937067(+) AAACAAAGGAA >hg38_chr15:85937087-85937097(-) AGACAATGGTG >hg38_chr15:85967526-85967536(-) AGACAATGAAA >hg38_chr15:86089655-86089665(+) AAACAATGCAT >hg38_chr15:86110765-86110775(+) CAACAATGGTT >hg38_chr15:86169064-86169074(-) agacaatgagc >hg38_chr15:86169101-86169111(+) ggacaatgaac >hg38_chr15:86219707-86219717(+) AAACAGtggac >hg38_chr15:86221348-86221358(+) ATACAATGGGC >hg38_chr15:86300825-86300835(-) GCATAATGGCA >hg38_chr15:86300854-86300864(+) AGACAATAGCT >hg38_chr15:86426476-86426486(+) GGACAATGCTG >hg38_chr15:86504669-86504679(-) atataatggca >hg38_chr15:86504733-86504743(-) ctacaatatat >hg38_chr15:86553300-86553310(-) GGACAATGACT >hg38_chr15:86555332-86555342(-) ACACAATGAGC >hg38_chr15:86557361-86557371(+) ACACAAAGGCA >hg38_chr15:86590649-86590659(+) agacaatagat >hg38_chr15:86645976-86645986(-) AAACAATGCCT >hg38_chr15:86655272-86655282(-) GAACAATGGGA >hg38_chr15:86655308-86655318(+) ACACAATAGGA >hg38_chr15:86677491-86677501(-) TCACAATGGAT >hg38_chr15:86789238-86789248(-) TAACAATGGAG >hg38_chr15:86845384-86845394(-) aaacaatagag >hg38_chr15:86845833-86845843(-) gaacaatgggt >hg38_chr15:86866238-86866248(+) GGACAATGGGT >hg38_chr15:86866260-86866270(-) TGATAATGGTC >hg38_chr15:86872950-86872960(-) TGACAATAGGT >hg38_chr15:86876219-86876229(+) GAACAATGAGA >hg38_chr15:86892632-86892642(+) gaacaatggcc >hg38_chr15:86916870-86916880(+) GCACAATGATC >hg38_chr15:86940812-86940822(-) aaacaatagaa >hg38_chr15:86942932-86942942(-) GTACAATGTGC >hg38_chr15:86973637-86973647(-) TTACTATGGCT >hg38_chr15:86988236-86988246(+) agacaatgcca >hg38_chr15:87038979-87038989(+) gaacaaaggct >hg38_chr15:87072502-87072512(-) CAACAATGATT >hg38_chr15:87176584-87176594(+) acacaatggaa >hg38_chr15:87176657-87176667(-) gcacaatgtcc >hg38_chr15:87211979-87211989(+) GAATAATGGCA >hg38_chr15:87213667-87213677(+) GCACAATGGTT >hg38_chr15:87307356-87307366(-) ACACAATAAAA >hg38_chr15:87312872-87312882(+) TTACAATGCAT >hg38_chr15:87318983-87318993(-) GGACAATGGAA >hg38_chr15:87365469-87365479(-) ACACAATGAGC >hg38_chr15:87369623-87369633(+) taacaatagac >hg38_chr15:87414250-87414260(-) gtacaATAGTC >hg38_chr15:87519818-87519828(-) GAACAATAGTG >hg38_chr15:87519850-87519860(-) GAACAATAGTG >hg38_chr15:87545682-87545692(+) ggacaatgaaa >hg38_chr15:87572527-87572537(+) AAACAATGTCT >hg38_chr15:87580956-87580966(+) CCACAATGGCC >hg38_chr15:87580980-87580990(+) CCACAATGGAT >hg38_chr15:87752557-87752567(-) TAACAATGTCT >hg38_chr15:87793728-87793738(+) ATACAATAGTC >hg38_chr15:87793760-87793770(+) TAACAATACAT >hg38_chr15:87800314-87800324(-) agacaaaggtt >hg38_chr15:87961965-87961975(-) GGACAATGGAT >hg38_chr15:88029642-88029652(+) TCACAATGTGA >hg38_chr15:88036320-88036330(+) agacaatggaa >hg38_chr15:88036350-88036360(-) taacaatagtg >hg38_chr15:88051499-88051509(+) TCACAATGAGG >hg38_chr15:88090651-88090661(+) TCACAATGCCA >hg38_chr15:88208615-88208625(+) gcacaatgcct >hg38_chr15:88258418-88258428(-) CCATAATGGCG >hg38_chr15:88301381-88301391(+) ACACAATGAGG >hg38_chr15:88467389-88467399(+) CCACAATGCAC >hg38_chr15:88484874-88484884(-) GCACTATGGAA >hg38_chr15:88590636-88590646(+) acacaataaaa >hg38_chr15:88598108-88598118(-) atacaataata >hg38_chr15:88603187-88603197(+) TAATAATGGGT >hg38_chr15:88609459-88609469(+) TTACCATGGTA >hg38_chr15:88609460-88609470(-) TTACCATGGTA >hg38_chr15:88609541-88609551(-) TGACAATGATC >hg38_chr15:88639238-88639248(+) AAACAAAGGGC >hg38_chr15:88649525-88649535(-) GAACAATGTGG >hg38_chr15:88649540-88649550(+) GCACAAAGGAT >hg38_chr15:88659667-88659677(-) CAACAATGGGC >hg38_chr15:88699465-88699475(+) TTACAATGGAC >hg38_chr15:88948235-88948245(-) aaacaatggat >hg38_chr15:89034495-89034505(-) caacaatgcac >hg38_chr15:89162217-89162227(+) tcacaacggaa >hg38_chr15:89162265-89162275(-) agacaatgggg >hg38_chr15:89163004-89163014(-) GCACAATGGTT >hg38_chr15:89167708-89167718(-) ATACAATAGCT >hg38_chr15:89187845-89187855(-) TGACAATGTCC >hg38_chr15:89202368-89202378(-) ATACAAAGGAC >hg38_chr15:89221780-89221790(-) ACACAAAGGAG >hg38_chr15:89271810-89271820(-) acacaatggaa >hg38_chr15:89272108-89272118(-) agacaataaca >hg38_chr15:89346651-89346661(+) AGATAATGGCA >hg38_chr15:89360474-89360484(+) GGACAATGGAG >hg38_chr15:89367543-89367553(-) TTACAATGGCC >hg38_chr15:89377027-89377037(+) ggacaatagta >hg38_chr15:89381594-89381604(+) TTACAAAGGGA >hg38_chr15:89388915-89388925(-) TGACAATGGTG >hg38_chr15:89389174-89389184(-) ACACAATGAGC >hg38_chr15:89389881-89389891(-) GGACAATGCTG >hg38_chr15:89395077-89395087(+) tgacaatggag >hg38_chr15:89400080-89400090(-) GAACAAAGGCG >hg38_chr15:89408160-89408170(+) CGACAATAGGC >hg38_chr15:89421395-89421405(+) GAACAATGGTC >hg38_chr15:89430707-89430717(-) TCACAATGGGA >hg38_chr15:89433895-89433905(-) GGACAATGCCC >hg38_chr15:89444899-89444909(-) TTACAATGATT >hg38_chr15:89446610-89446620(-) tgacaatgcaa >hg38_chr15:89532278-89532288(+) GAACAATGGAG >hg38_chr15:89534087-89534097(-) caacaataggt >hg38_chr15:89556702-89556712(-) AGACAAAGGAC >hg38_chr15:89611008-89611018(-) acacaatgtat >hg38_chr15:89612902-89612912(-) atacaatgtat >hg38_chr15:89680261-89680271(+) AGACAATAGGA >hg38_chr15:89740305-89740315(-) CTACAATGCAG >hg38_chr15:89760776-89760786(+) ACACAATGCCA >hg38_chr15:89850328-89850338(-) AAACAATGTAT >hg38_chr15:89913292-89913302(-) GCATAATGGAC >hg38_chr15:89913316-89913326(+) CTACAATGGCT >hg38_chr15:89982488-89982498(-) aaataatggca >hg38_chr15:89999783-89999793(+) AGACAATGGGG >hg38_chr15:90000003-90000013(-) GAACAATGCAA >hg38_chr15:90036597-90036607(-) AAATAATGGAG >hg38_chr15:90062111-90062121(-) AAACAAAGGCG >hg38_chr15:90071375-90071385(+) GTACAATGTGC >hg38_chr15:90101350-90101360(-) GAACAATGTAG >hg38_chr15:90106874-90106884(-) taataatggct >hg38_chr15:90161279-90161289(+) GAACAAAGGCT >hg38_chr15:90162294-90162304(-) ggacaaaggga >hg38_chr15:90268637-90268647(-) aaaCAAAGGGT >hg38_chr15:90310267-90310277(+) gcacaaaggga >hg38_chr15:90320665-90320675(+) TGACAATAGAG >hg38_chr15:90405339-90405349(-) TTACAATATAA >hg38_chr15:90408208-90408218(-) TAACAATATCC >hg38_chr15:90414576-90414586(-) acacaatggca >hg38_chr15:90415708-90415718(-) TTACAAAGGTA >hg38_chr15:90415738-90415748(-) GGACAATGGTG >hg38_chr15:90431585-90431595(-) GGACAATATAC >hg38_chr15:90488789-90488799(+) GAACAAAGGTA >hg38_chr15:90488797-90488807(+) GTACAAAGGAG >hg38_chr15:90490440-90490450(+) AGACAATGGAC >hg38_chr15:90495149-90495159(+) TAACAATAAGA >hg38_chr15:90502258-90502268(-) TAACAATGAAA >hg38_chr15:90577179-90577189(-) ttataatggta >hg38_chr15:90630347-90630357(-) GAACAATAAAA >hg38_chr15:90702069-90702079(+) aaacaaTAGGA >hg38_chr15:90788055-90788065(+) agacaatagaa >hg38_chr15:90883710-90883720(-) ACACAATAGGC >hg38_chr15:90886312-90886322(+) ctacaatgaca >hg38_chr15:90932257-90932267(+) cgacaaaggga >hg38_chr15:91056084-91056094(+) GTACAATGCCC >hg38_chr15:91174349-91174359(-) TCACAATGGTA >hg38_chr15:91220377-91220387(-) gcacaatgcac >hg38_chr15:91237592-91237602(-) gtacaatagtg >hg38_chr15:91238783-91238793(-) ACACAAAGGAT >hg38_chr15:91269429-91269439(+) ATACAGTGGCA >hg38_chr15:91271350-91271360(+) TGACAATGAAA >hg38_chr15:91271365-91271375(+) TAATAATGGTA >hg38_chr15:91273826-91273836(-) tgacaatggtg >hg38_chr15:91274842-91274852(-) atataatggtt >hg38_chr15:91280257-91280267(-) CTACAATGGGC >hg38_chr15:91313297-91313307(-) gtataatggct >hg38_chr15:91346339-91346349(+) tgacaatgtgt >hg38_chr15:91377043-91377053(-) gcacaatggga >hg38_chr15:91397803-91397813(-) taacaattgta >hg38_chr15:91446101-91446111(-) TTACAGTGGAC >hg38_chr15:91488665-91488675(-) ACACAATGAGG >hg38_chr15:91499917-91499927(+) gaacaatggtt >hg38_chr15:91528479-91528489(-) gaacaatgact >hg38_chr15:91559285-91559295(+) AAACAAAGGAC >hg38_chr15:91572394-91572404(-) taacaaaggaa >hg38_chr15:91592891-91592901(-) ctacaatgtca >hg38_chr15:91603839-91603849(+) AAACAATAGCT >hg38_chr15:91622892-91622902(-) AAACAATGAGA >hg38_chr15:91652400-91652410(-) ACACAATGTCC >hg38_chr15:91696496-91696506(+) TTACTATGGTG >hg38_chr15:91702369-91702379(+) taacaatatct >hg38_chr15:91706668-91706678(-) aaacaaaggag >hg38_chr15:91712404-91712414(-) GCACAATAGAA >hg38_chr15:91721166-91721176(-) ACACAATGACT >hg38_chr15:91721223-91721233(+) TCACAATGCTT >hg38_chr15:91746423-91746433(+) ATACAATGAAA >hg38_chr15:91752652-91752662(+) TTACAATAGGG >hg38_chr15:91824695-91824705(-) ACACAATGAGA >hg38_chr15:91839227-91839237(-) GGACAatgggt >hg38_chr15:91846003-91846013(+) ATACAATAAAA >hg38_chr15:91865915-91865925(+) AAACAAAGGCA >hg38_chr15:91870660-91870670(-) agacaatgtaa >hg38_chr15:91901072-91901082(-) aaacaaaggaa >hg38_chr15:91901086-91901096(-) gtacaataaag >hg38_chr15:91927062-91927072(-) GTACAGTGGCT >hg38_chr15:91927776-91927786(+) TTACAAAGGGA >hg38_chr15:91948158-91948168(-) TAACAAAGGGC >hg38_chr15:91948172-91948182(-) AAACAAAGGGC >hg38_chr15:92031273-92031283(+) AAACAATAGCA >hg38_chr15:92044086-92044096(-) GTATAATGGTC >hg38_chr15:92097688-92097698(-) TGACAATGCAC >hg38_chr15:92130371-92130381(-) AGACAATGTGA >hg38_chr15:92146507-92146517(-) GAACAAAGGCT >hg38_chr15:92164920-92164930(-) GAACAAAGGTC >hg38_chr15:92344096-92344106(-) AGACAATGAGA >hg38_chr15:92395295-92395305(-) GGACAAAGGAG >hg38_chr15:92422217-92422227(+) GGACAATAGCC >hg38_chr15:92430033-92430043(-) AGACAAAGGAA >hg38_chr15:92469636-92469646(+) CAACAATGGGA >hg38_chr15:92519180-92519190(+) GAACAAAGGAT >hg38_chr15:92519187-92519197(+) GGATAATGGCT >hg38_chr15:92519938-92519948(+) GAACAAAGGAG >hg38_chr15:92593655-92593665(-) GAACAATGGGT >hg38_chr15:92610429-92610439(+) AAACAATGACG >hg38_chr15:92624581-92624591(-) AAACAAAGGGC >hg38_chr15:92624624-92624634(+) TTACAGTGGAA >hg38_chr15:92706063-92706073(-) AAACAATGCAT >hg38_chr15:92706070-92706080(-) AGACAATAAAC >hg38_chr15:92780963-92780973(+) CAACAATGATG >hg38_chr15:92804151-92804161(-) AAACAAAGGAG >hg38_chr15:92804227-92804237(+) GGACAATGAAA >hg38_chr15:92810801-92810811(-) ACACAAAGGAG >hg38_chr15:92810844-92810854(-) AAACGATGGAC >hg38_chr15:92819880-92819890(+) GGACAAAGGAA >hg38_chr15:92881878-92881888(+) GGACACTGGAC >hg38_chr15:92885785-92885795(-) TTACAATGGCT >hg38_chr15:92887048-92887058(+) TAATAATGGGT >hg38_chr15:92896379-92896389(+) TAACAATAGAG >hg38_chr15:92898973-92898983(-) TAACCATGGAT >hg38_chr15:92904204-92904214(-) GCACAAAGGAA >hg38_chr15:92920078-92920088(-) TAACACTGGTT >hg38_chr15:92943703-92943713(+) TAATAATGGTA >hg38_chr15:92958200-92958210(-) gcacaatggta >hg38_chr15:92960236-92960246(-) gaacaatatac >hg38_chr15:92962618-92962628(-) aaacaaaggca >hg38_chr15:92962907-92962917(-) aaacaataaat >hg38_chr15:92984640-92984650(+) TAACAAAGGAA >hg38_chr15:92985093-92985103(+) ttacaatgtgc >hg38_chr15:92985153-92985163(-) agacaatagta >hg38_chr15:92986466-92986476(+) ttactatggaa >hg38_chr15:93028937-93028947(+) TTACAATAGCA >hg38_chr15:93037685-93037695(-) GAACAAAGACG >hg38_chr15:93089675-93089685(-) GAACAAAGGTG >hg38_chr15:93152754-93152764(+) atacaATAGGT >hg38_chr15:93173664-93173674(-) AGACAATAGCT >hg38_chr15:93200464-93200474(+) CAACAATAGAG >hg38_chr15:93209916-93209926(-) CCACAATGATG >hg38_chr15:93271230-93271240(+) TCACAATGGGT >hg38_chr15:93274332-93274342(+) caacaatgggc >hg38_chr15:93289109-93289119(+) TTACAAAGGCC >hg38_chr15:93302661-93302671(-) GGACAATGGCC >hg38_chr15:93313880-93313890(-) ACACAAAGGTT >hg38_chr15:93328447-93328457(+) ggacaaaggac >hg38_chr15:93358377-93358387(-) agacaatgctc >hg38_chr15:93358833-93358843(-) AAACAATGTCA >hg38_chr15:93361719-93361729(-) aaacaatacgc >hg38_chr15:93381606-93381616(-) TAACAATAACC >hg38_chr15:93451598-93451608(-) aaataatggaa >hg38_chr15:93466627-93466637(-) AAACAATAGGT >hg38_chr15:93470092-93470102(-) agacaacggta >hg38_chr15:93488762-93488772(-) ATACAATAAGT >hg38_chr15:93491204-93491214(-) AGACAATGGAA >hg38_chr15:93499596-93499606(+) GCACAATGTAG >hg38_chr15:93562495-93562505(-) TCACAATGAAA >hg38_chr15:93663244-93663254(+) TGACAAAGGAC >hg38_chr15:93663283-93663293(+) CTACAATAATA >hg38_chr15:93764015-93764025(-) CAACAAAGGCG >hg38_chr15:93771857-93771867(-) CCACAATGCAA >hg38_chr15:93779469-93779479(-) gaacaatgggg >hg38_chr15:93801078-93801088(+) TAACAATAGCC >hg38_chr15:93831085-93831095(-) caacaatgtaa >hg38_chr15:93835503-93835513(+) AGACAATGCAG >hg38_chr15:93849695-93849705(-) TGACAATAGTA >hg38_chr15:93849713-93849723(+) AGACAATGGCT >hg38_chr15:93859421-93859431(-) atacaaaggtg >hg38_chr15:93859437-93859447(-) caacaatggac >hg38_chr15:93859452-93859462(-) taacaatgttg >hg38_chr15:93925516-93925526(-) CAACAATGAAA >hg38_chr15:93965082-93965092(-) gcataatggtt >hg38_chr15:93971518-93971528(+) TAAGAATGGTA >hg38_chr15:93982650-93982660(-) AAACAATAGGC >hg38_chr15:94026929-94026939(+) AAACAAAGGGG >hg38_chr15:94084092-94084102(-) AAACAATGTTC >hg38_chr15:94094497-94094507(+) AAACAATGGCA >hg38_chr15:94106221-94106231(+) CAACAATGAAA >hg38_chr15:94106266-94106276(+) GAACAATGGAG >hg38_chr15:94120182-94120192(+) TGACTATGGAA >hg38_chr15:94125777-94125787(-) TCATAATGGAT >hg38_chr15:94131787-94131797(-) caacaatagta >hg38_chr15:94143611-94143621(-) atacaatgtta >hg38_chr15:94143640-94143650(-) taacaataaag >hg38_chr15:94143646-94143656(-) aaacaataaca >hg38_chr15:94170217-94170227(-) TAACAATGACT >hg38_chr15:94191905-94191915(+) ttactatggga >hg38_chr15:94191951-94191961(-) gaacaatgttg >hg38_chr15:94229231-94229241(-) AGACAATGTAT >hg38_chr15:94229298-94229308(+) TGACAATAGGG >hg38_chr15:94230210-94230220(-) Caacaatagct >hg38_chr15:94263240-94263250(-) ACACAATGCCC >hg38_chr15:94263276-94263286(-) gaacaatgaga >hg38_chr15:94279221-94279231(+) taacaatagtg >hg38_chr15:94281335-94281345(-) taacaataatc >hg38_chr15:94288060-94288070(+) aaataatgggt >hg38_chr15:94323162-94323172(-) TAACAATGGTG >hg38_chr15:94323212-94323222(+) GAACAATGGGC >hg38_chr15:94324305-94324315(+) AAACAATAGCC >hg38_chr15:94327465-94327475(-) ATACAATAAAA >hg38_chr15:94335578-94335588(-) taacaatgaaa >hg38_chr15:94337141-94337151(+) TCACAATGTGC >hg38_chr15:94347573-94347583(+) ATACAATGAGA >hg38_chr15:94347973-94347983(+) CTACAATGGCT >hg38_chr15:94402003-94402013(+) GTACAATAGCA >hg38_chr15:94411400-94411410(-) AGACAATGGCG >hg38_chr15:94415132-94415142(-) AGACAATAGAT >hg38_chr15:94446509-94446519(-) ACACAATAGTT >hg38_chr15:94446556-94446566(+) AGACAATAATA >hg38_chr15:94460844-94460854(+) TTACAATGACC >hg38_chr15:94515891-94515901(-) aaacaatagct >hg38_chr15:94520350-94520360(-) TAACAATGCAT >hg38_chr15:94546967-94546977(-) GTATAATGGCT >hg38_chr15:94547915-94547925(+) TAACCATGGCG >hg38_chr15:94561075-94561085(-) GGACAATGAGT >hg38_chr15:94587360-94587370(-) aaacaataaca >hg38_chr15:94587404-94587414(+) TAACAATATCC >hg38_chr15:94670573-94670583(-) TGACAATAGGG >hg38_chr15:94672050-94672060(-) AAACAATGGCT >hg38_chr15:94723945-94723955(-) ACACAATCGTC >hg38_chr15:94740699-94740709(+) taacaatgcat >hg38_chr15:94744273-94744283(+) TAACAATGGCC >hg38_chr15:94792163-94792173(+) GAACAGTGGGA >hg38_chr15:94792202-94792212(-) AGATAATGGTC >hg38_chr15:94804109-94804119(-) TCACAATGGAT >hg38_chr15:94844949-94844959(+) AGACAATGGGC >hg38_chr15:94845296-94845306(-) AAACAATAGGC >hg38_chr15:94987475-94987485(+) taacaatacta >hg38_chr15:95017518-95017528(+) GCACAATGCTG >hg38_chr15:95018144-95018154(+) TAACAATGGCT >hg38_chr15:95036059-95036069(-) TAACAATAAAA >hg38_chr15:95037063-95037073(+) GAACAATGGTA >hg38_chr15:95037096-95037106(+) AAACAATGAGC >hg38_chr15:95064862-95064872(+) acacaatgcag >hg38_chr15:95174461-95174471(-) GGACAATGAGG >hg38_chr15:95197140-95197150(-) TGACAATGTGT >hg38_chr15:95247230-95247240(+) taacaataagt >hg38_chr15:95247245-95247255(-) GAACAATaagt >hg38_chr15:95252535-95252545(+) GCACAATGTGG >hg38_chr15:95252778-95252788(-) GGACAATGAGT >hg38_chr15:95284711-95284721(+) TGATAATGGAA >hg38_chr15:95292013-95292023(+) TTACAATGATG >hg38_chr15:95293018-95293028(-) ACACAATAGTG >hg38_chr15:95296095-95296105(+) CGACAAAGGAA >hg38_chr15:95327815-95327825(-) ACACAATAGCT >hg38_chr15:95374208-95374218(-) TTACAATGGAT >hg38_chr15:95374255-95374265(+) TTACAAAGGTT >hg38_chr15:95435162-95435172(-) CAACAATGGTT >hg38_chr15:95520729-95520739(-) GCACAATGCCC >hg38_chr15:95583695-95583705(+) TTACAATGTCA >hg38_chr15:95608705-95608715(-) TTACAATGGAA >hg38_chr15:95627263-95627273(-) AAACAATCGGT >hg38_chr15:95667937-95667947(-) GTACAGTGGTG >hg38_chr15:95715626-95715636(-) GGACAATGGCT >hg38_chr15:95737252-95737262(+) AGACAATGACC >hg38_chr15:95761953-95761963(+) TGACAATGTGG >hg38_chr15:95761976-95761986(+) CGACAATGACA >hg38_chr15:95791253-95791263(-) TTACAAAGGCG >hg38_chr15:95791285-95791295(-) GCACAAAGGTC >hg38_chr15:95791294-95791304(+) GCACAATGTGG >hg38_chr15:95830022-95830032(-) GAACAATGGAC >hg38_chr15:95830073-95830083(+) TCACAATGATG >hg38_chr15:95839383-95839393(+) AGACAATGAGA >hg38_chr15:95853830-95853840(-) gcacaatagtt >hg38_chr15:95875154-95875164(-) TTACAACGGGA >hg38_chr15:95944219-95944229(-) TGACAATAGCC >hg38_chr15:95944231-95944241(-) TTACAATAATA >hg38_chr15:95953604-95953614(+) GCACAACGGTA >hg38_chr15:95997365-95997375(+) GCACAATAGGA >hg38_chr15:96026406-96026416(-) GAACAATGGTC >hg38_chr15:96026446-96026456(-) AAACAATGCAC >hg38_chr15:96036745-96036755(+) TTACAGTGGAA >hg38_chr15:96053368-96053378(-) CGACAATGGAT >hg38_chr15:96087325-96087335(-) TAACAATAGGA >hg38_chr15:96127064-96127074(-) ACACAATGCAT >hg38_chr15:96166863-96166873(-) ACACAAAGGAA >hg38_chr15:96177201-96177211(-) TTACAAAGGCA >hg38_chr15:96183179-96183189(-) TAACAATACAA >hg38_chr15:96230618-96230628(+) Taacaatagct >hg38_chr15:96254389-96254399(+) TCACAATGGGT >hg38_chr15:96265216-96265226(+) GTACAATACAT >hg38_chr15:96293931-96293941(-) TAACAATATGA >hg38_chr15:96318163-96318173(+) TAACAATAGAC >hg38_chr15:96318198-96318208(-) GTACAAAGATA >hg38_chr15:96318845-96318855(+) TCACAATAGTA >hg38_chr15:96320239-96320249(+) CAACAATGGTG >hg38_chr15:96327588-96327598(+) ACACAATGGAA >hg38_chr15:96329200-96329210(+) GTACAAAGGAG >hg38_chr15:96329551-96329561(+) GAACAATGAAG >hg38_chr15:96338532-96338542(-) ATACAAAGGGT >hg38_chr15:96339593-96339603(-) GGACAAAGGAT >hg38_chr15:96340773-96340783(+) CAACAATAGTG >hg38_chr15:96349381-96349391(+) GGACAATGTGT >hg38_chr15:96350017-96350027(-) TAACAATGTAT >hg38_chr15:96350041-96350051(+) AGACAATGCCC >hg38_chr15:96355580-96355590(-) AGATAATGGGA >hg38_chr15:96361274-96361284(+) GGACAATGCCT >hg38_chr15:96394475-96394485(-) atacaatagca >hg38_chr15:96412374-96412384(-) AGACAATGGCT >hg38_chr15:96413328-96413338(-) TTACAAAGGGT >hg38_chr15:96432176-96432186(-) CAACAATACGA >hg38_chr15:96455424-96455434(-) TTACTATGGTA >hg38_chr15:96455656-96455666(-) GAACAATGACA >hg38_chr15:96477729-96477739(-) atacaatggaa >hg38_chr15:96528030-96528040(+) GTACAATAATA >hg38_chr15:96528055-96528065(+) GAACAATGGGA >hg38_chr15:96528065-96528075(+) AAACAATGTTT >hg38_chr15:96528795-96528805(+) CAACAATGAGG >hg38_chr15:96537360-96537370(-) ATACAATGATC >hg38_chr15:96593932-96593942(+) GGACAAAGGAC >hg38_chr15:96611735-96611745(-) TAACAATATCC >hg38_chr15:96704318-96704328(+) AAACAAAGGGA >hg38_chr15:96707732-96707742(+) CGACACTGGCT >hg38_chr15:96804944-96804954(+) TAACACTGGAC >hg38_chr15:96804951-96804961(+) GGACAATAAAT >hg38_chr15:96807592-96807602(-) CCACAATGGCT >hg38_chr15:96870594-96870604(-) GCACAATGGTA >hg38_chr15:96878153-96878163(-) TAACAATGCCA >hg38_chr15:96891374-96891384(-) GGACAATGACC >hg38_chr15:96933886-96933896(+) GGACAATGGAC >hg38_chr15:96966911-96966921(-) AGACAAAGGCA >hg38_chr15:96966939-96966949(-) GGACAATAAAA >hg38_chr15:96999522-96999532(+) AGACAAAGGTT >hg38_chr15:97019426-97019436(-) TGACAAAGGAC >hg38_chr15:97023380-97023390(+) gcataatggaa >hg38_chr15:97046022-97046032(+) agacaaaggaa >hg38_chr15:97083198-97083208(-) AAACAATCGGC >hg38_chr15:97090542-97090552(-) Gtacaataaag >hg38_chr15:97090559-97090569(-) aaacaaTGACA >hg38_chr15:97197467-97197477(-) GTACAATATAA >hg38_chr15:97241864-97241874(+) ttacaataaac >hg38_chr15:97253766-97253776(-) Caacaatgcct >hg38_chr15:97253814-97253824(-) ACACAAAGGAG >hg38_chr15:97288627-97288637(-) CCACAATGGGG >hg38_chr15:97288671-97288681(+) TCACAATAGTG >hg38_chr15:97314720-97314730(-) TGACAATGGTA >hg38_chr15:97561816-97561826(+) ACACAATGGGT >hg38_chr15:97566976-97566986(+) TTATAATGGCA >hg38_chr15:97749031-97749041(-) AAACAATAGGC >hg38_chr15:97755202-97755212(+) GAACAATAGAT >hg38_chr15:97844991-97845001(-) GGATAATGGCA >hg38_chr15:97926864-97926874(+) taataatggca >hg38_chr15:97960332-97960342(+) TAACTATGGGA >hg38_chr15:97970869-97970879(+) atacagtggta >hg38_chr15:97970914-97970924(-) ggacaatagta >hg38_chr15:97972915-97972925(-) TTACAATGAAA >hg38_chr15:97972942-97972952(+) CCACAATGCAA >hg38_chr15:97982561-97982571(+) TGACAATGGAT >hg38_chr15:98004363-98004373(+) TAACAATATCT >hg38_chr15:98169176-98169186(+) TAACAATAATG >hg38_chr15:98169240-98169250(+) AGACAATGAAA >hg38_chr15:98173540-98173550(-) AGACAATAGGG >hg38_chr15:98256615-98256625(-) gcacaATGAAT >hg38_chr15:98256667-98256677(+) tcacaatgacc >hg38_chr15:98257189-98257199(+) GAATAATGGTT >hg38_chr15:98380454-98380464(+) ctacaatggtg >hg38_chr15:98380471-98380481(-) gtacaatgaca >hg38_chr15:98398362-98398372(+) AGACAATAAAA >hg38_chr15:98545553-98545563(-) ggacaatggtt >hg38_chr15:98645578-98645588(+) tcacaatatac >hg38_chr15:98648031-98648041(+) AAACAATGAAG >hg38_chr15:98651444-98651454(+) GGACAAAGGAG >hg38_chr15:98653781-98653791(-) CAACAATAGGA >hg38_chr15:98671421-98671431(-) TTACAATGCTG >hg38_chr15:98689552-98689562(-) CAACAATGGCA >hg38_chr15:98694652-98694662(-) GAACAATATAA >hg38_chr15:98719700-98719710(-) TCACAATGAGC >hg38_chr15:98720297-98720307(-) AGACAATGGTG >hg38_chr15:98723273-98723283(+) GAACAAAGGAA >hg38_chr15:98729494-98729504(+) GGACAATAGGG >hg38_chr15:98729526-98729536(-) GTACAATGAAG >hg38_chr15:98743500-98743510(-) ATACAATGTTC >hg38_chr15:98785914-98785924(+) GCACAATGTGG >hg38_chr15:98792317-98792327(-) TAATAATGGTT >hg38_chr15:98800710-98800720(-) AGACAATCGCT >hg38_chr15:98801338-98801348(+) TGACAATGCTG >hg38_chr15:98801396-98801406(-) AGACAATGCCC >hg38_chr15:98813227-98813237(+) gtacaatgctc >hg38_chr15:98823092-98823102(-) CCACAATGGTG >hg38_chr15:98823157-98823167(+) ACACAAAGGAC >hg38_chr15:98827174-98827184(+) TGACAATGAAG >hg38_chr15:98850082-98850092(-) ctacaatgaac >hg38_chr15:98853295-98853305(+) GTACAATGTGG >hg38_chr15:98863338-98863348(-) tgataatggag >hg38_chr15:98889999-98890009(-) TAACAATGCTG >hg38_chr15:98890923-98890933(+) GCACAATGCCT >hg38_chr15:98903717-98903727(+) gcacaaaggac >hg38_chr15:99059305-99059315(-) GAACAATGTGC >hg38_chr15:99081134-99081144(+) agacaatgtgg >hg38_chr15:99082149-99082159(-) ggacaatgatg >hg38_chr15:99124090-99124100(+) CCACAATAGCC >hg38_chr15:99135290-99135300(-) TCACAATGTCA >hg38_chr15:99135298-99135308(-) TCACAATGTCA >hg38_chr15:99143412-99143422(-) TTACAATGCCT >hg38_chr15:99188801-99188811(+) tgacaatgtac >hg38_chr15:99189592-99189602(+) gtacaatatcc >hg38_chr15:99189600-99189610(-) ctacaaaggga >hg38_chr15:99189847-99189857(+) ggacaatggac >hg38_chr15:99218983-99218993(+) GCACAATGGAG >hg38_chr15:99252299-99252309(-) CAACAATGCTC >hg38_chr15:99295555-99295565(-) TCACAAAGGTA >hg38_chr15:99306753-99306763(-) AGACAATAGGC >hg38_chr15:99324741-99324751(+) AAACAATGCAA >hg38_chr15:99331080-99331090(-) CAACAATGTGT >hg38_chr15:99331098-99331108(+) TTACAATAACG >hg38_chr15:99340598-99340608(+) GAACTATGGCC >hg38_chr15:99340613-99340623(-) AAATAATGACG >hg38_chr15:99350512-99350522(-) ATACAATACGA >hg38_chr15:99390810-99390820(+) atacaatgaag >hg38_chr15:99527061-99527071(-) taacaatatgt >hg38_chr15:99567843-99567853(+) TGACAATAGAA >hg38_chr15:99567877-99567887(-) CAACAATGCTC >hg38_chr15:99567898-99567908(+) TGATAATGGTG >hg38_chr15:99567909-99567919(+) TTACAATAATC >hg38_chr15:99628163-99628173(-) aaacaataaaa >hg38_chr15:99628750-99628760(-) aaacaataata >hg38_chr15:99640175-99640185(-) caacaatgaga >hg38_chr15:99645719-99645729(+) ATATAATGAAC >hg38_chr15:99646154-99646164(+) AAACAATGACA >hg38_chr15:99672301-99672311(+) CAACAATGTTC >hg38_chr15:99679121-99679131(+) atacactggta >hg38_chr15:99701008-99701018(+) gtacaatggta >hg38_chr15:99703469-99703479(-) TAACAAAGGGA >hg38_chr15:99704160-99704170(+) GGACAAAGGTT >hg38_chr15:99705473-99705483(+) tgacaatgaag >hg38_chr15:99756022-99756032(+) taacaatgtag >hg38_chr15:99777245-99777255(-) acacaatggta >hg38_chr15:99777931-99777941(+) ATACAATAGTG >hg38_chr15:99817831-99817841(+) gCACAATGTTG >hg38_chr15:99871295-99871305(-) GAACAATAGCT >hg38_chr15:99922941-99922951(-) aaacaatggac >hg38_chr15:100002258-100002268(-) GCACAATAGCG >hg38_chr15:100059647-100059657(-) CCACAATGAAC >hg38_chr15:100059666-100059676(+) GAACAATGACT >hg38_chr15:100059702-100059712(+) AGACAATGCAG >hg38_chr15:100114285-100114295(+) TCATAATGGGC >hg38_chr15:100195597-100195607(-) AAACAATGGGC >hg38_chr15:100496130-100496140(-) ctacaatagaa >hg38_chr15:100529575-100529585(-) CAACAATGTTT >hg38_chr15:100561435-100561445(-) GTACAATACAT >hg38_chr15:100672073-100672083(-) ttacaatggac >hg38_chr15:100697150-100697160(+) aaacaataaca >hg38_chr15:100713589-100713599(+) CTACAATGGTG >hg38_chr15:100721092-100721102(-) GAACAATGGTG >hg38_chr15:100721099-100721109(-) CAACAATGAAC >hg38_chr15:100721980-100721990(+) ATACAATGAGT >hg38_chr15:100749217-100749227(+) gaacaaaggga >hg38_chr15:100757245-100757255(-) aaacaaaggcc >hg38_chr15:100827906-100827916(-) TAACAATAAAA >hg38_chr15:100833992-100834002(+) AGACAATAACA >hg38_chr15:100950392-100950402(+) taataatggta >hg38_chr15:100992309-100992319(-) agacaatgtga >hg38_chr15:101011729-101011739(-) AAATAATGGAA >hg38_chr15:101011744-101011754(+) GGACAATGGGC >hg38_chr15:101035361-101035371(-) taacaatatca >hg38_chr15:101091776-101091786(-) gcacaatgggg >hg38_chr15:101092278-101092288(-) TGACAATGAGA >hg38_chr15:101228958-101228968(+) gcacaaaggga >hg38_chr15:101232657-101232667(+) gtacaataaaa >hg38_chr15:101243096-101243106(+) CAACAATGGCC >hg38_chr15:101253042-101253052(-) CAACAATGAAG >hg38_chr15:101256943-101256953(+) acacaaaggcg >hg38_chr15:101256970-101256980(-) gcacaatagtt >hg38_chr15:101258309-101258319(+) CGACAATGGCA >hg38_chr15:101275073-101275083(+) TAACAATCGTG >hg38_chr15:101437829-101437839(-) taacaATAGGT >hg38_chr15:101441767-101441777(-) TTACAATGAAA >hg38_chr15:101488432-101488442(-) GCACAAAGGCG >hg38_chr15:101642085-101642095(+) TAACAATAAAA >hg38_chr15:101685263-101685273(+) gtacaatatgt >hg38_chr15:101718609-101718619(+) agacaatagag >hg38_chr15:101723368-101723378(-) GCACAATATAT >hg38_chr15:101769167-101769177(-) GTACAATGCAG >hg38_chr15:101805152-101805162(+) TTACAATGACC >hg38_chr15:101825015-101825025(-) AGACAATAAAC >hg38_chr15:101830628-101830638(-) gaacaatagtg >hg38_chr16:202656-202666(+) tcacaatgatg >hg38_chr16:248022-248032(-) AAACAATGCAG >hg38_chr16:390314-390324(+) aaacaatgggg >hg38_chr16:396257-396267(+) tcacaatagca >hg38_chr16:718129-718139(+) GCACAATGGGG >hg38_chr16:718167-718177(-) TGACAATGGGG >hg38_chr16:970954-970964(-) CAACAATGGCG >hg38_chr16:972735-972745(+) GCACAATGGGG >hg38_chr16:972743-972753(-) GCACAATGCCC >hg38_chr16:1049055-1049065(-) ACACAATGGAG >hg38_chr16:1681184-1681194(-) CAACAATGGCG >hg38_chr16:1703460-1703470(-) GAACAATGCTA >hg38_chr16:1772244-1772254(-) AGACAAAGGAA >hg38_chr16:1827252-1827262(-) GGACAATGGCC >hg38_chr16:1846327-1846337(+) TGACAATAGCG >hg38_chr16:1857791-1857801(+) CAACAATGTCA >hg38_chr16:1860803-1860813(-) GGACAATGTAT >hg38_chr16:1860821-1860831(+) TAACAATACAA >hg38_chr16:1861408-1861418(+) TAACAATAAAA >hg38_chr16:2161770-2161780(+) GCACAATGGCA >hg38_chr16:2318862-2318872(+) GCACAATGGTC >hg38_chr16:2350624-2350634(+) gtacaatgtat >hg38_chr16:2352418-2352428(+) acacaatggct >hg38_chr16:2383623-2383633(-) gaacaatggaa >hg38_chr16:2459171-2459181(-) GCACAAAGGGA >hg38_chr16:2459217-2459227(+) cgactatggaa >hg38_chr16:2597963-2597973(+) ACACAAAGGAA >hg38_chr16:2835914-2835924(-) CGACAATGGTG >hg38_chr16:2899065-2899075(+) AGACAGTGGAT >hg38_chr16:2969986-2969996(-) GGACAATGGCA >hg38_chr16:2977633-2977643(+) aaacaaaggtt >hg38_chr16:2977671-2977681(+) gaataatggca >hg38_chr16:3021824-3021834(+) TGACAATGTGC >hg38_chr16:3038422-3038432(+) aaacaatagcc >hg38_chr16:3064134-3064144(+) ATATAATGGGA >hg38_chr16:3102243-3102253(-) CCACAATAGTC >hg38_chr16:3102276-3102286(+) TAACAATAGGC >hg38_chr16:3203152-3203162(-) CGACACTGACG >hg38_chr16:3456570-3456580(-) GCACAATGTTG >hg38_chr16:3457568-3457578(-) CGACACTGGAT >hg38_chr16:3541977-3541987(+) ATACAATAGAA >hg38_chr16:3621722-3621732(-) atacaatgaag >hg38_chr16:3628027-3628037(+) taacaatatta >hg38_chr16:3628051-3628061(+) taacaatatcg >hg38_chr16:3628311-3628321(-) ttacaataaca >hg38_chr16:3635875-3635885(-) atacaataaac >hg38_chr16:3645438-3645448(-) GGACAATGAGA >hg38_chr16:3727119-3727129(-) ACATAATGGCT >hg38_chr16:3746124-3746134(+) CCACAATGCAT >hg38_chr16:3797622-3797632(-) gtacaataagg >hg38_chr16:3797886-3797896(+) ACATAATGGAG >hg38_chr16:3811044-3811054(+) CAACAATGGCA >hg38_chr16:3816192-3816202(+) AAACAATGCCT >hg38_chr16:3816230-3816240(-) TCACAATGCTT >hg38_chr16:3819652-3819662(-) AAATAATGGCC >hg38_chr16:3833653-3833663(+) aaataatggtg >hg38_chr16:3834056-3834066(+) caacaatggga >hg38_chr16:3846611-3846621(-) gtacaatagga >hg38_chr16:3846670-3846680(-) taacaatacaa >hg38_chr16:3856957-3856967(+) GAACAATGGGA >hg38_chr16:3871864-3871874(+) ATACAATATAG >hg38_chr16:3934916-3934926(-) GAACAATGAGA >hg38_chr16:3974581-3974591(-) AAACAATGGGA >hg38_chr16:4013572-4013582(+) AAACAATAAAC >hg38_chr16:4016222-4016232(+) CAACAATGAGT >hg38_chr16:4016300-4016310(+) AAATAATGGGA >hg38_chr16:4033005-4033015(+) CAACAATGCCG >hg38_chr16:4053534-4053544(+) CCACAATGGCT >hg38_chr16:4054225-4054235(+) AGACAATGGGA >hg38_chr16:4062839-4062849(+) atacaataaag >hg38_chr16:4096306-4096316(+) AGACAAtggtg >hg38_chr16:4096656-4096666(+) ACACAATAGGG >hg38_chr16:4134252-4134262(-) AGATAATGGGT >hg38_chr16:4273099-4273109(+) AAACAAAGGAC >hg38_chr16:4282118-4282128(+) ccacaatagaa >hg38_chr16:4561709-4561719(+) CCACAATGACC >hg38_chr16:4693416-4693426(+) CCACAATGTCA >hg38_chr16:4708464-4708474(+) caacaatgaaa >hg38_chr16:4735181-4735191(-) AGACAATAggg >hg38_chr16:4826747-4826757(+) acacaataggg >hg38_chr16:4836285-4836295(+) CTACAATGCTC >hg38_chr16:4954795-4954805(-) ACATAATGGGC >hg38_chr16:4962951-4962961(-) AAACAAAGACG >hg38_chr16:4970224-4970234(-) ctacaatgccc >hg38_chr16:4995481-4995491(+) CCACAATGGGG >hg38_chr16:5402500-5402510(+) AAACAATGGAG >hg38_chr16:5466760-5466770(-) ggacaatggac >hg38_chr16:5480724-5480734(-) agacaaaggga >hg38_chr16:5805563-5805573(+) gaacaaaggaa >hg38_chr16:5918962-5918972(+) gaacagtggac >hg38_chr16:5926075-5926085(+) GAACAAAGGTA >hg38_chr16:5926086-5926096(-) ACATAATGGCT >hg38_chr16:5933592-5933602(-) AGACAATAGCT >hg38_chr16:6032910-6032920(-) GAACAATGGCT >hg38_chr16:6044811-6044821(-) AGACAATGGAC >hg38_chr16:6044837-6044847(-) GAACAATGAGA >hg38_chr16:6096025-6096035(+) AGACGATGGAG >hg38_chr16:6096036-6096046(+) ATACAATGGAA >hg38_chr16:6123199-6123209(-) aaacactggta >hg38_chr16:6124374-6124384(-) ATACAAAGGCA >hg38_chr16:6124395-6124405(-) ttacaATAATC >hg38_chr16:6125531-6125541(+) AAACAATGTGA >hg38_chr16:6170465-6170475(-) TAACAATGCAT >hg38_chr16:6196149-6196159(-) agacaatgaag >hg38_chr16:6198478-6198488(+) GGACAATGAAT >hg38_chr16:6209712-6209722(-) tgacaatggct >hg38_chr16:6227670-6227680(+) ggacaatggaa >hg38_chr16:6247967-6247977(-) ACACAAAGGGA >hg38_chr16:6270697-6270707(+) gaacaatgcaa >hg38_chr16:6270706-6270716(-) ggataatggtt >hg38_chr16:6285716-6285726(+) GGACAATAGGG >hg38_chr16:6298391-6298401(-) TAACAATGGGA >hg38_chr16:6318082-6318092(-) AGACAATAGAA >hg38_chr16:6352465-6352475(+) TTACAATGGAA >hg38_chr16:6364052-6364062(+) GAACAATGGCA >hg38_chr16:6375842-6375852(+) AAACAATGCAA >hg38_chr16:6415973-6415983(-) TAACAATGGGA >hg38_chr16:6496207-6496217(-) GGACAATAGAA >hg38_chr16:6558248-6558258(-) TAACAATGGGA >hg38_chr16:6591474-6591484(-) ACACAAAGGtt >hg38_chr16:6734256-6734266(-) TAACAAAGGGC >hg38_chr16:6861310-6861320(-) AGACAATGCAC >hg38_chr16:6898194-6898204(-) CCACAATGGAC >hg38_chr16:6926882-6926892(-) GGACAATGCGC >hg38_chr16:6976915-6976925(-) atacaatatat >hg38_chr16:7027043-7027053(+) GAACAATAGGA >hg38_chr16:7097896-7097906(+) ACACAATGGCC >hg38_chr16:7219048-7219058(-) TTACAAAGGCG >hg38_chr16:7324550-7324560(-) CAACAATGCCT >hg38_chr16:7462049-7462059(+) GGACAATAGAA >hg38_chr16:7635378-7635388(+) TTACAATAGTA >hg38_chr16:7635435-7635445(-) TTACAATGGTG >hg38_chr16:7723795-7723805(-) tgataatggcc >hg38_chr16:7888086-7888096(-) ACACAATGCCA >hg38_chr16:7888661-7888671(-) ACACAATGAAC >hg38_chr16:7889126-7889136(+) gaacaatgaat >hg38_chr16:7925598-7925608(-) TGACAAAGGCG >hg38_chr16:7965757-7965767(+) GGACAAAGGGA >hg38_chr16:7988250-7988260(+) aaacaatggtt >hg38_chr16:8072638-8072648(-) ACACAATGGAT >hg38_chr16:8137834-8137844(-) TAACAAAGGCG >hg38_chr16:8175761-8175771(+) ttactatggca >hg38_chr16:8286542-8286552(-) AGACAAAGGAA >hg38_chr16:8359189-8359199(+) TGACAATGACT >hg38_chr16:8370610-8370620(+) TTATAATGGAT >hg38_chr16:8481944-8481954(+) TAACAAAGGTA >hg38_chr16:8505818-8505828(+) GAACAAAGGAG >hg38_chr16:8505829-8505839(-) GCATAATGGCT >hg38_chr16:8554160-8554170(+) GAACAATAAGA >hg38_chr16:8565786-8565796(+) taacaatgccc >hg38_chr16:8567884-8567894(-) TTACAATGCTG >hg38_chr16:8571478-8571488(+) acacaataggc >hg38_chr16:8668301-8668311(+) TCACAATGGCA >hg38_chr16:8670317-8670327(-) AAACAAAGGCA >hg38_chr16:8703860-8703870(-) ctacaatggca >hg38_chr16:8792263-8792273(+) cgacaatgggg >hg38_chr16:8813131-8813141(-) CAACAATGAAG >hg38_chr16:8819313-8819323(+) ggacaatataa >hg38_chr16:8853419-8853429(+) AAACAATGGCC >hg38_chr16:8866646-8866656(-) GAACAATGGAG >hg38_chr16:8869147-8869157(-) ACACAAAGGTC >hg38_chr16:8891922-8891932(+) ACACAATAGTC >hg38_chr16:8893477-8893487(+) CGACAATGCAC >hg38_chr16:8893486-8893496(+) ACACAATGAAG >hg38_chr16:8946036-8946046(+) tgacaaaggta >hg38_chr16:8951832-8951842(+) TCACAAAGGAA >hg38_chr16:8975541-8975551(-) AAACAaaggtg >hg38_chr16:8983785-8983795(+) taacaatggag >hg38_chr16:9016961-9016971(-) AAACAATGGCG >hg38_chr16:9088052-9088062(-) TAACAATGCAC >hg38_chr16:9094729-9094739(-) aaaccatggta >hg38_chr16:9105142-9105152(-) AAACAATAAAT >hg38_chr16:9109910-9109920(-) TAACAATAAGA >hg38_chr16:9109933-9109943(-) GGACAATAGTA >hg38_chr16:9151366-9151376(+) aaacaaaggtg >hg38_chr16:9156043-9156053(+) tgacaatgtaa >hg38_chr16:9176987-9176997(-) Aaacaataaac >hg38_chr16:9205649-9205659(-) ggacagtggaa >hg38_chr16:9205659-9205669(+) caacaataggg >hg38_chr16:9205741-9205751(+) AAACAATGAAG >hg38_chr16:9206404-9206414(-) GCACAATGGTG >hg38_chr16:9231926-9231936(+) GAACAATAACA >hg38_chr16:9266181-9266191(-) acacaaaggca >hg38_chr16:9319561-9319571(-) ATACAATATTT >hg38_chr16:9319576-9319586(+) GAACAAAGGAT >hg38_chr16:9370317-9370327(+) TGACAATAGCT >hg38_chr16:9374719-9374729(+) ccacaatgatc >hg38_chr16:9415720-9415730(+) GGACAATAAAT >hg38_chr16:9445819-9445829(-) tgacaatgctt >hg38_chr16:9445836-9445846(+) tgacaatggaa >hg38_chr16:9522730-9522740(-) CCACAATGCCG >hg38_chr16:9622431-9622441(+) ccacaatggca >hg38_chr16:9645965-9645975(-) gaacaatgttc >hg38_chr16:9648321-9648331(+) GCATAATGGTG >hg38_chr16:9682388-9682398(-) CAACAATGAAC >hg38_chr16:9704990-9705000(+) AAACAATAGAG >hg38_chr16:9763715-9763725(-) GAACAATGCCC >hg38_chr16:9821108-9821118(+) TCACAATGGGC >hg38_chr16:9821487-9821497(+) GAACAATGGAC >hg38_chr16:9821532-9821542(+) TTACAATAAAT >hg38_chr16:9822164-9822174(+) ATACAATGGGA >hg38_chr16:9834177-9834187(+) AAACAATGAGC >hg38_chr16:9836306-9836316(+) CAACAATACGC >hg38_chr16:9840956-9840966(-) TAACAATGTGT >hg38_chr16:9970866-9970876(+) gaacaatgtag >hg38_chr16:9970906-9970916(+) gaataatggag >hg38_chr16:9972137-9972147(+) gaacaaagggt >hg38_chr16:10017575-10017585(-) tcacaatagct >hg38_chr16:10078716-10078726(+) AAACAAAGGGC >hg38_chr16:10120781-10120791(-) ttacaataaac >hg38_chr16:10382448-10382458(-) caacaatagaa >hg38_chr16:10386054-10386064(-) TAACAATGCTG >hg38_chr16:10386971-10386981(+) ACACAATGGCT >hg38_chr16:10435214-10435224(-) GAACAATGTCA >hg38_chr16:10475849-10475859(-) aaacaatgctt >hg38_chr16:10589315-10589325(+) ACACAATAGAG >hg38_chr16:10629763-10629773(+) agacaatggct >hg38_chr16:10642477-10642487(+) taacaaaggct >hg38_chr16:10668519-10668529(+) GCACAATGGGT >hg38_chr16:10810136-10810146(-) Gtacaatatgt >hg38_chr16:10851685-10851695(+) AAACAATAGCC >hg38_chr16:10945652-10945662(-) ttacaatgttc >hg38_chr16:10951845-10951855(-) taacaatgttg >hg38_chr16:10952867-10952877(+) taacaatagat >hg38_chr16:10952935-10952945(-) ttacaatagtg >hg38_chr16:11030199-11030209(+) atacaatgtat >hg38_chr16:11046529-11046539(-) GGACAATGACC >hg38_chr16:11132490-11132500(-) caacaatagcc >hg38_chr16:11201818-11201828(+) TAACaatgaat >hg38_chr16:11244014-11244024(+) TAACAATGCAC >hg38_chr16:11244021-11244031(+) GCACAATGTAA >hg38_chr16:11244082-11244092(+) TAacaatgaac >hg38_chr16:11244089-11244099(+) gaacaatgtac >hg38_chr16:11274104-11274114(-) AAACAATGCTT >hg38_chr16:11297178-11297188(+) atacaatggga >hg38_chr16:11313438-11313448(-) AAACAATAATC >hg38_chr16:11328723-11328733(-) AGACAAAGGAA >hg38_chr16:11350004-11350014(+) GAACAATGGGG >hg38_chr16:11353611-11353621(-) gaacaatggag >hg38_chr16:11501808-11501818(+) GAACAATGGCT >hg38_chr16:11506625-11506635(-) GAACAAAGGAG >hg38_chr16:11518142-11518152(+) GGACAATAGTC >hg38_chr16:11543826-11543836(+) TAACAATGGAA >hg38_chr16:11581929-11581939(+) ttacaaagggg >hg38_chr16:11690223-11690233(-) acacaatgaag >hg38_chr16:11719117-11719127(+) ACACAATATAA >hg38_chr16:11744443-11744453(-) acacaaaggaa >hg38_chr16:11872619-11872629(+) CAACAATGTTA >hg38_chr16:12068022-12068032(-) TGACAATGTCT >hg38_chr16:12147545-12147555(+) GAACAATGGGA >hg38_chr16:12148262-12148272(-) TAACAAAGGGC >hg38_chr16:12183997-12184007(+) tgacaatgggc >hg38_chr16:12260084-12260094(-) TCACAATGGAG >hg38_chr16:12323623-12323633(-) GAACAATGCTG >hg38_chr16:12340348-12340358(+) AGACAATGTTC >hg38_chr16:12357198-12357208(-) tgacaaaggat >hg38_chr16:12366940-12366950(-) AGACAATGGga >hg38_chr16:12398389-12398399(+) AAACCATGGTA >hg38_chr16:12398399-12398409(-) GCATAATGGTT >hg38_chr16:12406259-12406269(-) tcacaatggct >hg38_chr16:12429887-12429897(-) aaacaatagtc >hg38_chr16:12490407-12490417(-) acacaatggac >hg38_chr16:12536051-12536061(-) AGACAAAGGGC >hg38_chr16:12680048-12680058(-) gaacaaaggtt >hg38_chr16:12680057-12680067(+) tcacaatgcag >hg38_chr16:12689068-12689078(+) caacaatgaca >hg38_chr16:12689109-12689119(+) gtacaatgagc >hg38_chr16:12758540-12758550(-) GAACAATGCCA >hg38_chr16:12814291-12814301(+) ggacaatgctg >hg38_chr16:12834220-12834230(+) ATACAAAGGCT >hg38_chr16:12880124-12880134(+) caataatggag >hg38_chr16:12885904-12885914(-) GAACAATGGGC >hg38_chr16:12896812-12896822(+) ctacaatgaac >hg38_chr16:12917433-12917443(-) GAACAATGGAA >hg38_chr16:12917470-12917480(-) ACACAATAGCA >hg38_chr16:12965630-12965640(+) AGACAATATAG >hg38_chr16:12977171-12977181(+) agacaatggag >hg38_chr16:13143679-13143689(+) AGACAATGCAG >hg38_chr16:13165873-13165883(+) AAACAGTGGGA >hg38_chr16:13303685-13303695(-) ttacaatgctg >hg38_chr16:13448332-13448342(-) CGATAATGGCC >hg38_chr16:13452505-13452515(+) AAACAAAGGTG >hg38_chr16:13521528-13521538(+) tcacaatgaaa >hg38_chr16:13553728-13553738(-) TAACAATGAAG >hg38_chr16:13563058-13563068(-) tcacaatggaa >hg38_chr16:13595050-13595060(+) AGACAAAGGGA >hg38_chr16:13635394-13635404(-) ggacaatgggg >hg38_chr16:13672352-13672362(-) CAACAATAGCC >hg38_chr16:13680419-13680429(-) TCACAATAGAC >hg38_chr16:13756593-13756603(-) GGACAATGGGG >hg38_chr16:13780583-13780593(+) ctacaatggca >hg38_chr16:13815915-13815925(-) caacaatggga >hg38_chr16:13910095-13910105(-) GCACAATGACA >hg38_chr16:13911313-13911323(+) ttacaatggac >hg38_chr16:13919352-13919362(+) TAACAAAGGTG >hg38_chr16:13935687-13935697(-) GAACAAAGGTT >hg38_chr16:13952525-13952535(+) ACACAATGCCT >hg38_chr16:14050974-14050984(-) gaacaataagt >hg38_chr16:14116684-14116694(-) ttacaatgacc >hg38_chr16:14116696-14116706(-) ttacaatggtg >hg38_chr16:14188126-14188136(+) ACACAATGAAG >hg38_chr16:14188161-14188171(+) AAACAATGGAG >hg38_chr16:14203338-14203348(-) GCATAATGGCA >hg38_chr16:14203368-14203378(-) AAACAATGCAA >hg38_chr16:14234354-14234364(+) AGACAAAGGCA >hg38_chr16:14280816-14280826(+) AAACaatgggg >hg38_chr16:15032764-15032774(-) AGACAATGCAG >hg38_chr16:17063793-17063803(-) AAACAATGGTA >hg38_chr16:17068023-17068033(+) acacaatgcaa >hg38_chr16:17082195-17082205(+) aaacaaagggt >hg38_chr16:17093037-17093047(+) TGACAAAGGAA >hg38_chr16:17132477-17132487(+) TCATAATGGCA >hg38_chr16:17184069-17184079(-) CTACAATGTGG >hg38_chr16:17194370-17194380(+) CAACAATGGCC >hg38_chr16:17204881-17204891(+) TAACAATGACA >hg38_chr16:17212056-17212066(-) GAAcagtggtt >hg38_chr16:17263421-17263431(+) CCACAATGGCG >hg38_chr16:17264169-17264179(-) gcacaatgaag >hg38_chr16:17322032-17322042(+) TGACAATAGCC >hg38_chr16:17368041-17368051(-) CGACAATAGAT >hg38_chr16:17377933-17377943(-) gtacaatgagc >hg38_chr16:17377940-17377950(+) gtacaaaggga >hg38_chr16:17385927-17385937(+) taacaatagca >hg38_chr16:17392673-17392683(-) gaacaaaggga >hg38_chr16:17423374-17423384(+) CAACAATGGCT >hg38_chr16:17423414-17423424(-) AAACAATCGCC >hg38_chr16:17452886-17452896(-) TTACAAAGgtg >hg38_chr16:17462699-17462709(-) GGACAATGGCC >hg38_chr16:17486546-17486556(+) AGACAATGAAG >hg38_chr16:17512098-17512108(+) taacaatggcc >hg38_chr16:17545071-17545081(+) gaacaaaggtt >hg38_chr16:17582091-17582101(+) TAACAATGAGG >hg38_chr16:17582842-17582852(-) GTACAAAGGCT >hg38_chr16:17582863-17582873(-) GAACAAAGGCT >hg38_chr16:17585388-17585398(-) Taacaataacg >hg38_chr16:17585869-17585879(-) AGACAATGCCT >hg38_chr16:17670600-17670610(+) tgacaatgtac >hg38_chr16:17678980-17678990(-) gaacaatacta >hg38_chr16:17679032-17679042(-) taataatggac >hg38_chr16:17745442-17745452(+) GAACAATAGGA >hg38_chr16:17748529-17748539(+) TAACAAAGGGG >hg38_chr16:17777573-17777583(-) TAACAATGCCC >hg38_chr16:17777611-17777621(-) TAACAATGAAA >hg38_chr16:17814163-17814173(-) ACACAGTGGTA >hg38_chr16:17814180-17814190(-) AAACGATGGTT >hg38_chr16:17826739-17826749(-) TAACAATAGCA >hg38_chr16:17831094-17831104(-) TTACAATGTAA >hg38_chr16:17894069-17894079(+) TCACAATGGAG >hg38_chr16:17898874-17898884(+) TAATAATGGGA >hg38_chr16:17944504-17944514(+) AAACAATGCCA >hg38_chr16:17965353-17965363(+) gaacaatagcc >hg38_chr16:17987257-17987267(+) CAACAATGAGA >hg38_chr16:17987266-17987276(+) GAACAATATTA >hg38_chr16:18015090-18015100(+) taacaataata >hg38_chr16:18028505-18028515(+) atacaatataa >hg38_chr16:18797731-18797741(+) GAACTATGGAG >hg38_chr16:18800886-18800896(-) AGACAATGGGA >hg38_chr16:18805081-18805091(-) TAACAATGTAT >hg38_chr16:18806214-18806224(+) AAACAATGCAG >hg38_chr16:18806240-18806250(+) GGACAATATTA >hg38_chr16:18810904-18810914(+) gaacaatgaaa >hg38_chr16:18959088-18959098(-) gcacaatgggt >hg38_chr16:18959162-18959172(-) acacaatggaa >hg38_chr16:19005689-19005699(-) AAACAAAGGTC >hg38_chr16:19005960-19005970(-) ACACAATGGAG >hg38_chr16:19106349-19106359(+) TAACAATAGGA >hg38_chr16:19185013-19185023(-) CTACAATGGGT >hg38_chr16:19307852-19307862(+) CCACAATGGAT >hg38_chr16:19316999-19317009(+) TTACAATAGAA >hg38_chr16:19333437-19333447(-) CTACAATGTTC >hg38_chr16:19333478-19333488(+) TCACAATAGCA >hg38_chr16:19347479-19347489(-) taacaatagaa >hg38_chr16:19348758-19348768(-) ggacaatggcc >hg38_chr16:19459322-19459332(+) agacaatagca >hg38_chr16:19512251-19512261(+) aaataatggcc >hg38_chr16:19512284-19512294(-) ccacaatgaag >hg38_chr16:19522092-19522102(+) GCACAATGGCG >hg38_chr16:19526451-19526461(-) AAACAATAAAA >hg38_chr16:19532759-19532769(+) ACACAATAGAA >hg38_chr16:19548752-19548762(-) ATACAATGCTA >hg38_chr16:19549963-19549973(+) ATACAATGAAG >hg38_chr16:19553028-19553038(-) CCACAATGCTA >hg38_chr16:19579231-19579241(-) GCACAATGCGC >hg38_chr16:19662526-19662536(+) tgacaaaggtc >hg38_chr16:19744030-19744040(-) ACACAATGCCT >hg38_chr16:19773412-19773422(+) AAACAATGAGA >hg38_chr16:19805066-19805076(-) ACACAAAGGGA >hg38_chr16:19805444-19805454(+) ttacaatgtgg >hg38_chr16:19805460-19805470(-) gaacaatgcct >hg38_chr16:19838204-19838214(+) GAACAATAGAT >hg38_chr16:19859323-19859333(+) AAACAATGGGC >hg38_chr16:19860920-19860930(+) GGACAATAAAA >hg38_chr16:19879729-19879739(+) aaacaaaggtg >hg38_chr16:19882838-19882848(+) ccacaatggcc >hg38_chr16:19882845-19882855(-) gaacaaaggcc >hg38_chr16:19884091-19884101(-) ATACAATGGCG >hg38_chr16:19917423-19917433(+) GAACAAAGGGC >hg38_chr16:19935739-19935749(+) GGACAATAAGA >hg38_chr16:19996468-19996478(+) tgataatggga >hg38_chr16:20003959-20003969(-) agacaatggtg >hg38_chr16:20115985-20115995(+) TGATAATGGTC >hg38_chr16:20268739-20268749(-) CTACAATGGCT >hg38_chr16:20353398-20353408(-) acacaataaac >hg38_chr16:20385486-20385496(-) TAATAATGGAA >hg38_chr16:20684308-20684318(+) acacaatcgct >hg38_chr16:20684327-20684337(+) acacaatgaat >hg38_chr16:20726283-20726293(+) ttacaatgtat >hg38_chr16:20736077-20736087(-) taacaataaat >hg38_chr16:20736118-20736128(+) agacaatgcac >hg38_chr16:20736125-20736135(+) gcacaatggga >hg38_chr16:20785234-20785244(+) AAACAATGCTT >hg38_chr16:20791266-20791276(-) ACACAATAATA >hg38_chr16:20823679-20823689(-) gaacaaagggg >hg38_chr16:20831918-20831928(+) TAACAATGTTA >hg38_chr16:20839796-20839806(-) GAACAATGCTG >hg38_chr16:20886697-20886707(-) gaacaatagag >hg38_chr16:20901504-20901514(+) agacaatgggt >hg38_chr16:20905018-20905028(+) GAACAATAGTC >hg38_chr16:20909895-20909905(-) ggacaatgatc >hg38_chr16:20938087-20938097(-) ATACAATGGTT >hg38_chr16:21001507-21001517(+) ACATAATGGCC >hg38_chr16:21095503-21095513(+) gaacaatgaag >hg38_chr16:21226972-21226982(+) GTACAATAACA >hg38_chr16:21338173-21338183(+) TAACAATATAA >hg38_chr16:21524020-21524030(+) AGACAAAGGGA >hg38_chr16:21581803-21581813(+) tgacaaaggat >hg38_chr16:21599407-21599417(-) GGACAAAGGGA >hg38_chr16:21600167-21600177(-) GAACAATGCGG >hg38_chr16:21601321-21601331(+) GAACAATGGGG >hg38_chr16:21604591-21604601(-) AAACAATATAA >hg38_chr16:21610331-21610341(-) atacaaaggaa >hg38_chr16:21642797-21642807(-) AGACAATGATG >hg38_chr16:21657444-21657454(+) GTACAATAAAG >hg38_chr16:21666971-21666981(-) AGACAATGTGG >hg38_chr16:21953184-21953194(-) GAATAATGTAC >hg38_chr16:22001257-22001267(-) GTACAATGGTG >hg38_chr16:22016305-22016315(-) ggacaatgtgc >hg38_chr16:22064512-22064522(-) TTACAATGTCT >hg38_chr16:22080633-22080643(-) AAACAATGAAA >hg38_chr16:22194236-22194246(-) ccacaatagtg >hg38_chr16:22207129-22207139(-) CAACAATGCAG >hg38_chr16:22224305-22224315(+) agacaataaca >hg38_chr16:22237895-22237905(-) ataccatggat >hg38_chr16:22278914-22278924(-) GCACAATGCAG >hg38_chr16:22292597-22292607(-) aaacaaTAACC >hg38_chr16:22321469-22321479(-) TAACAAAGGAC >hg38_chr16:22365315-22365325(+) GTACAATGAAT >hg38_chr16:22381680-22381690(-) CTACAATGGGT >hg38_chr16:22398221-22398231(+) AAACAATGTGT >hg38_chr16:22410368-22410378(-) cgacaaaggag >hg38_chr16:22641256-22641266(+) ACACAATGGGT >hg38_chr16:22646536-22646546(-) gaacaatgaag >hg38_chr16:22648319-22648329(-) aaacaatgcag >hg38_chr16:22649042-22649052(+) ATACAGTGGTG >hg38_chr16:22759673-22759683(-) aaacaatgaat >hg38_chr16:22805090-22805100(+) gaacaaaggca >hg38_chr16:22823954-22823964(+) AGACAATGTCA >hg38_chr16:22823975-22823985(+) TTACAATGGAT >hg38_chr16:22842908-22842918(-) GCACAATGGGA >hg38_chr16:22932385-22932395(+) acacaatgcat >hg38_chr16:22932635-22932645(-) TAACAATGAAA >hg38_chr16:22943501-22943511(+) ATACAGTGACG >hg38_chr16:22963113-22963123(-) agacaatgagg >hg38_chr16:23081796-23081806(-) cgacagtggag >hg38_chr16:23081949-23081959(+) TTACAATGAGA >hg38_chr16:23085545-23085555(+) AAACAATGTTT >hg38_chr16:23124455-23124465(+) ATACAATGGCA >hg38_chr16:23155171-23155181(-) aaacaatgcag >hg38_chr16:23195765-23195775(-) aaacaatgtgt >hg38_chr16:23201167-23201177(+) GCACAATGGTG >hg38_chr16:23201375-23201385(-) taacaatgtgg >hg38_chr16:23324922-23324932(+) ACACAATGACA >hg38_chr16:23394368-23394378(-) AAACAGTGGTC >hg38_chr16:23410322-23410332(+) CCACAATGCCG >hg38_chr16:23423638-23423648(+) GAACAATAAAC >hg38_chr16:23432642-23432652(+) GAACAACGGTT >hg38_chr16:23453227-23453237(-) TCACTATGGTA >hg38_chr16:23503169-23503179(+) ATACAGTGGTT >hg38_chr16:23503187-23503197(-) CGACAGTGGGA >hg38_chr16:23503217-23503227(-) AGACTATGGTT >hg38_chr16:23528378-23528388(+) AAACAATGACA >hg38_chr16:23528402-23528412(+) GAACAATGGCA >hg38_chr16:23637782-23637792(+) GAACAATAGCC >hg38_chr16:23650851-23650861(+) gaacaatagag >hg38_chr16:23651199-23651209(-) CAACAATGGGC >hg38_chr16:23677581-23677591(-) ggacaatgatg >hg38_chr16:23677590-23677600(-) GGACAAtgagg >hg38_chr16:23715788-23715798(-) AAACAATGCAG >hg38_chr16:23715805-23715815(-) ACACAATGTAC >hg38_chr16:23787189-23787199(+) ACACAATGCGG >hg38_chr16:23840713-23840723(-) TGACAATGTAA >hg38_chr16:23849018-23849028(+) TTACAGTGGAT >hg38_chr16:23869208-23869218(-) acacaatagct >hg38_chr16:23869224-23869234(-) gaacaatggct >hg38_chr16:23945295-23945305(-) TGACAATAGGA >hg38_chr16:24055392-24055402(-) AGACAATAGCA >hg38_chr16:24085818-24085828(-) AAACAATGGTG >hg38_chr16:24099105-24099115(+) taacaatagct >hg38_chr16:24119993-24120003(+) caacaatgagt >hg38_chr16:24139518-24139528(+) TAACAATAGCA >hg38_chr16:24195617-24195627(-) AAACAATGCTC >hg38_chr16:24309538-24309548(+) GCACAATGCCT >hg38_chr16:24325010-24325020(-) ggacaatggaa >hg38_chr16:24394482-24394492(+) CGACAATAAGC >hg38_chr16:24431541-24431551(-) aaataatggca >hg38_chr16:24452095-24452105(+) TAACAATGAGA >hg38_chr16:24504711-24504721(+) ggacaataggc >hg38_chr16:24531624-24531634(-) gaacaatgatt >hg38_chr16:24546467-24546477(-) TAATAATGGCC >hg38_chr16:24550068-24550078(-) GTACAATATCA >hg38_chr16:24550077-24550087(+) ACACAATGCAA >hg38_chr16:24552912-24552922(-) AAACAATGGCA >hg38_chr16:24553120-24553130(+) TTACAATGTTG >hg38_chr16:24553130-24553140(+) GTATAATGGCC >hg38_chr16:24553171-24553181(-) GTACACTGGAA >hg38_chr16:24554917-24554927(+) TTACAATAAAA >hg38_chr16:24559423-24559433(-) ACATAATGGGA >hg38_chr16:24564460-24564470(-) GCACAATGAAG >hg38_chr16:24635905-24635915(+) agacaatgctg >hg38_chr16:24635919-24635929(-) taacaataaaa >hg38_chr16:24653164-24653174(+) GAACAATAACC >hg38_chr16:24684043-24684053(-) ctacaatggca >hg38_chr16:24686323-24686333(-) GAACAAAGGCT >hg38_chr16:24730591-24730601(-) TTACAATAGTA >hg38_chr16:24730610-24730620(-) ACACAATGCCT >hg38_chr16:24780408-24780418(-) tgataatggta >hg38_chr16:24789764-24789774(+) GAACAATGGAC >hg38_chr16:24987185-24987195(-) atacaatgtct >hg38_chr16:25014930-25014940(+) GGACAATGGGC >hg38_chr16:25051619-25051629(-) GAACAATGGGT >hg38_chr16:25106804-25106814(+) GGACAATGGGC >hg38_chr16:25276785-25276795(+) TGATAATGGTG >hg38_chr16:25307465-25307475(-) AAACAATGTGC >hg38_chr16:25318098-25318108(-) ggacaatgatt >hg38_chr16:25320355-25320365(+) gaacaataaca >hg38_chr16:25457183-25457193(+) gtacaacggag >hg38_chr16:25605903-25605913(+) aaacaatgagg >hg38_chr16:25608185-25608195(-) TAACAAAGGCT >hg38_chr16:25850753-25850763(+) ATACAAAGGCA >hg38_chr16:25855991-25856001(-) taacaaagggc >hg38_chr16:25900031-25900041(-) taacaatggct >hg38_chr16:25990868-25990878(-) TCACAATGAAT >hg38_chr16:26079003-26079013(-) AAACAATGAGA >hg38_chr16:26088174-26088184(-) ATACAATACAC >hg38_chr16:26102514-26102524(+) ACACAATGTAA >hg38_chr16:26134763-26134773(+) GCACAATAATA >hg38_chr16:26145717-26145727(+) ACACAATAAAA >hg38_chr16:26176501-26176511(+) AAACAATAGAT >hg38_chr16:26177656-26177666(+) TAACAATAGCA >hg38_chr16:26206003-26206013(-) TTACAATAAAC >hg38_chr16:26206068-26206078(+) TTACAAAGGAA >hg38_chr16:26219485-26219495(+) TTACAATAAAA >hg38_chr16:26238409-26238419(+) tgacaatagat >hg38_chr16:26275271-26275281(-) TAACAATAGTC >hg38_chr16:26275358-26275368(-) TGACAATATAC >hg38_chr16:26302072-26302082(+) GAACAATGGTT >hg38_chr16:26312234-26312244(+) ttacaatagca >hg38_chr16:26312242-26312252(+) gcacaaaggaa >hg38_chr16:26317092-26317102(-) agacaatggaa >hg38_chr16:26317154-26317164(-) acacaaaggaa >hg38_chr16:26367365-26367375(-) ATACAATGAGG >hg38_chr16:26397048-26397058(-) TAACAAAGGGA >hg38_chr16:26415692-26415702(+) GTACAATTGAT >hg38_chr16:26443184-26443194(-) ccacaatagca >hg38_chr16:26443226-26443236(+) acacaatagat >hg38_chr16:26496376-26496386(+) ttacaatagcc >hg38_chr16:26505585-26505595(+) ACACAATGAAT >hg38_chr16:26570083-26570093(-) caacaatgtgc >hg38_chr16:26579723-26579733(+) GCACAATGTTG >hg38_chr16:26599607-26599617(+) gaacaatgggt >hg38_chr16:26614448-26614458(-) AGACAATGCCT >hg38_chr16:26688389-26688399(-) AGACAATGGCC >hg38_chr16:26688411-26688421(+) AGACAAAGGGT >hg38_chr16:26690661-26690671(-) TAACaataata >hg38_chr16:26710841-26710851(+) GAACAAAGGGG >hg38_chr16:26718028-26718038(-) ACACAAAGGAT >hg38_chr16:26888004-26888014(-) acacaatggaa >hg38_chr16:26901800-26901810(+) gaacaatgaag >hg38_chr16:26931003-26931013(-) AAATAATGGAG >hg38_chr16:26976953-26976963(-) ACACAGTGGAT >hg38_chr16:26998699-26998709(-) CTACAATAGTG >hg38_chr16:27028126-27028136(+) ggacaatgagg >hg38_chr16:27066825-27066835(-) GAACAATGCTG >hg38_chr16:27069875-27069885(+) gcacaatggtt >hg38_chr16:27069929-27069939(+) TAATAATGGAG >hg38_chr16:27259839-27259849(+) tcacaatggca >hg38_chr16:27259848-27259858(+) caacaatggaa >hg38_chr16:27260421-27260431(+) aaataatggaa >hg38_chr16:27260507-27260517(+) taacaatgaat >hg38_chr16:27309265-27309275(+) atacaataggg >hg38_chr16:27315979-27315989(+) ATACAATACAA >hg38_chr16:27355857-27355867(-) TGACAATGCAG >hg38_chr16:27392129-27392139(-) ttacaatgtga >hg38_chr16:27433011-27433021(+) ctacaatgaAC >hg38_chr16:27560730-27560740(-) ACACAATGCCT >hg38_chr16:27625465-27625475(-) GGACAATGTAG >hg38_chr16:27686614-27686624(-) aaacaataaga >hg38_chr16:27701478-27701488(+) CTACAAAGGGA >hg38_chr16:27718866-27718876(-) TGACAATGGTG >hg38_chr16:27829147-27829157(-) tcacaatgtgc >hg38_chr16:28058103-28058113(+) GAACAAAGGGA >hg38_chr16:28161076-28161086(+) gaacaaagggc >hg38_chr16:28173306-28173316(-) AGACAATGGCA >hg38_chr16:28251295-28251305(-) taacaatagct >hg38_chr16:28822725-28822735(-) TCACAATGAGC >hg38_chr16:28879687-28879697(-) GTACAATGGGA >hg38_chr16:29321512-29321522(+) AGATAATGGAA >hg38_chr16:29822631-29822641(+) GGACAAAGGAG >hg38_chr16:29921126-29921136(+) acacaatgcaa >hg38_chr16:29921196-29921206(+) gaacaatgctt >hg38_chr16:29936720-29936730(+) gaacaatagaa >hg38_chr16:29936779-29936789(-) gaacaatagca >hg38_chr16:29966228-29966238(-) agacaataaac >hg38_chr16:29966271-29966281(-) gaacaaaggaa >hg38_chr16:29972133-29972143(+) TAACAATGGTG >hg38_chr16:30032475-30032485(+) AGACAATAGCG >hg38_chr16:30061246-30061256(-) AAACAATGTCT >hg38_chr16:30355446-30355456(+) GGACAATGGTG >hg38_chr16:30395051-30395061(-) AGACAAAGGGC >hg38_chr16:30400630-30400640(+) AAACAATGAAG >hg38_chr16:30598235-30598245(-) aaacaataaca >hg38_chr16:30623423-30623433(+) agacaatggca >hg38_chr16:30650500-30650510(-) ATACAATGCCA >hg38_chr16:30678381-30678391(+) AAACAATGAGC >hg38_chr16:30678402-30678412(+) ATACAATGGAA >hg38_chr16:30786956-30786966(-) GAACAATgggg >hg38_chr16:30797141-30797151(-) TAACAATGGCC >hg38_chr16:30876048-30876058(+) GAACAAAGGAG >hg38_chr16:30923087-30923097(-) GAACAATGGAA >hg38_chr16:30923377-30923387(-) GAACAATAGGA >hg38_chr16:31034243-31034253(-) TGACAATAGTC >hg38_chr16:31055821-31055831(-) taacaatgaaa >hg38_chr16:31055884-31055894(+) agacaataggg >hg38_chr16:31129539-31129549(-) GGACAATGGGA >hg38_chr16:31170219-31170229(-) ggacaatagtg >hg38_chr16:31173222-31173232(-) CTACAATGCAC >hg38_chr16:31178854-31178864(-) AAACAATGAGG >hg38_chr16:31180571-31180581(-) CGACAAAGCGG >hg38_chr16:31201988-31201998(-) AGACAATATTA >hg38_chr16:31301328-31301338(-) acacaatgggt >hg38_chr16:31451976-31451986(-) GAACAATGCTG >hg38_chr16:31461179-31461189(-) agacaatagct >hg38_chr16:31501946-31501956(+) agacaatgaca >hg38_chr16:31519984-31519994(-) AGACAATAGCC >hg38_chr16:31700522-31700532(-) CGACAACGGCG >hg38_chr16:31857182-31857192(+) atacaatgaaa >hg38_chr16:31869665-31869675(+) TGACAATGGCA >hg38_chr16:31883401-31883411(-) ACATAATGGTA >hg38_chr16:31883447-31883457(-) ACACAATAGTG >hg38_chr16:35410365-35410375(-) CAACAATAGCA >hg38_chr16:46508930-46508940(+) atacaatatta >hg38_chr16:46592612-46592622(+) cgataatagaa >hg38_chr16:46635496-46635506(+) atacaatgagc >hg38_chr16:46666698-46666708(+) gaacaatgtcc >hg38_chr16:46670841-46670851(+) CTACAATGAAG >hg38_chr16:46687184-46687194(+) GTACAATGTAA >hg38_chr16:46687219-46687229(+) AAACAAAGGTA >hg38_chr16:46688695-46688705(+) GCACAAAGGTA >hg38_chr16:46810621-46810631(+) GAACAATGTGA >hg38_chr16:46815960-46815970(+) tcacaatagca >hg38_chr16:46816031-46816041(+) atacaatggaa >hg38_chr16:46817248-46817258(+) AAACAAAGGCA >hg38_chr16:46823935-46823945(+) ttacaaaggga >hg38_chr16:46826548-46826558(+) GAACAAAGGAT >hg38_chr16:46849104-46849114(-) CAACAATGAAT >hg38_chr16:46876589-46876599(-) GAACAATTGTA >hg38_chr16:46956543-46956553(-) ACACAATGGGT >hg38_chr16:46960437-46960447(+) TCACAATGGGA >hg38_chr16:46972249-46972259(-) TGACAATAGGG >hg38_chr16:47095702-47095712(+) gtacaatagta >hg38_chr16:47119884-47119894(-) taacaaaggaa >hg38_chr16:47141777-47141787(-) AAACAATATAG >hg38_chr16:47189557-47189567(-) atacagtggaa >hg38_chr16:47190072-47190082(+) GAACAATGTTG >hg38_chr16:47276354-47276364(+) agataatggca >hg38_chr16:47276388-47276398(+) agacaatgtga >hg38_chr16:47305598-47305608(+) ctactatggat >hg38_chr16:47311856-47311866(-) GAACAACGGGG >hg38_chr16:47316520-47316530(+) aaacaatgcat >hg38_chr16:47318350-47318360(-) atacaatgtaa >hg38_chr16:47320715-47320725(-) GGATAATGGAC >hg38_chr16:47329273-47329283(+) GGACAATGGGA >hg38_chr16:47338870-47338880(+) tcacaatgctg >hg38_chr16:47341001-47341011(+) tcacaatggaa >hg38_chr16:47413127-47413137(+) atacaaaggaa >hg38_chr16:47417118-47417128(+) ATACAATGAAT >hg38_chr16:47432388-47432398(+) ACACAATGGAC >hg38_chr16:47464162-47464172(-) ACACAATAGGC >hg38_chr16:47464532-47464542(-) acacaacggtc >hg38_chr16:47467794-47467804(-) GCACAATGTGA >hg38_chr16:47468339-47468349(-) atacaatagga >hg38_chr16:47516506-47516516(-) AAACAATAAAA >hg38_chr16:47595772-47595782(-) TAACAATGAGT >hg38_chr16:47599481-47599491(+) TGACAATAGTA >hg38_chr16:47639789-47639799(+) ACATAATGGCC >hg38_chr16:47672444-47672454(-) TGACAATAGAG >hg38_chr16:47676314-47676324(+) GTACAATAAGC >hg38_chr16:47676353-47676363(-) AAACAATAGCA >hg38_chr16:47686442-47686452(+) taacaataatt >hg38_chr16:47699290-47699300(+) TGACAAAGGCG >hg38_chr16:47713271-47713281(+) gaacaatgcct >hg38_chr16:47724225-47724235(+) TAATAATGGGG >hg38_chr16:47752464-47752474(+) AAACAATGCCA >hg38_chr16:47753459-47753469(+) GAATAATGGAT >hg38_chr16:47753482-47753492(-) GAATAATGGCC >hg38_chr16:47777251-47777261(-) ccacaatggcc >hg38_chr16:47858945-47858955(-) CAACAATGTAG >hg38_chr16:47866739-47866749(-) CAACAATAGCC >hg38_chr16:47963102-47963112(+) gtacaatggca >hg38_chr16:47963136-47963146(-) atacaatggaa >hg38_chr16:47991633-47991643(+) CCACAATAGGC >hg38_chr16:47996154-47996164(-) GGACAATGTCT >hg38_chr16:48029320-48029330(-) ATACAATGAGC >hg38_chr16:48029352-48029362(+) ACACAATGCat >hg38_chr16:48045016-48045026(-) TAACAATGGTG >hg38_chr16:48109617-48109627(-) GTATAATGGTG >hg38_chr16:48109678-48109688(-) ACACAATGGGC >hg38_chr16:48249348-48249358(+) ATACAATAGAA >hg38_chr16:48276184-48276194(+) GGACAATGTTT >hg38_chr16:48283527-48283537(-) gaacaaaggca >hg38_chr16:48283563-48283573(+) tgacaatgcat >hg38_chr16:48291500-48291510(-) TCACAATGGGA >hg38_chr16:48291525-48291535(+) GAATAATGCGT >hg38_chr16:48322850-48322860(+) gcacaataggt >hg38_chr16:48348191-48348201(-) TTACTATGGTA >hg38_chr16:48373618-48373628(-) gaacaatgaga >hg38_chr16:48381862-48381872(+) taataatggtg >hg38_chr16:48383958-48383968(-) tgacaataggt >hg38_chr16:48384585-48384595(+) GCACAATGAAG >hg38_chr16:48412654-48412664(-) taacgatggat >hg38_chr16:48432330-48432340(+) TAACAATAGTC >hg38_chr16:48458653-48458663(-) acacaaaggat >hg38_chr16:48462278-48462288(-) gaacaaaggaa >hg38_chr16:48563833-48563843(+) taataatgtat >hg38_chr16:48612034-48612044(-) GAACAATAGCA >hg38_chr16:48641873-48641883(+) gaacaaaggtc >hg38_chr16:48692238-48692248(-) agacaatgtca >hg38_chr16:48810454-48810464(+) TAACAATCGAG >hg38_chr16:48834726-48834736(+) TCACAATGAAA >hg38_chr16:48845156-48845166(-) taacaatggaa >hg38_chr16:48885698-48885708(-) CCACAATGCAT >hg38_chr16:48903168-48903178(-) GGACAAAGGAA >hg38_chr16:48906333-48906343(+) CGACAAAGGCA >hg38_chr16:48934987-48934997(-) caacaataggg >hg38_chr16:48941207-48941217(-) GGACAATGGCA >hg38_chr16:49023128-49023138(+) CCACAATAGCA >hg38_chr16:49048744-49048754(+) GAACAATGGGC >hg38_chr16:49053154-49053164(+) ACACAATAGGA >hg38_chr16:49108202-49108212(-) AGACAATGGGA >hg38_chr16:49207999-49208009(+) TAACACTGGAG >hg38_chr16:49312746-49312756(+) GAACAATAGCT >hg38_chr16:49333129-49333139(+) agacaatagtg >hg38_chr16:49393299-49393309(+) taacaatgcag >hg38_chr16:49471866-49471876(+) AGACAATGAGG >hg38_chr16:49578103-49578113(-) GGACAATGGCA >hg38_chr16:49595282-49595292(-) GGACAATGTCC >hg38_chr16:49639878-49639888(+) AAACAAAGGCA >hg38_chr16:49696668-49696678(-) GCACAATGAGG >hg38_chr16:49697863-49697873(-) CAACAATGGGC >hg38_chr16:49700295-49700305(+) GAACAAAGGTC >hg38_chr16:49712861-49712871(-) GGACAATGGCA >hg38_chr16:49754927-49754937(-) ACACAAAGGAA >hg38_chr16:49776456-49776466(-) CGACAATGGCC >hg38_chr16:49776466-49776476(-) AAACAAAGGCC >hg38_chr16:49821653-49821663(-) AAACAAAGGAA >hg38_chr16:49821675-49821685(-) GGACAAAGGCA >hg38_chr16:49849925-49849935(+) ATACAATAGCT >hg38_chr16:49850948-49850958(-) CAACAATGGTC >hg38_chr16:49921997-49922007(-) ACACAATGGGT >hg38_chr16:49978017-49978027(+) atacaatgtga >hg38_chr16:50029838-50029848(+) AGATAATGGAT >hg38_chr16:50067924-50067934(+) ttacaatgaat >hg38_chr16:50067966-50067976(+) aaacaaagggt >hg38_chr16:50073824-50073834(-) ATACAATGCAA >hg38_chr16:50125360-50125370(+) taacaatgcag >hg38_chr16:50178595-50178605(+) atacaatgggc >hg38_chr16:50230570-50230580(+) TGATAATGGAT >hg38_chr16:50231490-50231500(-) CAACAATGAGG >hg38_chr16:50333992-50334002(+) GAACAATATAC >hg38_chr16:50334000-50334010(-) GAACAATGGTA >hg38_chr16:50337159-50337169(-) AAACAATACTA >hg38_chr16:50337187-50337197(+) CTACAATGCAG >hg38_chr16:50356492-50356502(+) aaacaatgtct >hg38_chr16:50356558-50356568(-) aaacaatgtat >hg38_chr16:50463339-50463349(+) GGACAATGGGG >hg38_chr16:50463367-50463377(+) GGACAATGACA >hg38_chr16:50469981-50469991(-) TGACAATGAGC >hg38_chr16:50511250-50511260(-) atacaatggaa >hg38_chr16:50539422-50539432(-) GCACAAAGGGA >hg38_chr16:50668599-50668609(-) CAACAATGCCA >hg38_chr16:50744613-50744623(+) GAACAATATAA >hg38_chr16:50762532-50762542(-) aaacaatggaa >hg38_chr16:50762563-50762573(-) taacaatagcc >hg38_chr16:50762583-50762593(-) ttacaatgaag >hg38_chr16:50768070-50768080(-) TGACAATGATA >hg38_chr16:50789813-50789823(+) tgacaatgaaa >hg38_chr16:50836250-50836260(-) GAACAGTGGAA >hg38_chr16:50836282-50836292(+) CAACAATGGGA >hg38_chr16:50862094-50862104(+) GAACAATGGGG >hg38_chr16:51034912-51034922(-) ATACAATAAGA >hg38_chr16:51059687-51059697(+) tgacaatggcc >hg38_chr16:51063244-51063254(+) gaacaatgggg >hg38_chr16:51113884-51113894(+) CGACAATGACA >hg38_chr16:51113947-51113957(-) CCACAAAGGCG >hg38_chr16:51154396-51154406(-) AGACAATGGCC >hg38_chr16:51164548-51164558(+) AAACAATAGCT >hg38_chr16:51166273-51166283(+) GAACAAAGGAA >hg38_chr16:51174858-51174868(-) AGACAATGATC >hg38_chr16:51174895-51174905(+) gaacaatgcgt >hg38_chr16:51227486-51227496(-) agacaatagct >hg38_chr16:51228504-51228514(+) tcacaaaggaa >hg38_chr16:51236771-51236781(+) AAACAATGACC >hg38_chr16:51236800-51236810(+) AGACAATGGCA >hg38_chr16:51238023-51238033(+) ACACAATGCTG >hg38_chr16:51238037-51238047(-) GAACAATGGGG >hg38_chr16:51290571-51290581(+) agacaatggct >hg38_chr16:51291085-51291095(+) TTACAATGCAA >hg38_chr16:51307020-51307030(-) taacaatagct >hg38_chr16:51358679-51358689(+) TGACAATAGCA >hg38_chr16:51359264-51359274(-) GAACAATGTAA >hg38_chr16:51382045-51382055(+) AAACAATAGTG >hg38_chr16:51390119-51390129(+) acacaatgaat >hg38_chr16:51429194-51429204(-) acacaatgtgc >hg38_chr16:51430800-51430810(-) acacaatgatg >hg38_chr16:51430864-51430874(-) tcacaatgcac >hg38_chr16:51535799-51535809(-) ACACAATGAAG >hg38_chr16:51553564-51553574(-) GAACAATAGGC >hg38_chr16:51578159-51578169(+) GAACAAAGGAA >hg38_chr16:51615299-51615309(-) ACACAATGAGC >hg38_chr16:51615360-51615370(-) AGACAAAGGAG >hg38_chr16:51627978-51627988(-) ACATAATGGGA >hg38_chr16:51651229-51651239(+) GAACAATGGGG >hg38_chr16:51682990-51683000(-) TAACAATGGGA >hg38_chr16:51725520-51725530(-) GTACAATGGTA >hg38_chr16:51727634-51727644(-) AAACAATGCAT >hg38_chr16:51817847-51817857(+) ATACAATAGGA >hg38_chr16:51849774-51849784(+) TAACTATGGTT >hg38_chr16:51853722-51853732(-) acacaatagac >hg38_chr16:51888042-51888052(-) CTACAATGAAA >hg38_chr16:51891481-51891491(+) aaactatggta >hg38_chr16:52055966-52055976(-) gaacaatatag >hg38_chr16:52085206-52085216(-) TCACAATAGAG >hg38_chr16:52131331-52131341(+) TCACAATGACA >hg38_chr16:52142004-52142014(+) AAACAATATAA >hg38_chr16:52149204-52149214(+) GCACAATGCCT >hg38_chr16:52191745-52191755(+) ACACAATGATG >hg38_chr16:52251628-52251638(+) AAACAAAGGCA >hg38_chr16:52252332-52252342(+) ACACAACGGAG >hg38_chr16:52252345-52252355(+) AAACAATGATG >hg38_chr16:52264434-52264444(+) AAACAATAGAT >hg38_chr16:52271324-52271334(+) TGACAATGTGG >hg38_chr16:52313626-52313636(-) AGACAATGATG >hg38_chr16:52367251-52367261(-) GAACAATGGAA >hg38_chr16:52367271-52367281(+) TGACAATATAC >hg38_chr16:52424837-52424847(-) TAACAATAGCC >hg38_chr16:52435858-52435868(+) AAACAAAGGGG >hg38_chr16:52459644-52459654(+) TGACAATGGCA >hg38_chr16:52459668-52459678(+) TAACAATAATA >hg38_chr16:52498976-52498986(+) ACACAATGAGC >hg38_chr16:52526581-52526591(+) AGACAATGAAG >hg38_chr16:52526645-52526655(-) CAACAATGTCC >hg38_chr16:52543890-52543900(+) ATACAAAGGAC >hg38_chr16:52549234-52549244(-) AAACAATGGCC >hg38_chr16:52553090-52553100(+) GGACAATAGTC >hg38_chr16:52553108-52553118(-) GGACAATGGGA >hg38_chr16:52629855-52629865(-) ATACAATGTGA >hg38_chr16:52629937-52629947(+) GAACAAAGGAT >hg38_chr16:52634371-52634381(-) AAACAATAGAA >hg38_chr16:52650902-52650912(-) ATACAATGCCC >hg38_chr16:52682056-52682066(-) acacaatggga >hg38_chr16:52780844-52780854(-) GCACAATAGAC >hg38_chr16:52813425-52813435(+) aaacaacggat >hg38_chr16:52820065-52820075(+) GAACAAAGGGC >hg38_chr16:52874211-52874221(-) TCACAATAGCC >hg38_chr16:52902366-52902376(-) ttacaatgcac >hg38_chr16:52964448-52964458(+) ggactatggtc >hg38_chr16:52964514-52964524(-) gaacaatggct >hg38_chr16:52966087-52966097(+) CCACAATAGAA >hg38_chr16:52967622-52967632(-) TAACAATGCCA >hg38_chr16:52980598-52980608(-) ggacaatgata >hg38_chr16:53009478-53009488(-) aaacaatgaac >hg38_chr16:53018873-53018883(-) GAACAATGTCT >hg38_chr16:53022556-53022566(-) GGACAATGGTG >hg38_chr16:53055737-53055747(-) GTACAAAGGCA >hg38_chr16:53089786-53089796(-) CAACAATGGGT >hg38_chr16:53090191-53090201(+) agacaaaggaa >hg38_chr16:53135743-53135753(+) ATACAATGACA >hg38_chr16:53150433-53150443(-) acacaatgtag >hg38_chr16:53213919-53213929(-) ATACAAAGGAT >hg38_chr16:53218258-53218268(+) GAACAATGTTG >hg38_chr16:53220286-53220296(-) GAATAATGGTA >hg38_chr16:53234174-53234184(+) ccacaatgttt >hg38_chr16:53238758-53238768(+) GAACAATGAGA >hg38_chr16:53257120-53257130(-) AAACAATGAAA >hg38_chr16:53272416-53272426(-) CTACAATGGTA >hg38_chr16:53291434-53291444(-) TAACTATGGGT >hg38_chr16:53292682-53292692(-) TAACAATAGCT >hg38_chr16:53311467-53311477(+) acacaatggga >hg38_chr16:53386872-53386882(-) taacaatgctg >hg38_chr16:53387097-53387107(-) CTACAATGCCC >hg38_chr16:53486746-53486756(-) ttacaatgttg >hg38_chr16:53516401-53516411(+) GAACAATAAAC >hg38_chr16:53516447-53516457(+) GGACAATGTGA >hg38_chr16:53576121-53576131(+) aaacaatgaca >hg38_chr16:53662218-53662228(-) GCACAAAGGCG >hg38_chr16:53664141-53664151(-) GCACAATAGGG >hg38_chr16:53669175-53669185(+) AGACAATGTAG >hg38_chr16:53701670-53701680(-) agacaatgaag >hg38_chr16:53714746-53714756(-) GAACAATGACT >hg38_chr16:53730869-53730879(-) caacaatagag >hg38_chr16:53732699-53732709(-) TAACAATGTAA >hg38_chr16:53740176-53740186(-) AAACAATAGGA >hg38_chr16:53740221-53740231(-) GCACAATGTCA >hg38_chr16:53758425-53758435(-) TTACAATGGTT >hg38_chr16:53762244-53762254(-) GAACAATTGTA >hg38_chr16:53770541-53770551(-) ATACAATAGGA >hg38_chr16:53779423-53779433(-) ACACAAAGGGA >hg38_chr16:53779495-53779505(-) CCACAATAGAG >hg38_chr16:53794943-53794953(+) caacaatgaga >hg38_chr16:53804213-53804223(+) TAACAAAGGAG >hg38_chr16:53809191-53809201(+) agacaatgctg >hg38_chr16:53824701-53824711(+) taacaatggtg >hg38_chr16:53825052-53825062(+) TTACAATGTAA >hg38_chr16:53848813-53848823(+) GGACAAAGGTG >hg38_chr16:53865822-53865832(+) agacaataata >hg38_chr16:53914921-53914931(+) TTACAATGAAA >hg38_chr16:53921131-53921141(+) TCACAATGTTT >hg38_chr16:53937334-53937344(-) AAACAAAGGCG >hg38_chr16:53946150-53946160(-) GGACAATAGAC >hg38_chr16:53957848-53957858(+) TAACAATGCCT >hg38_chr16:53960554-53960564(-) AGACAATGGGA >hg38_chr16:54017420-54017430(-) CAACAATGGCA >hg38_chr16:54049179-54049189(-) GAACAATGGTG >hg38_chr16:54050423-54050433(+) TAACAATGAAG >hg38_chr16:54054599-54054609(-) TGACAATGGCA >hg38_chr16:54098566-54098576(-) GGACAATGGCT >hg38_chr16:54144384-54144394(+) GAACAATGGCC >hg38_chr16:54204937-54204947(-) CTACAATGCAG >hg38_chr16:54251129-54251139(+) atacaatgaag >hg38_chr16:54283406-54283416(+) ACACAAAGGCA >hg38_chr16:54291301-54291311(-) CAACAATGCAA >hg38_chr16:54341866-54341876(-) AAACAAAGGGC >hg38_chr16:54342041-54342051(-) TGACAATAGCC >hg38_chr16:54370102-54370112(-) GAACAAAGGCT >hg38_chr16:54375233-54375243(-) TGACAATGGCC >hg38_chr16:54419061-54419071(+) tgacaatggcc >hg38_chr16:54419097-54419107(-) GGACAAAGGAG >hg38_chr16:54432619-54432629(-) GAACAATGCCC >hg38_chr16:54446884-54446894(-) GCACAATGGGT >hg38_chr16:54476170-54476180(-) AAACAAAGGGA >hg38_chr16:54507265-54507275(-) CAACAATGCAA >hg38_chr16:54546484-54546494(+) AGACAAAGGAA >hg38_chr16:54553185-54553195(-) GAACAATAGTA >hg38_chr16:54553198-54553208(+) GAACAAAGGAG >hg38_chr16:54577041-54577051(-) TTACAATGTGC >hg38_chr16:54577101-54577111(-) TCACAATGGCC >hg38_chr16:54585340-54585350(-) CAACAATGGCA >hg38_chr16:54602729-54602739(+) CAACAATGAGG >hg38_chr16:54617674-54617684(-) CAACAATGTTG >hg38_chr16:54632717-54632727(+) GTACAATAGCA >hg38_chr16:54648852-54648862(+) GAACAATGTGG >hg38_chr16:54651670-54651680(-) GGACAAAGGTT >hg38_chr16:54651715-54651725(+) AGACAATGCCA >hg38_chr16:54746691-54746701(+) TCACAAAGGAA >hg38_chr16:54787383-54787393(+) tgacaatggtg >hg38_chr16:54805955-54805965(+) gcacaatgcct >hg38_chr16:54847269-54847279(-) TAACAATATTA >hg38_chr16:54848469-54848479(+) atacaataaag >hg38_chr16:54927004-54927014(-) GAATAATGGTT >hg38_chr16:54927590-54927600(+) ATACAATTGCG >hg38_chr16:54929166-54929176(+) TGACAATAGCG >hg38_chr16:54960967-54960977(+) AGACAATGAGA >hg38_chr16:55082379-55082389(-) TAACAATGCCG >hg38_chr16:55121097-55121107(+) acacaatgccc >hg38_chr16:55121168-55121178(-) atacaatgaat >hg38_chr16:55187904-55187914(+) agacaatagtC >hg38_chr16:55190400-55190410(+) CAACAATGGTC >hg38_chr16:55228379-55228389(+) aaacaatgctt >hg38_chr16:55285890-55285900(+) taacaataaat >hg38_chr16:55285902-55285912(+) gcacaatgctt >hg38_chr16:55285933-55285943(-) ttacaataaat >hg38_chr16:55321389-55321399(+) AAACAATGTGG >hg38_chr16:55358135-55358145(+) TAACAATGGAC >hg38_chr16:55362552-55362562(-) caacaatagag >hg38_chr16:55373352-55373362(-) AGACAATGACG >hg38_chr16:55385118-55385128(+) TGACAATGCTT >hg38_chr16:55385179-55385189(+) TGACAAAGGAA >hg38_chr16:55399093-55399103(-) GAACAATCGGG >hg38_chr16:55495211-55495221(-) TTACAATGGGA >hg38_chr16:55511379-55511389(+) AAACAATGTCC >hg38_chr16:55515915-55515925(+) caacaatgtag >hg38_chr16:55516683-55516693(+) caacaatgcta >hg38_chr16:55516727-55516737(+) gaacaatgaga >hg38_chr16:55526636-55526646(-) AAACAAAGGAG >hg38_chr16:55526670-55526680(+) AAACAATGCTT >hg38_chr16:55540964-55540974(-) gtacaatgaga >hg38_chr16:55552303-55552313(-) atacaattgaa >hg38_chr16:55552319-55552329(-) taactatggta >hg38_chr16:55557539-55557549(+) ACACAATGGGA >hg38_chr16:55559217-55559227(+) TAACAATGGTC >hg38_chr16:55564054-55564064(+) caacaatgaac >hg38_chr16:55564098-55564108(+) ttacaatggca >hg38_chr16:55565467-55565477(+) ggataatggaa >hg38_chr16:55565502-55565512(+) atacaatatta >hg38_chr16:55623238-55623248(-) AAACAATGACT >hg38_chr16:55668419-55668429(-) TAACAATGGTA >hg38_chr16:55698126-55698136(+) GAACAATGCAG >hg38_chr16:55699400-55699410(+) TTACAAAGGGC >hg38_chr16:55745059-55745069(-) AGACAATGAAA >hg38_chr16:55980868-55980878(-) atacaataatc >hg38_chr16:55981478-55981488(-) tgataatggtg >hg38_chr16:55982760-55982770(-) agacaataaca >hg38_chr16:56097689-56097699(+) tcacaatagcc >hg38_chr16:56103133-56103143(-) acacaaaggaa >hg38_chr16:56151735-56151745(-) ccacaatgagg >hg38_chr16:56167716-56167726(+) GAACAATACAC >hg38_chr16:56167723-56167733(-) GAACAATGTGT >hg38_chr16:56258965-56258975(-) GAACAAAGGGC >hg38_chr16:56306883-56306893(+) GCACAATGTGG >hg38_chr16:56356682-56356692(-) ATACGATGGGT >hg38_chr16:56378347-56378357(+) atacaatagag >hg38_chr16:56378408-56378418(+) aaacaataatc >hg38_chr16:56399682-56399692(-) ccacaatagtg >hg38_chr16:56436619-56436629(+) ATACAATAAAA >hg38_chr16:56445812-56445822(-) GTacaaagata >hg38_chr16:56445824-56445834(-) ATATAATGTAT >hg38_chr16:56449361-56449371(-) atacagtggtg >hg38_chr16:56483018-56483028(-) taacaatgcat >hg38_chr16:56527149-56527159(+) ggacaaaggtt >hg38_chr16:56547861-56547871(-) AGATAATGGAT >hg38_chr16:56547881-56547891(-) GAACAATGCAG >hg38_chr16:56613851-56613861(+) taacaatgaca >hg38_chr16:56649951-56649961(+) gaacaataggc >hg38_chr16:56716099-56716109(+) CCACAATGTGA >hg38_chr16:56747656-56747666(-) AAACAAAGGCA >hg38_chr16:56783079-56783089(+) ATACAATACAT >hg38_chr16:56783482-56783492(+) AGACAATGAGA >hg38_chr16:56791837-56791847(-) GAACAATAAAT >hg38_chr16:56795208-56795218(-) AAACAATGAGT >hg38_chr16:56807409-56807419(-) atactatggca >hg38_chr16:56807433-56807443(-) agacaatataa >hg38_chr16:56813102-56813112(+) AAACTATGGCT >hg38_chr16:56911888-56911898(-) gcacaatgcct >hg38_chr16:56915807-56915817(+) AAACAATGAAA >hg38_chr16:56919475-56919485(+) CAACAATGGGG >hg38_chr16:56936206-56936216(-) TGATAATGGCA >hg38_chr16:56936652-56936662(-) GAACAAAGGAG >hg38_chr16:56937145-56937155(-) GCACAATGCTT >hg38_chr16:56937189-56937199(+) TAACAATGGGT >hg38_chr16:57084367-57084377(-) TCACAATGGGG >hg38_chr16:57168173-57168183(+) GAACAATAGTG >hg38_chr16:57169569-57169579(-) GTACAATGAGG >hg38_chr16:57169595-57169605(+) ACACAAAGGTT >hg38_chr16:57186033-57186043(+) CCACAATAGAG >hg38_chr16:57203823-57203833(-) TCACAATGATC >hg38_chr16:57244909-57244919(+) GTACAATGGGG >hg38_chr16:57244941-57244951(+) ACACAACGGGT >hg38_chr16:57260581-57260591(+) AAACAATGCCG >hg38_chr16:57270623-57270633(-) ATACAATGGGT >hg38_chr16:57339580-57339590(+) ttacaatggaa >hg38_chr16:57341816-57341826(-) GAACAATGATT >hg38_chr16:57372253-57372263(-) GTACAAAGGCC >hg38_chr16:57378192-57378202(+) GAACAAAGGAT >hg38_chr16:57417152-57417162(-) AAACAATGCCC >hg38_chr16:57422797-57422807(+) GGACAAAGGAG >hg38_chr16:57434390-57434400(-) AGACAAAGGTT >hg38_chr16:57434709-57434719(-) atacaatagat >hg38_chr16:57479653-57479663(-) GAACAATGCTG >hg38_chr16:57624858-57624868(-) agacaatgggc >hg38_chr16:57637684-57637694(+) AGACAATAGCA >hg38_chr16:57639473-57639483(-) AAACAAAGGGG >hg38_chr16:57673936-57673946(+) taacaatgtaa >hg38_chr16:57673965-57673975(+) agacaatgggc >hg38_chr16:57835160-57835170(+) GCACAATAGCA >hg38_chr16:57838138-57838148(+) ACACAATGCAA >hg38_chr16:57894069-57894079(+) caacaatgcaa >hg38_chr16:57894211-57894221(+) agacaatgatt >hg38_chr16:57899141-57899151(+) ATACAATGGCC >hg38_chr16:57965859-57965869(-) TGACAATGTAT >hg38_chr16:57965875-57965885(-) GCACAATGGGT >hg38_chr16:57976474-57976484(-) AGACAATGCCC >hg38_chr16:57976487-57976497(+) GCACAATGTTC >hg38_chr16:58004212-58004222(-) atacaatgtga >hg38_chr16:58067005-58067015(+) caacaatggaa >hg38_chr16:58071833-58071843(-) ACACAAAGGAG >hg38_chr16:58071849-58071859(-) AAACAATGCAG >hg38_chr16:58086848-58086858(+) ggacaatagca >hg38_chr16:58103019-58103029(+) TAACAATGGTA >hg38_chr16:58120565-58120575(+) GTACAATGCAC >hg38_chr16:58142670-58142680(-) agacaatgggc >hg38_chr16:58181839-58181849(-) tcacaatgacg >hg38_chr16:58238977-58238987(-) caacaatggat >hg38_chr16:58288649-58288659(+) acactatggta >hg38_chr16:58288676-58288686(+) aaataatgcgt >hg38_chr16:58339636-58339646(-) CAACAATGTGG >hg38_chr16:58415127-58415137(-) ccacaatagaa >hg38_chr16:58459555-58459565(+) ccacaatggaa >hg38_chr16:58485699-58485709(-) ACACAATGCAG >hg38_chr16:58536382-58536392(+) GTACTATGGCT >hg38_chr16:58544711-58544721(+) GGACAATGAAA >hg38_chr16:58546717-58546727(-) GGACAATGGCA >hg38_chr16:58547006-58547016(-) TTATAAtggtt >hg38_chr16:58548108-58548118(+) ATACAAAGGAA >hg38_chr16:58563454-58563464(-) taacaatagga >hg38_chr16:58563884-58563894(+) atacattggta >hg38_chr16:58567910-58567920(+) acacaatgaca >hg38_chr16:58654878-58654888(-) GAACAAAGGCA >hg38_chr16:58733308-58733318(-) GGACAATGACA >hg38_chr16:58740085-58740095(+) GAACAATGGTT >hg38_chr16:58800666-58800676(-) tcacaatgctg >hg38_chr16:58800696-58800706(-) tgacaaaggga >hg38_chr16:58814715-58814725(-) TAACAAAGGAA >hg38_chr16:58854082-58854092(-) gcacaaaggac >hg38_chr16:58855619-58855629(+) GCACAATGTGG >hg38_chr16:58859436-58859446(+) ACACAAAGGAC >hg38_chr16:58867128-58867138(+) ctataatggag >hg38_chr16:58922647-58922657(+) AAACAAAGGCC >hg38_chr16:58922709-58922719(+) GGACAAAGGAA >hg38_chr16:58924747-58924757(+) acataatggaa >hg38_chr16:58958387-58958397(-) GAACAATGGAG >hg38_chr16:58958421-58958431(+) GTATAATGGAA >hg38_chr16:58960266-58960276(+) gtataatggaa >hg38_chr16:59069008-59069018(-) gcacaatgaga >hg38_chr16:59124167-59124177(-) taacaatagac >hg38_chr16:59201867-59201877(-) tgacaatagcc >hg38_chr16:59211141-59211151(-) ttacaaaggga >hg38_chr16:59220145-59220155(+) GAACAATGAAC >hg38_chr16:59237239-59237249(-) TGACAATGAAA >hg38_chr16:59248587-59248597(+) GAACAATCGGA >hg38_chr16:59282101-59282111(+) cgacaatggac >hg38_chr16:59309737-59309747(+) ATACAAAGGGG >hg38_chr16:59322687-59322697(+) AAACAATGGGC >hg38_chr16:59334990-59335000(+) GTACAATGGAC >hg38_chr16:59336464-59336474(+) TAACAATGAGC >hg38_chr16:59368396-59368406(+) aaacaaaggtt >hg38_chr16:59369557-59369567(+) GGACAATGACA >hg38_chr16:59383805-59383815(+) AGACAATGCCA >hg38_chr16:59395895-59395905(+) TCACAATGCAG >hg38_chr16:59428624-59428634(-) tcataatggtt >hg38_chr16:59428642-59428652(+) tcacaatgttg >hg38_chr16:59430035-59430045(+) ATACAATAAAG >hg38_chr16:59472408-59472418(+) ATACAATATGT >hg38_chr16:59472417-59472427(+) GTACAAAGGTG >hg38_chr16:59472816-59472826(-) GAACAAAGGTA >hg38_chr16:59479188-59479198(+) GAACAATGCCA >hg38_chr16:59483096-59483106(-) ccacaataggg >hg38_chr16:59484687-59484697(-) TAACAATGGAA >hg38_chr16:59484715-59484725(-) ACACAATGAGA >hg38_chr16:59510352-59510362(-) ACACAATGGTT >hg38_chr16:59522969-59522979(+) ccacaatagca >hg38_chr16:59555149-59555159(-) aaacaatgaag >hg38_chr16:59568502-59568512(-) CAACAATGAAA >hg38_chr16:59596987-59596997(-) CCACAATGTAA >hg38_chr16:59603353-59603363(-) TAACAATGAGG >hg38_chr16:59614982-59614992(+) AGACAATGTGT >hg38_chr16:59695297-59695307(+) GCACAATGTAG >hg38_chr16:59708027-59708037(-) caacaatgctt >hg38_chr16:59713592-59713602(+) taacaatgtac >hg38_chr16:59713614-59713624(-) caacaatggag >hg38_chr16:59749800-59749810(-) GGACAATGAGA >hg38_chr16:59770450-59770460(+) ACATAATGGTC >hg38_chr16:59796471-59796481(-) GTACAATGTGT >hg38_chr16:59867180-59867190(-) taacaaaggat >hg38_chr16:59912157-59912167(+) tcacaatggca >hg38_chr16:59916275-59916285(+) acacaatagag >hg38_chr16:59999602-59999612(+) ATACAATGTGA >hg38_chr16:60068183-60068193(-) GGACTATGGCA >hg38_chr16:60068219-60068229(+) GCACAATGAGT >hg38_chr16:60077509-60077519(-) acacaatggaa >hg38_chr16:60104651-60104661(+) GTACAATGTGT >hg38_chr16:60104684-60104694(-) TAACAATGTTT >hg38_chr16:60104724-60104734(-) CAACAATGAGA >hg38_chr16:60105482-60105492(-) TCACAATGCAG >hg38_chr16:60123516-60123526(+) GCACAATGCAG >hg38_chr16:60199379-60199389(+) GCACAATGGTA >hg38_chr16:60241429-60241439(+) taacaatagct >hg38_chr16:60241460-60241470(-) aaacaatgcta >hg38_chr16:60258910-60258920(+) ATACAATGAAA >hg38_chr16:60268818-60268828(+) GCACAATGCAA >hg38_chr16:60274497-60274507(+) GTACAATATAA >hg38_chr16:60297573-60297583(-) taacagtggaa >hg38_chr16:60297595-60297605(+) gcacaatgcat >hg38_chr16:60311319-60311329(-) AGACAATGGGT >hg38_chr16:60408129-60408139(+) TTACAATGGAT >hg38_chr16:60408163-60408173(+) ACATAATGGAC >hg38_chr16:60510881-60510891(+) TGACAATAGCA >hg38_chr16:60553515-60553525(+) aaacaaaggga >hg38_chr16:60582781-60582791(-) GGACAATAGCG >hg38_chr16:60714931-60714941(+) atacaatgacc >hg38_chr16:60747771-60747781(-) AGACAATGGCC >hg38_chr16:60747792-60747802(-) TAACAAAGGTC >hg38_chr16:60756055-60756065(+) acacaatgaaa >hg38_chr16:60795805-60795815(-) GGACAATGACA >hg38_chr16:60895803-60895813(-) AGACAAAGGAG >hg38_chr16:61024435-61024445(-) AAACAATGGCA >hg38_chr16:61042951-61042961(-) TTACAGTGGAC >hg38_chr16:61086498-61086508(+) atacaatggca >hg38_chr16:61238265-61238275(-) GCACGATGGAA >hg38_chr16:61278051-61278061(-) AAACAATGTCA >hg38_chr16:61296876-61296886(-) agactatggat >hg38_chr16:61323549-61323559(-) ggacaaagggc >hg38_chr16:61324212-61324222(+) ttactatgacg >hg38_chr16:61366250-61366260(-) AAACAAAGGCA >hg38_chr16:61385679-61385689(-) GTATAATGTAA >hg38_chr16:61386296-61386306(-) GGACAAAGGAA >hg38_chr16:61419904-61419914(+) GTACAATAGAA >hg38_chr16:61432671-61432681(+) TCACAATAGCT >hg38_chr16:61521398-61521408(-) tgataatggaa >hg38_chr16:61544349-61544359(+) TAACAATGACA >hg38_chr16:61605788-61605798(-) GAACAAAGGCC >hg38_chr16:61629675-61629685(-) agacaatgaac >hg38_chr16:61656484-61656494(+) aaacaatgcac >hg38_chr16:61678050-61678060(-) taacaatagaa >hg38_chr16:61731147-61731157(+) atacaaaggaa >hg38_chr16:61812495-61812505(+) AAACAATAGAA >hg38_chr16:61820554-61820564(+) aaacaatagta >hg38_chr16:61840275-61840285(-) ttacaatgctt >hg38_chr16:61858487-61858497(+) ACATAATGGTT >hg38_chr16:61872499-61872509(+) atacaaaggaa >hg38_chr16:61893512-61893522(+) taacaatgaac >hg38_chr16:61908590-61908600(-) AAACAATGTTT >hg38_chr16:61944127-61944137(-) gaacaatgctc >hg38_chr16:61944150-61944160(-) tcacaatgccc >hg38_chr16:61944171-61944181(+) agacaataata >hg38_chr16:61948642-61948652(-) CAACAATGACT >hg38_chr16:62217010-62217020(+) tcacaatagag >hg38_chr16:62240598-62240608(-) atacaaaggaa >hg38_chr16:62253208-62253218(+) ATACAGTGGGA >hg38_chr16:62271486-62271496(-) GAATAATGGGT >hg38_chr16:62310235-62310245(-) AGACAATGGAC >hg38_chr16:62341852-62341862(-) AAACAATAGAG >hg38_chr16:62490603-62490613(-) ccacaataggc >hg38_chr16:62576549-62576559(-) caacaatagtg >hg38_chr16:62666393-62666403(-) TTACAATATAC >hg38_chr16:62688609-62688619(+) taacaatgtat >hg38_chr16:62688631-62688641(-) taacactggtt >hg38_chr16:62699686-62699696(+) ATACAATGATA >hg38_chr16:62829804-62829814(-) TAACAATGCGT >hg38_chr16:62829812-62829822(+) TTACCATGGTA >hg38_chr16:62829813-62829823(-) TTACCATGGTA >hg38_chr16:62833025-62833035(+) CAACAATGGAA >hg38_chr16:62883718-62883728(+) TAACAATGCAG >hg38_chr16:62919749-62919759(+) AAATAATGGAA >hg38_chr16:62943996-62944006(+) TGATAATGACG >hg38_chr16:63005537-63005547(-) acacaatgcgt >hg38_chr16:63060275-63060285(+) ACACAAAGGAC >hg38_chr16:63069262-63069272(+) GAACAATGCAG >hg38_chr16:63069310-63069320(+) ACACAATAGTT >hg38_chr16:63083571-63083581(+) ATACAAAGGAA >hg38_chr16:63217222-63217232(+) ACACAATGAAA >hg38_chr16:63239310-63239320(+) gaacaaaggct >hg38_chr16:63301824-63301834(-) gaacaaaggga >hg38_chr16:63301836-63301846(+) atacactggga >hg38_chr16:63355192-63355202(+) aaacaatgcaa >hg38_chr16:63379904-63379914(-) AAACAATAGAT >hg38_chr16:63504686-63504696(-) caacaataggc >hg38_chr16:63546304-63546314(+) atacaataagg >hg38_chr16:63565686-63565696(-) GAACCATGGTA >hg38_chr16:63578939-63578949(+) GGACAATGGGA >hg38_chr16:63578992-63579002(-) GGACAATGCCA >hg38_chr16:63612710-63612720(+) caacaatgtct >hg38_chr16:63612938-63612948(-) aaacaatggag >hg38_chr16:63629166-63629176(+) GGACAATGAGG >hg38_chr16:63652309-63652319(-) ACACAATGGTG >hg38_chr16:63697089-63697099(+) GAACAATGCTT >hg38_chr16:63737602-63737612(-) AAACAATGTAC >hg38_chr16:63763513-63763523(+) GGACAATAGCA >hg38_chr16:63846714-63846724(+) ccacaatgggg >hg38_chr16:63870781-63870791(-) TGATAATGGGC >hg38_chr16:63921803-63921813(-) TTACAATGGTG >hg38_chr16:63944803-63944813(+) TTACAATGATT >hg38_chr16:64030501-64030511(+) ccacaatgttt >hg38_chr16:64111348-64111358(-) caacaatggtc >hg38_chr16:64174590-64174600(-) GCACAATGGAC >hg38_chr16:64176929-64176939(+) ACACAATGTGT >hg38_chr16:64186188-64186198(+) TAACAATGCAC >hg38_chr16:64240702-64240712(-) AGACAATGGCC >hg38_chr16:64240725-64240735(+) GAACAAAGGGG >hg38_chr16:64253651-64253661(-) TGACAATGGAA >hg38_chr16:64254909-64254919(-) gaacaaaggga >hg38_chr16:64274089-64274099(+) TCACAATGTGC >hg38_chr16:64274096-64274106(-) ATACAATGCAC >hg38_chr16:64288233-64288243(+) ccacaatagaa >hg38_chr16:64288287-64288297(+) gcacaatgtgc >hg38_chr16:64308409-64308419(-) tcacaatggta >hg38_chr16:64340539-64340549(-) aaacaatagtc >hg38_chr16:64402726-64402736(-) TGACAATGATT >hg38_chr16:64402756-64402766(-) gtacaatgtga >hg38_chr16:64417892-64417902(-) TCACAATGATT >hg38_chr16:64442723-64442733(+) AGACAATGGCA >hg38_chr16:64442731-64442741(+) GCACAATGATT >hg38_chr16:64442776-64442786(-) ACACAATGAAG >hg38_chr16:64449111-64449121(-) aaacaaaggct >hg38_chr16:64449701-64449711(-) TAACAATAAGA >hg38_chr16:64509819-64509829(+) gtacaatagag >hg38_chr16:64581368-64581378(-) atacaatgtta >hg38_chr16:64590191-64590201(+) AAACAATAATA >hg38_chr16:64623569-64623579(-) aaacaatgaag >hg38_chr16:64684884-64684894(-) gtacaatgcaa >hg38_chr16:64703631-64703641(-) aaacaatggtt >hg38_chr16:64739616-64739626(+) CAACAATAGCA >hg38_chr16:64745574-64745584(-) aaaCAGTGGTA >hg38_chr16:64757013-64757023(-) GAACAATATAA >hg38_chr16:64820204-64820214(-) TCACAATGGGG >hg38_chr16:64830791-64830801(-) ATACAATGAAC >hg38_chr16:64855310-64855320(-) AGACAATGCTT >hg38_chr16:64860017-64860027(-) GAACAATGGGC >hg38_chr16:64890221-64890231(-) ACACAATAAAA >hg38_chr16:64890239-64890249(+) TCACAATGGAA >hg38_chr16:64920881-64920891(+) TTACAATGATT >hg38_chr16:64926651-64926661(+) aaacaatgaat >hg38_chr16:64936513-64936523(+) ataccatggta >hg38_chr16:64936514-64936524(-) ttaccatggta >hg38_chr16:64962943-64962953(+) ggactatggaa >hg38_chr16:64962979-64962989(-) taacaatgtgg >hg38_chr16:64968703-64968713(+) GCATAATGGAA >hg38_chr16:64990228-64990238(+) AGACAATCGTA >hg38_chr16:64990245-64990255(+) GGATAATGGAG >hg38_chr16:64994542-64994552(+) ATACAATGTCT >hg38_chr16:64994969-64994979(+) aaacaataaaa >hg38_chr16:65001251-65001261(+) TAACAATGTGT >hg38_chr16:65012139-65012149(+) GCACAAAGGAA >hg38_chr16:65012862-65012872(+) AGACAATGCCT >hg38_chr16:65015782-65015792(+) AAACAATGAGA >hg38_chr16:65016217-65016227(+) CAACAATAGCC >hg38_chr16:65016237-65016247(-) CAACAATGAGA >hg38_chr16:65018996-65019006(-) gaacaataata >hg38_chr16:65022471-65022481(-) ggacaatggtg >hg38_chr16:65048039-65048049(-) caacaatggaa >hg38_chr16:65048067-65048077(-) atacaataata >hg38_chr16:65059656-65059666(-) GCACAATGGGC >hg38_chr16:65120050-65120060(-) AAACAAAGGGA >hg38_chr16:65120072-65120082(-) GGACAAAGGAA >hg38_chr16:65124909-65124919(+) ataccatggaa >hg38_chr16:65148821-65148831(+) atacaataata >hg38_chr16:65196692-65196702(+) TAACAATGGTA >hg38_chr16:65200616-65200626(+) ggacaatgctt >hg38_chr16:65200630-65200640(-) gaacaataggg >hg38_chr16:65208684-65208694(-) caacaatggat >hg38_chr16:65222833-65222843(+) AGACAATGAGA >hg38_chr16:65225022-65225032(-) AAACAATGAGA >hg38_chr16:65227318-65227328(+) ACACAATGCTT >hg38_chr16:65235391-65235401(-) taataatggta >hg38_chr16:65254053-65254063(+) TAACAATAACT >hg38_chr16:65254069-65254079(+) ATACACTGGGA >hg38_chr16:65279816-65279826(+) ctacaatggta >hg38_chr16:65281129-65281139(+) GAACAATGAGT >hg38_chr16:65306476-65306486(-) TGACaataata >hg38_chr16:65365786-65365796(+) GCACAATGCAC >hg38_chr16:65403797-65403807(-) TGACAATGTCA >hg38_chr16:65403809-65403819(-) TAACAATGGCC >hg38_chr16:65430027-65430037(-) AAACAATGGCA >hg38_chr16:65430146-65430156(+) AAACAATGCAA >hg38_chr16:65460717-65460727(+) caacaatgaca >hg38_chr16:65487497-65487507(+) GAACAATGCCA >hg38_chr16:65520831-65520841(+) TAACAATAGGG >hg38_chr16:65521873-65521883(-) AAACAATAGGC >hg38_chr16:65523441-65523451(+) tgacaatggaa >hg38_chr16:65548038-65548048(-) CAACAATGGAT >hg38_chr16:65569919-65569929(-) atacaatgttg >hg38_chr16:65574522-65574532(+) GAACAATGAGG >hg38_chr16:65576876-65576886(+) AGACAATGCAC >hg38_chr16:65631458-65631468(-) TTACAATGCAA >hg38_chr16:65641438-65641448(-) acataatggaa >hg38_chr16:65733408-65733418(+) GAACAATGAAG >hg38_chr16:65768583-65768593(-) caacaatagaa >hg38_chr16:65773319-65773329(-) ccacaatgtcg >hg38_chr16:65804321-65804331(-) atacaatacac >hg38_chr16:65817329-65817339(+) AAACAATGAGG >hg38_chr16:65908724-65908734(+) GGACAATGCTG >hg38_chr16:66025748-66025758(+) CAACAAAGGTA >hg38_chr16:66042083-66042093(+) TAACAAAGGCG >hg38_chr16:66042115-66042125(-) GAACAATGAAT >hg38_chr16:66054225-66054235(-) aaacaataggg >hg38_chr16:66064687-66064697(-) AGACAATGTTT >hg38_chr16:66067805-66067815(-) AGACAATGCCA >hg38_chr16:66169939-66169949(+) gaacaatggtt >hg38_chr16:66189962-66189972(+) GAACAAAGGTG >hg38_chr16:66190935-66190945(-) gtacagtggtt >hg38_chr16:66190942-66190952(-) caacaatgtac >hg38_chr16:66190961-66190971(+) gtagaatggta >hg38_chr16:66241292-66241302(-) GCACAATGGGT >hg38_chr16:66251260-66251270(+) CAACAAtgccg >hg38_chr16:66376319-66376329(-) caacaatggac >hg38_chr16:66382852-66382862(-) AAACAAAGGCT >hg38_chr16:66382875-66382885(+) GGACAATGGGA >hg38_chr16:66394080-66394090(+) Atacaattgta >hg38_chr16:66394081-66394091(-) atacaattgta >hg38_chr16:66420974-66420984(-) acacaataacg >hg38_chr16:66421011-66421021(-) taacaatacat >hg38_chr16:66430908-66430918(-) TAACAATAATA >hg38_chr16:66431518-66431528(+) gcacaatgact >hg38_chr16:66486831-66486841(+) AGACAATGAGC >hg38_chr16:66508440-66508450(+) GAACAATGGAT >hg38_chr16:66517038-66517048(+) GCACAATGATC >hg38_chr16:66569370-66569380(+) AAACAATAACT >hg38_chr16:66569842-66569852(-) GTACAGTGGCC >hg38_chr16:66569877-66569887(+) TGACAATGTAG >hg38_chr16:66580950-66580960(-) GAACAAAGGGA >hg38_chr16:66669126-66669136(+) ctacaatggaa >hg38_chr16:66690194-66690204(-) GCATAATGGTA >hg38_chr16:66690246-66690256(+) GTACAAAGGAA >hg38_chr16:66757378-66757388(-) TAACAATGAAA >hg38_chr16:66775208-66775218(-) AAACAATGGGC >hg38_chr16:66790960-66790970(-) GGACAATGCTT >hg38_chr16:66816671-66816681(-) TTACAATAGGA >hg38_chr16:66816728-66816738(-) TTATAATGGGG >hg38_chr16:66983928-66983938(-) atacaatgtat >hg38_chr16:66983995-66984005(-) acacaaaggag >hg38_chr16:66996531-66996541(+) GAACAATGACT >hg38_chr16:67015329-67015339(+) GAACAATGAAG >hg38_chr16:67015348-67015358(+) caacaatgaag >hg38_chr16:67015367-67015377(+) ggacaatgaca >hg38_chr16:67029284-67029294(+) AAACAAAGGGA >hg38_chr16:67082818-67082828(-) TTACAATAGAA >hg38_chr16:67101766-67101776(+) aaacaataaat >hg38_chr16:67323032-67323042(+) ctacaatgaaa >hg38_chr16:67323041-67323051(+) aaacaataggt >hg38_chr16:67323340-67323350(+) acacaatggaa >hg38_chr16:67363594-67363604(+) AAACAAAGGAC >hg38_chr16:67365548-67365558(-) GAACAAAGGAG >hg38_chr16:67387276-67387286(-) TGACAATGGCA >hg38_chr16:67404752-67404762(+) ttacaatgagg >hg38_chr16:67481688-67481698(+) taacaaaggat >hg38_chr16:67489219-67489229(+) gaacaaaggcc >hg38_chr16:67506647-67506657(-) caacaatgtca >hg38_chr16:67521700-67521710(+) GGACAATGACA >hg38_chr16:67521712-67521722(+) CGACACTGGCC >hg38_chr16:67585917-67585927(-) AGACAAAGGAA >hg38_chr16:67593373-67593383(-) taacaataggc >hg38_chr16:67688455-67688465(+) ATACAATAAAG >hg38_chr16:67843556-67843566(-) CAACAATGGCC >hg38_chr16:67858093-67858103(+) tgacaatgtca >hg38_chr16:67893271-67893281(+) CGAGAATggcg >hg38_chr16:67951958-67951968(-) GGACAATGAGA >hg38_chr16:68040555-68040565(-) AAACAATGCTA >hg38_chr16:68040606-68040616(+) AAACAAAGGGG >hg38_chr16:68084720-68084730(+) GGACAAAGGCG >hg38_chr16:68087176-68087186(-) TTACAATAAAA >hg38_chr16:68087854-68087864(-) acacgatggaa >hg38_chr16:68087928-68087938(-) tcacaatagcc >hg38_chr16:68091887-68091897(+) TAACAGTGGAA >hg38_chr16:68158280-68158290(-) ggataATGGCT >hg38_chr16:68237343-68237353(+) GGACAATGGAG >hg38_chr16:68275009-68275019(-) TAACAAAGGGC >hg38_chr16:68539316-68539326(-) GGACAATGGCC >hg38_chr16:68611129-68611139(+) AGACAATGGGG >hg38_chr16:68628303-68628313(-) AGACAATGAAG >hg38_chr16:68763663-68763673(-) TGACAACGGTA >hg38_chr16:68785046-68785056(+) atacaatgaaa >hg38_chr16:68852077-68852087(-) aaaCAATGGAA >hg38_chr16:68869690-68869700(-) taacaatagga >hg38_chr16:68973008-68973018(+) gaacaaaggaa >hg38_chr16:68987845-68987855(-) CCACAATGCAC >hg38_chr16:68995393-68995403(-) TCACAATAGTC >hg38_chr16:69040681-69040691(-) GGACAATGCCA >hg38_chr16:69040690-69040700(-) GAACAATGAGG >hg38_chr16:69076469-69076479(+) gaataatgggt >hg38_chr16:69076490-69076500(-) aaataatggca >hg38_chr16:69084480-69084490(+) AGACAATGGGT >hg38_chr16:69130669-69130679(-) agacaatgtgc >hg38_chr16:69132348-69132358(-) GAAGAATGGCG >hg38_chr16:69341952-69341962(-) TAACAATAAGC >hg38_chr16:69379391-69379401(+) GGACAATGCCG >hg38_chr16:69407094-69407104(+) aaacaataggg >hg38_chr16:69408619-69408629(+) GAACAATGGAG >hg38_chr16:69408638-69408648(+) TAATAATGGAC >hg38_chr16:69424691-69424701(+) GTTCAATGGCG >hg38_chr16:69437302-69437312(+) GTACAAAGGCT >hg38_chr16:69440465-69440475(-) atacagtgggt >hg38_chr16:69445103-69445113(+) ACACAATAGTT >hg38_chr16:69445140-69445150(-) AAACTATGGAT >hg38_chr16:69457133-69457143(-) AAACAATAAAT >hg38_chr16:69502426-69502436(+) AGACAAAGGGC >hg38_chr16:69522012-69522022(+) ttacAATAAGT >hg38_chr16:69560680-69560690(-) CTACAATGCTC >hg38_chr16:69560711-69560721(+) ACACAATGGTG >hg38_chr16:69568645-69568655(+) ACACAATGTAG >hg38_chr16:69582559-69582569(-) aaacaatgaag >hg38_chr16:69604982-69604992(-) tcacaatggcc >hg38_chr16:69607235-69607245(-) gaacaaaggta >hg38_chr16:69610492-69610502(-) acataatgggc >hg38_chr16:69633168-69633178(-) GGACAATAAAA >hg38_chr16:69670738-69670748(+) TAACAATGAAA >hg38_chr16:69674341-69674351(-) ATACTATGGAC >hg38_chr16:69698049-69698059(-) GAACAAAGGAA >hg38_chr16:69699749-69699759(-) TAACAATATAA >hg38_chr16:69714972-69714982(-) TGACAAAGGAC >hg38_chr16:69773148-69773158(-) ATACAATGAAG >hg38_chr16:69796769-69796779(-) GGACAAAGGTT >hg38_chr16:69796789-69796799(-) CGACAAGGGAA >hg38_chr16:69840163-69840173(+) GAACAAAGGCA >hg38_chr16:69849280-69849290(-) CAACAATGACT >hg38_chr16:69884771-69884781(+) aaacaaaggga >hg38_chr16:69918499-69918509(+) gtacaatggta >hg38_chr16:70162133-70162143(+) GAACAATGGGC >hg38_chr16:70279789-70279799(-) gaacactggaa >hg38_chr16:70430986-70430996(+) GAACAAAGGTT >hg38_chr16:70437169-70437179(+) CAACAATGCGC >hg38_chr16:70447161-70447171(-) atacaatgtag >hg38_chr16:70540808-70540818(-) atacaatgagt >hg38_chr16:70554462-70554472(-) ACACAATGATG >hg38_chr16:70582831-70582841(+) AGACAATGGCC >hg38_chr16:70604585-70604595(-) GAACAATGGGG >hg38_chr16:70634939-70634949(-) agacagtggaa >hg38_chr16:70635433-70635443(-) AGACAAAGGGC >hg38_chr16:70674003-70674013(+) atacaaagggg >hg38_chr16:70703285-70703295(+) AGACAATGGCC >hg38_chr16:70708853-70708863(-) GGACAATGGTC >hg38_chr16:70772873-70772883(+) atacaatggac >hg38_chr16:70775996-70776006(+) gtacaatgggg >hg38_chr16:70776039-70776049(+) tgacaatgaat >hg38_chr16:71259601-71259611(-) ttacaatagct >hg38_chr16:71259988-71259998(-) caacaATGGcc >hg38_chr16:71260005-71260015(+) gaacaatgtcc >hg38_chr16:71288944-71288954(+) AAACAACGGCC >hg38_chr16:71294175-71294185(+) acacaaaggca >hg38_chr16:71294192-71294202(-) atactatggtt >hg38_chr16:71345206-71345216(+) aaacaatgggc >hg38_chr16:71387211-71387221(+) ACACAAAGGAC >hg38_chr16:71393557-71393567(+) GGATAATGGGA >hg38_chr16:71426625-71426635(+) GAACTATGGGC >hg38_chr16:71440855-71440865(+) GGACAATGCAC >hg38_chr16:71461025-71461035(+) acacaatggtg >hg38_chr16:71468019-71468029(-) GGACAATGGTT >hg38_chr16:71550356-71550366(-) taacaatgtct >hg38_chr16:71594472-71594482(+) GTACAAAGGCC >hg38_chr16:71608470-71608480(+) GAACAATGAGT >hg38_chr16:71628107-71628117(+) TAACAAAGGGT >hg38_chr16:71628979-71628989(+) TAACAAAGGGA >hg38_chr16:71646235-71646245(+) TCATAATGGGC >hg38_chr16:71679472-71679482(+) TAACAATATAG >hg38_chr16:71687310-71687320(-) CAACAAAGGAc >hg38_chr16:71706158-71706168(+) AGACAATAGTT >hg38_chr16:71732383-71732393(-) AAACACTGGAC >hg38_chr16:71734716-71734726(+) GAACAAAGGGC >hg38_chr16:71740631-71740641(+) ttacaatgttt >hg38_chr16:71773467-71773477(+) AAACAATAGGA >hg38_chr16:71783282-71783292(-) aaacaatggca >hg38_chr16:71783295-71783305(+) agacaatagta >hg38_chr16:71783321-71783331(+) aaacaatgacg >hg38_chr16:71795373-71795383(+) AAACAATGAGA >hg38_chr16:71848251-71848261(+) TAACAATGGAC >hg38_chr16:71885647-71885657(-) AGACAATGAGA >hg38_chr16:71949130-71949140(-) gtacaatgcat >hg38_chr16:71956973-71956983(+) caacaatagca >hg38_chr16:72032202-72032212(-) aaataatggtg >hg38_chr16:72053727-72053737(-) atataatggaa >hg38_chr16:72053742-72053752(+) atacaatgcag >hg38_chr16:72073234-72073244(-) gaacaaaggaa >hg38_chr16:72087113-72087123(-) AAACAATGTGC >hg38_chr16:72087151-72087161(-) GCACAATGCCC >hg38_chr16:72141825-72141835(-) gtacaatatcc >hg38_chr16:72141833-72141843(-) ggacaatagta >hg38_chr16:72172402-72172412(-) ggacaatgtgg >hg38_chr16:72206478-72206488(+) GAACAATGCAC >hg38_chr16:72206501-72206511(-) ttacaaaGGCC >hg38_chr16:72239331-72239341(-) AGACAATGGAA >hg38_chr16:72248134-72248144(+) AAATAATGGGA >hg38_chr16:72254967-72254977(-) atacaatgaaa >hg38_chr16:72286197-72286207(-) TAACAAAGGTG >hg38_chr16:72286228-72286238(-) AATCAATGGCG >hg38_chr16:72286241-72286251(-) TAACAAAGGAG >hg38_chr16:72363187-72363197(+) AAACAATGGGG >hg38_chr16:72380603-72380613(+) acacaatgtct >hg38_chr16:72409500-72409510(-) GTACAATGTAA >hg38_chr16:72442520-72442530(+) AGACAAAGGAT >hg38_chr16:72442556-72442566(-) TTACAATGGAC >hg38_chr16:72442998-72443008(+) AAACAATGAGT >hg38_chr16:72444375-72444385(-) tgataatggta >hg38_chr16:72444434-72444444(-) taataatggta >hg38_chr16:72444440-72444450(-) taacaataata >hg38_chr16:72444446-72444456(-) aaacaataaca >hg38_chr16:72463887-72463897(-) gaataatgata >hg38_chr16:72468868-72468878(+) TGATAATGGGA >hg38_chr16:72469749-72469759(-) GCACAATGAGA >hg38_chr16:72469838-72469848(-) CTACAATGAAT >hg38_chr16:72470106-72470116(-) AAACAATGAAT >hg38_chr16:72470875-72470885(-) AGACAATGCTA >hg38_chr16:72470910-72470920(-) AAACAATGGTT >hg38_chr16:72475396-72475406(+) aaacaatgaag >hg38_chr16:72482901-72482911(+) TCACAATGTCT >hg38_chr16:72482916-72482926(+) TCACAATGTGT >hg38_chr16:72494088-72494098(-) CAACAATGGAA >hg38_chr16:72560817-72560827(+) ATACAATGTAC >hg38_chr16:72614135-72614145(+) CAACAATGAAC >hg38_chr16:72614313-72614323(-) TGACAATAGGA >hg38_chr16:72614337-72614347(+) gcacaatgaat >hg38_chr16:72615416-72615426(-) GTATAATGGCC >hg38_chr16:72616399-72616409(-) caacaatgaat >hg38_chr16:72616840-72616850(-) gcacaatgcct >hg38_chr16:72645811-72645821(+) aaacaatagcc >hg38_chr16:72645827-72645837(+) caacaatgact >hg38_chr16:72647206-72647216(-) GTACAATACAA >hg38_chr16:72699471-72699481(-) TAACAATAAAT >hg38_chr16:72699526-72699536(-) TTACAATAAAA >hg38_chr16:72721578-72721588(-) TAACAATAACT >hg38_chr16:72722816-72722826(-) GAACAAAGGGA >hg38_chr16:72744618-72744628(+) TAATAATGGGC >hg38_chr16:72745152-72745162(-) ATATAATGGTT >hg38_chr16:72745662-72745672(+) ttataatggaa >hg38_chr16:72784155-72784165(-) CGACAATAAGG >hg38_chr16:72787901-72787911(+) GAACAATGAAG >hg38_chr16:72788528-72788538(-) acacaaaggga >hg38_chr16:72800639-72800649(+) GAACAAAGGGA >hg38_chr16:72839255-72839265(+) CGACAAAGGAG >hg38_chr16:72875712-72875722(+) TGACAATGCTT >hg38_chr16:72930552-72930562(+) ACATAATGGCA >hg38_chr16:72930595-72930605(+) AGACAATAGCA >hg38_chr16:72931628-72931638(+) TAACAAAGGGT >hg38_chr16:72963951-72963961(+) AAACAAAGGGG >hg38_chr16:73000345-73000355(+) GAACAATGGTC >hg38_chr16:73015724-73015734(+) AGACAAAGGAA >hg38_chr16:73033359-73033369(-) TAACAATAGTA >hg38_chr16:73050425-73050435(-) GAACAAAGGCA >hg38_chr16:73059432-73059442(+) CAACAATAGGA >hg38_chr16:73061263-73061273(+) GCACAATGTGT >hg38_chr16:73062856-73062866(-) TAACAATACAC >hg38_chr16:73062873-73062883(-) ATACAATAGAC >hg38_chr16:73070703-73070713(-) agaCAATGATG >hg38_chr16:73126764-73126774(-) TCACAATGTCC >hg38_chr16:73145416-73145426(+) AGACAATGGCA >hg38_chr16:73148262-73148272(+) CAACAATGGAA >hg38_chr16:73148279-73148289(-) AAACAAAGGAA >hg38_chr16:73161977-73161987(-) TAACAATGGGC >hg38_chr16:73215315-73215325(+) TGACAATGGCT >hg38_chr16:73304325-73304335(+) GAACAATAGTG >hg38_chr16:73315637-73315647(+) ATACAATGGTT >hg38_chr16:73330053-73330063(+) ACACAATGCAG >hg38_chr16:73336415-73336425(-) gaacaatagac >hg38_chr16:73336435-73336445(-) acacaatgaca >hg38_chr16:73355938-73355948(-) ggacaatggct >hg38_chr16:73356613-73356623(+) aTACAATGGCA >hg38_chr16:73383800-73383810(+) GCACAATGGAC >hg38_chr16:73390691-73390701(+) TAACAAAGGAA >hg38_chr16:73390719-73390729(+) GAACAATGGAG >hg38_chr16:73403634-73403644(+) GAACAATAGGA >hg38_chr16:73418810-73418820(-) GTACAAAGGAA >hg38_chr16:73428192-73428202(-) AGACAATAGCC >hg38_chr16:73483713-73483723(+) CGACAAAGGCT >hg38_chr16:73545047-73545057(+) CAACAATAGCA >hg38_chr16:73545077-73545087(+) ACACAAAGGGA >hg38_chr16:73545946-73545956(+) AAACAATGACG >hg38_chr16:73546955-73546965(-) TAACAATGGGG >hg38_chr16:73565564-73565574(-) ggacaatgtgt >hg38_chr16:73574075-73574085(-) GAATAATGGAT >hg38_chr16:73612323-73612333(+) aaacaatagca >hg38_chr16:73615165-73615175(+) ACACAATGAGG >hg38_chr16:73671071-73671081(+) TCACAATGACT >hg38_chr16:73671086-73671096(+) GAACAAAGGAC >hg38_chr16:73697516-73697526(+) TGACAATGAAA >hg38_chr16:73768976-73768986(+) aaacaatgaat >hg38_chr16:73769042-73769052(-) aaacaatgaat >hg38_chr16:73787978-73787988(+) taacaaaggga >hg38_chr16:73843272-73843282(+) AGACAATGCCT >hg38_chr16:73860092-73860102(+) ACACAATAGCA >hg38_chr16:73878813-73878823(+) CTACAATGCCA >hg38_chr16:73883483-73883493(+) GCACAATGCAA >hg38_chr16:73883517-73883527(+) CAACAATGACA >hg38_chr16:73884805-73884815(-) AAACAATAGCA >hg38_chr16:73884819-73884829(+) AAACAATAAAA >hg38_chr16:73884842-73884852(-) AAACAATAGAT >hg38_chr16:73884849-73884859(-) GGACAATAAAC >hg38_chr16:73915750-73915760(+) TGACAATGTAC >hg38_chr16:73936831-73936841(+) TGACAATGATT >hg38_chr16:73936878-73936888(+) GAACAATGACT >hg38_chr16:73959622-73959632(+) CCACAATGGCT >hg38_chr16:74029405-74029415(-) AAACAATGCTT >hg38_chr16:74030640-74030650(-) GAACAATGAGA >hg38_chr16:74035254-74035264(+) ACACAATAGGA >hg38_chr16:74035948-74035958(-) GAACAATGAAG >hg38_chr16:74043097-74043107(+) caacaatagga >hg38_chr16:74043114-74043124(+) agacaatagta >hg38_chr16:74045736-74045746(+) AGACAGTGGTA >hg38_chr16:74046719-74046729(+) AAACAAAGGCC >hg38_chr16:74066486-74066496(+) ACACAAAGGCG >hg38_chr16:74067155-74067165(+) GCACAATGCAA >hg38_chr16:74097533-74097543(+) agacaatggta >hg38_chr16:74101158-74101168(+) AGACAATGGCA >hg38_chr16:74186817-74186827(+) TGACTATGGAT >hg38_chr16:74210549-74210559(-) AGACAATGAAT >hg38_chr16:74224965-74224975(-) GCACAATGGGA >hg38_chr16:74226210-74226220(-) ACACAATGCTT >hg38_chr16:74250790-74250800(+) ACACAATGCAG >hg38_chr16:74253789-74253799(-) ggacaatggtg >hg38_chr16:74257628-74257638(-) ccacaatgggc >hg38_chr16:74275797-74275807(-) TAACAATAGTG >hg38_chr16:74277264-74277274(+) gcacaatgggc >hg38_chr16:74455438-74455448(+) ACACAATGAGG >hg38_chr16:74521904-74521914(-) ttacaaaggat >hg38_chr16:74601110-74601120(+) aaacaatgttt >hg38_chr16:74601142-74601152(-) tgacaatgaat >hg38_chr16:74604508-74604518(+) CAATAATGGGT >hg38_chr16:74649935-74649945(-) gcacaatgctc >hg38_chr16:74654071-74654081(-) agataatggtt >hg38_chr16:74709711-74709721(-) GCACAATGACC >hg38_chr16:74803794-74803804(-) ctacaatagat >hg38_chr16:74829552-74829562(+) acacaaaggag >hg38_chr16:74837260-74837270(+) AGACAATGGGT >hg38_chr16:74866218-74866228(-) ccacaatggag >hg38_chr16:74868732-74868742(-) ttacaaaggtc >hg38_chr16:74887425-74887435(+) GAACAGTGGGA >hg38_chr16:74887438-74887448(-) TGACAATGGGA >hg38_chr16:74893112-74893122(+) gtacaatactc >hg38_chr16:74999020-74999030(-) AGACAATGGAG >hg38_chr16:75027968-75027978(+) aaacaatggca >hg38_chr16:75072857-75072867(+) TTACAATGAAG >hg38_chr16:75092944-75092954(-) GGACAATGGGG >hg38_chr16:75261175-75261185(+) AGACAATGGCC >hg38_chr16:75261216-75261226(+) AAACAATGGGG >hg38_chr16:75272162-75272172(-) ACACAATGCCC >hg38_chr16:75313064-75313074(-) GAACAATAGAC >hg38_chr16:75320714-75320724(-) GAACAATGAAC >hg38_chr16:75323374-75323384(+) caacaatgctt >hg38_chr16:75331672-75331682(+) gcacaatgttt >hg38_chr16:75351061-75351071(+) atacaataggt >hg38_chr16:75371536-75371546(-) CTACAAAGGAA >hg38_chr16:75378974-75378984(+) gaacaatacac >hg38_chr16:75415220-75415230(-) TGACAATGGGA >hg38_chr16:75415675-75415685(-) caacaatgtgg >hg38_chr16:75415734-75415744(-) atacaatgaca >hg38_chr16:75417367-75417377(+) aaataatggaa >hg38_chr16:75417389-75417399(+) ttacaatgcaa >hg38_chr16:75420325-75420335(-) tatcaatggac >hg38_chr16:75432811-75432821(+) tcacaatgagg >hg38_chr16:75472452-75472462(+) gaacaatgaaa >hg38_chr16:75486203-75486213(-) GTACAATGCAG >hg38_chr16:75495401-75495411(+) ACACAATGAGG >hg38_chr16:75570792-75570802(+) TCACAATGGCC >hg38_chr16:75576123-75576133(-) GTACAATAAAA >hg38_chr16:75576179-75576189(-) TAACAATGTGG >hg38_chr16:75577571-75577581(-) GGACAATGCAC >hg38_chr16:75607881-75607891(+) acacaatggga >hg38_chr16:75648726-75648736(-) gaacaaTAATA >hg38_chr16:75733814-75733824(-) ACACAAAGGCA >hg38_chr16:75733840-75733850(-) ACACAAAGGTC >hg38_chr16:75816855-75816865(-) GGACAATGTTG >hg38_chr16:75817082-75817092(-) CAACAATGAAC >hg38_chr16:75836794-75836804(+) ttacaatagcc >hg38_chr16:75838695-75838705(+) GTATAATGCGT >hg38_chr16:75861594-75861604(+) gtacaatgttc >hg38_chr16:75903523-75903533(-) caacaatagtg >hg38_chr16:75916030-75916040(-) CTACAACGGAA >hg38_chr16:75963706-75963716(+) TTACAATAACT >hg38_chr16:75972365-75972375(-) GTACAGTGGGG >hg38_chr16:75983912-75983922(-) AGATAATGGTG >hg38_chr16:76199699-76199709(-) TCACAATAGCA >hg38_chr16:76265588-76265598(+) GAACAAAGGCA >hg38_chr16:76335122-76335132(-) ttacaatgaaa >hg38_chr16:76335404-76335414(-) GAACAATGAGC >hg38_chr16:76356617-76356627(+) GAACAATGTAC >hg38_chr16:76419335-76419345(-) taacaaagggc >hg38_chr16:76493172-76493182(+) ATATAATGGGG >hg38_chr16:76529241-76529251(-) ACACAAAGGAC >hg38_chr16:76628441-76628451(-) acacaatggga >hg38_chr16:76637819-76637829(-) GGACAAAGGCA >hg38_chr16:76673113-76673123(-) taacaatgtct >hg38_chr16:76673124-76673134(+) agacaatgggt >hg38_chr16:76673166-76673176(-) atacaatagta >hg38_chr16:76676679-76676689(+) ggacaatggct >hg38_chr16:76683147-76683157(-) atacaataagc >hg38_chr16:76898226-76898236(+) GAACAATAGGA >hg38_chr16:76964519-76964529(+) TTACAATATAT >hg38_chr16:77005992-77006002(+) GTACAATAGCA >hg38_chr16:77043275-77043285(+) ttacaatagaa >hg38_chr16:77063928-77063938(-) GAACAATGCAG >hg38_chr16:77183160-77183170(-) ggacaatgaat >hg38_chr16:77183167-77183177(-) agataatggac >hg38_chr16:77192425-77192435(+) TAATAATGGTT >hg38_chr16:77237286-77237296(+) TCACAATAGCA >hg38_chr16:77271854-77271864(+) AGACAATATAG >hg38_chr16:77299641-77299651(+) TGACAATGGTT >hg38_chr16:77308727-77308737(-) AAACAATGTTT >hg38_chr16:77360155-77360165(-) TGACAATGAAG >hg38_chr16:77360196-77360206(+) ATACAAAGGCA >hg38_chr16:77360581-77360591(+) AAACAATGCAC >hg38_chr16:77403869-77403879(+) aaacaaaggga >hg38_chr16:77457035-77457045(+) AAACAATGGCT >hg38_chr16:77509827-77509837(+) CAACAATAGCA >hg38_chr16:77541397-77541407(-) TGACAATGAGG >hg38_chr16:77594105-77594115(+) GCACAATGGCA >hg38_chr16:77675402-77675412(+) TAATAATGGTT >hg38_chr16:77676705-77676715(-) taacaataggg >hg38_chr16:77699453-77699463(-) ATACAATAGTT >hg38_chr16:77709801-77709811(-) GTACAATGTGG >hg38_chr16:77804869-77804879(+) GGACAAAGGGA >hg38_chr16:77816369-77816379(-) CCACAATGGTG >hg38_chr16:77834115-77834125(-) GAACAATGGGA >hg38_chr16:77841655-77841665(-) GAACAATGAAG >hg38_chr16:77841666-77841676(+) TGACAAAGGGA >hg38_chr16:77846336-77846346(+) CCACAATGACA >hg38_chr16:77854349-77854359(-) CTACAATGCTT >hg38_chr16:77922500-77922510(+) TAACAATGAAA >hg38_chr16:77928027-77928037(+) ATACAATATCA >hg38_chr16:77928046-77928056(+) AAACAATGCAG >hg38_chr16:77965847-77965857(-) ACACAATAGCT >hg38_chr16:77965873-77965883(+) GCACTATGGAC >hg38_chr16:77965895-77965905(-) GGACAATGGTG >hg38_chr16:77967436-77967446(+) GAACAATATCA >hg38_chr16:77967458-77967468(-) TGACAATGTTG >hg38_chr16:77991287-77991297(-) acacaatgcaa >hg38_chr16:77993293-77993303(+) ATACACTGGTT >hg38_chr16:78023364-78023374(-) TCACAATGCCT >hg38_chr16:78025761-78025771(-) AAACAAAGGGA >hg38_chr16:78025799-78025809(+) CTACAAAGGAT >hg38_chr16:78025831-78025841(+) GGACAATGAAT >hg38_chr16:78222120-78222130(-) ACACAATAGGT >hg38_chr16:78222137-78222147(+) GAACAATGGCA >hg38_chr16:78279047-78279057(-) TGACAATGGGA >hg38_chr16:78290434-78290444(-) TAACAATGCCA >hg38_chr16:78318071-78318081(+) TAACAATATCC >hg38_chr16:78322325-78322335(-) TTACAAAGGGA >hg38_chr16:78322340-78322350(-) TAACAATGCAT >hg38_chr16:78326153-78326163(-) GCACAATGGCA >hg38_chr16:78336575-78336585(+) agacaataggg >hg38_chr16:78371181-78371191(-) AAACAATGACA >hg38_chr16:78376618-78376628(-) taacaaaggat >hg38_chr16:78448823-78448833(-) tgacaatgatc >hg38_chr16:78478460-78478470(+) TGATAATGACG >hg38_chr16:78479878-78479888(-) GAACAATGCAG >hg38_chr16:78479918-78479928(+) TGACAATGGAG >hg38_chr16:78505058-78505068(-) GAACAATAATA >hg38_chr16:78505092-78505102(+) GCACAATAGGG >hg38_chr16:78549180-78549190(+) GGACAATAGAA >hg38_chr16:78598822-78598832(-) GGACAATGAAG >hg38_chr16:78601730-78601740(+) GAACAAAGGAT >hg38_chr16:78754892-78754902(-) AGATAATGGAC >hg38_chr16:78882301-78882311(-) gaacaatgaaa >hg38_chr16:78906881-78906891(-) CAACAATGAGA >hg38_chr16:78940779-78940789(-) GGACAATAAGA >hg38_chr16:78972297-78972307(-) ttataatgcga >hg38_chr16:79076841-79076851(+) caacaatggct >hg38_chr16:79077592-79077602(-) CAACAATGGAA >hg38_chr16:79105226-79105236(-) AAACAATAGCA >hg38_chr16:79109209-79109219(-) GGACAAAGGAA >hg38_chr16:79171760-79171770(-) agacaaTGAAC >hg38_chr16:79212598-79212608(-) GTACAATGTGA >hg38_chr16:79310588-79310598(+) AAACAAAGGCT >hg38_chr16:79318277-79318287(-) GGACAATGCTC >hg38_chr16:79328447-79328457(+) AAACAATGGAA >hg38_chr16:79347855-79347865(+) GAACAGTGGGT >hg38_chr16:79411466-79411476(+) TGACAATGAAC >hg38_chr16:79420729-79420739(+) gtacaataaga >hg38_chr16:79425378-79425388(-) AAACAATGTAG >hg38_chr16:79602296-79602306(-) CAACAATAGTT >hg38_chr16:79603622-79603632(-) TAACAATGTAA >hg38_chr16:79659044-79659054(-) GCACAATGAGA >hg38_chr16:79678048-79678058(-) atacaatggaa >hg38_chr16:79805799-79805809(-) GGACAAAGGAA >hg38_chr16:79933724-79933734(-) AAACAATGGAG >hg38_chr16:79981396-79981406(+) GGACAAAGGGA >hg38_chr16:79994682-79994692(-) GAACAATGGAC >hg38_chr16:80106155-80106165(-) AAACAATAGTG >hg38_chr16:80152007-80152017(-) GCACAATGAAT >hg38_chr16:80152287-80152297(-) AGACAATGCAG >hg38_chr16:80165210-80165220(+) TCATAATGGAT >hg38_chr16:80195251-80195261(-) CAACAATGGAT >hg38_chr16:80195270-80195280(+) GAACAATGACT >hg38_chr16:80198660-80198670(-) ACACAATGGAA >hg38_chr16:80198697-80198707(+) TAACAATGAAT >hg38_chr16:80198716-80198726(-) CTACAATGGAG >hg38_chr16:80260606-80260616(-) TCACAATGTAA >hg38_chr16:80261113-80261123(+) GCACAATGGGC >hg38_chr16:80321235-80321245(+) taacaatatta >hg38_chr16:80321283-80321293(-) taacaatgttt >hg38_chr16:80390450-80390460(+) TTACAATGAGA >hg38_chr16:80390490-80390500(-) CGACAATGGTT >hg38_chr16:80448834-80448844(-) acacaatgatt >hg38_chr16:80466626-80466636(-) AGACAATGGGC >hg38_chr16:80473133-80473143(-) ccacaatgTAA >hg38_chr16:80503356-80503366(-) TAACAATAATG >hg38_chr16:80503759-80503769(+) acataatggat >hg38_chr16:80528916-80528926(+) TAACAATGTCC >hg38_chr16:80546615-80546625(-) AAACAATGAAT >hg38_chr16:80605527-80605537(+) TAACAATAGCA >hg38_chr16:80605570-80605580(+) TAACAATAGTA >hg38_chr16:80605610-80605620(+) GAACAATAATC >hg38_chr16:80651509-80651519(+) ctacaatggag >hg38_chr16:80666888-80666898(-) taacaatgctg >hg38_chr16:80718747-80718757(+) GAACAATGGGC >hg38_chr16:80756452-80756462(+) gaacaataaat >hg38_chr16:80778780-80778790(+) CAATAATGGAA >hg38_chr16:80810081-80810091(+) ggacaatgggt >hg38_chr16:80825005-80825015(-) GAACAAAGGCA >hg38_chr16:80834666-80834676(-) ttacaatgcac >hg38_chr16:80843674-80843684(+) gtacagtggat >hg38_chr16:80850906-80850916(-) tcacaatgaat >hg38_chr16:80930505-80930515(-) TAACAATGGAG >hg38_chr16:80938326-80938336(+) AAACAATAACA >hg38_chr16:80938366-80938376(+) GAACAATGGGA >hg38_chr16:80938578-80938588(+) ATACAATGACC >hg38_chr16:80938622-80938632(-) AGACAATGATT >hg38_chr16:80948451-80948461(-) TAACAAAGGAG >hg38_chr16:80948468-80948478(+) TAACAATAAGA >hg38_chr16:80993524-80993534(+) TCACAATGCCA >hg38_chr16:80994066-80994076(+) tgacaaaggga >hg38_chr16:80998637-80998647(+) agacaatggat >hg38_chr16:80998650-80998660(+) gcacaatgtgg >hg38_chr16:80998668-80998678(+) atacaatggaa >hg38_chr16:81002626-81002636(+) AGACAATATTA >hg38_chr16:81081937-81081947(-) AGATAATGGAC >hg38_chr16:81096834-81096844(+) acacaatgggg >hg38_chr16:81141172-81141182(-) AAACAATGCAG >hg38_chr16:81159248-81159258(-) gaacaatgtgc >hg38_chr16:81205225-81205235(+) GAACAATGTCA >hg38_chr16:81238594-81238604(+) GAACAATAAGA >hg38_chr16:81261225-81261235(-) AAACACTGGAA >hg38_chr16:81323842-81323852(-) ATACAATGCTC >hg38_chr16:81325389-81325399(+) gaacaaaggat >hg38_chr16:81429109-81429119(-) AAACAATGTGG >hg38_chr16:81431686-81431696(-) tcacaaaggac >hg38_chr16:81431756-81431766(+) AGACAATGGGC >hg38_chr16:81502758-81502768(-) aaacaataggt >hg38_chr16:81530993-81531003(-) taacaATGACG >hg38_chr16:81539351-81539361(+) GCACAATGTCT >hg38_chr16:81564639-81564649(+) agacaatgggg >hg38_chr16:81600388-81600398(+) atacaatggaa >hg38_chr16:81609027-81609037(-) TAACAATGCTA >hg38_chr16:81618867-81618877(-) aaacaatgagc >hg38_chr16:81653006-81653016(+) gaacaatgaaa >hg38_chr16:81698235-81698245(-) ACACAATGGCA >hg38_chr16:81723274-81723284(-) acacAATGTTC >hg38_chr16:81738006-81738016(+) gcacaatagaa >hg38_chr16:81738035-81738045(+) aaacaatgcgg >hg38_chr16:81818413-81818423(-) gaacaatgaat >hg38_chr16:81909144-81909154(+) agacaatgtct >hg38_chr16:81997464-81997474(+) ggacaatgcag >hg38_chr16:82011395-82011405(+) GAACAAGGGCG >hg38_chr16:82021151-82021161(+) ggactatggga >hg38_chr16:82045633-82045643(+) atacaatagtg >hg38_chr16:82045649-82045659(-) gaacaaaggag >hg38_chr16:82071564-82071574(-) TAACAATAGCA >hg38_chr16:82125836-82125846(+) acacaatggag >hg38_chr16:82170630-82170640(-) GAACAAAGGGA >hg38_chr16:82174278-82174288(+) AGACAATGAAG >hg38_chr16:82179350-82179360(-) caataatgggt >hg38_chr16:82184862-82184872(+) ATACAATACAT >hg38_chr16:82232227-82232237(-) CAACAATGTGT >hg38_chr16:82232239-82232249(-) AAATAATGGGA >hg38_chr16:82235882-82235892(-) agacaatatac >hg38_chr16:82270223-82270233(+) gaacaataggc >hg38_chr16:82345372-82345382(-) AGACAATGGTG >hg38_chr16:82394129-82394139(+) gtacaatcgac >hg38_chr16:82400215-82400225(+) GAACAAAGGGC >hg38_chr16:82416683-82416693(-) AGACAATGACA >hg38_chr16:82416706-82416716(-) ctacaatagaa >hg38_chr16:82421558-82421568(+) AGACTATGGGA >hg38_chr16:82497275-82497285(-) tgacaatgcat >hg38_chr16:82510221-82510231(+) TCACAATGGCA >hg38_chr16:82530063-82530073(-) gcacaatggcc >hg38_chr16:82532311-82532321(+) TGACAATGGGC >hg38_chr16:82533350-82533360(+) gcacaatgcaa >hg38_chr16:82545045-82545055(+) TAACAATAGAT >hg38_chr16:82548210-82548220(+) gaacaataacc >hg38_chr16:82571128-82571138(-) aaacaacggcg >hg38_chr16:82571151-82571161(-) gtacaatgctg >hg38_chr16:82592143-82592153(-) ATACAATGACA >hg38_chr16:82594417-82594427(-) CAACAATAGCT >hg38_chr16:82594429-82594439(+) GTATAATGGAA >hg38_chr16:82606308-82606318(-) TAACAACGCGC >hg38_chr16:82606372-82606382(-) GAACAAAGGCA >hg38_chr16:82629731-82629741(+) CAACAATGACT >hg38_chr16:82644115-82644125(-) GTACAATGACA >hg38_chr16:82747048-82747058(+) AGACAATGTGA >hg38_chr16:82747883-82747893(+) TAACAATAGAA >hg38_chr16:82784349-82784359(-) ggacaatgtct >hg38_chr16:82819466-82819476(-) GAACAGTGGGA >hg38_chr16:82875697-82875707(+) caacaatgcaa >hg38_chr16:82911744-82911754(-) AGACAATGGAT >hg38_chr16:82945519-82945529(+) TAACAATAATA >hg38_chr16:82947951-82947961(-) ttacaataaat >hg38_chr16:82966055-82966065(-) GCACAATGCCT >hg38_chr16:82973436-82973446(-) GAACAGTGGGA >hg38_chr16:82973492-82973502(-) gcacaatgcct >hg38_chr16:83015997-83016007(-) CAACAATAGCG >hg38_chr16:83056163-83056173(-) gtataatagta >hg38_chr16:83070649-83070659(+) GGACAATGCTG >hg38_chr16:83114895-83114905(+) GCACAATGAGA >hg38_chr16:83137108-83137118(-) CCACAATGGAG >hg38_chr16:83156399-83156409(-) GCACAATGCTA >hg38_chr16:83156443-83156453(+) CTACAAAGGCG >hg38_chr16:83212000-83212010(+) ATATAATGGGC >hg38_chr16:83233309-83233319(+) CGACAATGTTG >hg38_chr16:83312494-83312504(-) AAACAAAGGGC >hg38_chr16:83327479-83327489(-) gaaCAATGAAT >hg38_chr16:83339146-83339156(+) aaacaatggat >hg38_chr16:83343428-83343438(+) TAACAATGCAG >hg38_chr16:83362716-83362726(-) CCACAATGAGA >hg38_chr16:83398978-83398988(+) CAACAATGGCT >hg38_chr16:83519869-83519879(+) GGACAAAGGAA >hg38_chr16:83546774-83546784(-) TAACAATAGCC >hg38_chr16:83568482-83568492(-) AAACAATGATT >hg38_chr16:83602927-83602937(+) TAACAATAACT >hg38_chr16:83682233-83682243(+) caacaatgagg >hg38_chr16:83688053-83688063(+) GAATAATGATA >hg38_chr16:83711303-83711313(+) AAACAATAGCG >hg38_chr16:83744554-83744564(-) GAACAATGTTT >hg38_chr16:83762792-83762802(-) GAACAATGAAC >hg38_chr16:83762861-83762871(+) AAACAATGAAG >hg38_chr16:83769266-83769276(+) gaacaatgggg >hg38_chr16:83788203-83788213(+) GAACAAAGGCA >hg38_chr16:83840771-83840781(-) gcacaatggac >hg38_chr16:83842392-83842402(-) ttacaaaggat >hg38_chr16:83843225-83843235(-) gaacaatgcca >hg38_chr16:83847751-83847761(-) GGACAATGAGT >hg38_chr16:83887753-83887763(-) ACACAATGGTG >hg38_chr16:84026798-84026808(+) ccacaatagga >hg38_chr16:84032716-84032726(+) ATACAATGTCT >hg38_chr16:84032723-84032733(-) GAACAATAGAC >hg38_chr16:84043739-84043749(+) ACACAATGGCT >hg38_chr16:84044255-84044265(+) gaacaatagaa >hg38_chr16:84116463-84116473(-) AAACAATACGC >hg38_chr16:84132269-84132279(+) ggacaaaggag >hg38_chr16:84175403-84175413(+) GCACAATGGGG >hg38_chr16:84193273-84193283(-) aaacaatggcc >hg38_chr16:84283790-84283800(-) GGACAAAGGAC >hg38_chr16:84299908-84299918(-) AGACAATGCAA >hg38_chr16:84313104-84313114(+) CTACAACGGAT >hg38_chr16:84350420-84350430(-) AAACAAAGGTG >hg38_chr16:84356881-84356891(-) GGACAATGACT >hg38_chr16:84362681-84362691(+) AAATAATGGCT >hg38_chr16:84362695-84362705(+) AAACAATGAAA >hg38_chr16:84378589-84378599(+) GCACAATGCCT >hg38_chr16:84446242-84446252(+) GAACAATGAAT >hg38_chr16:84476014-84476024(-) gaacaaagggg >hg38_chr16:84533002-84533012(-) tcataatggac >hg38_chr16:84537152-84537162(-) GGACAAAGGAG >hg38_chr16:84537917-84537927(-) GGACTATGGGA >hg38_chr16:84537934-84537944(+) GAACAAAGGCC >hg38_chr16:84538683-84538693(+) aaacaatacgg >hg38_chr16:84549756-84549766(-) CAACAATGGAT >hg38_chr16:84584071-84584081(-) GGACAATGCAG >hg38_chr16:84604858-84604868(+) GAACAATACAC >hg38_chr16:84619398-84619408(+) GGACAATGTCC >hg38_chr16:84622300-84622310(-) CAACAATGACT >hg38_chr16:84644667-84644677(+) caacaatgggg >hg38_chr16:84687844-84687854(+) ttacaatggaa >hg38_chr16:84701040-84701050(-) ACACAATGAGA >hg38_chr16:84701732-84701742(-) GGACAATGAAT >hg38_chr16:84727712-84727722(+) ATACAATAttt >hg38_chr16:84745755-84745765(+) TAACAATGGCA >hg38_chr16:84786336-84786346(-) GCACAATGTAG >hg38_chr16:84922992-84923002(+) TGACAATAGCC >hg38_chr16:85029079-85029089(-) GAACAATGGAG >hg38_chr16:85050729-85050739(-) CAACAATGCAC >hg38_chr16:85081355-85081365(-) TCACAATGGAC >hg38_chr16:85124099-85124109(+) GAACAAAGGGT >hg38_chr16:85194414-85194424(+) CCACAATGAGC >hg38_chr16:85222555-85222565(+) TCACAATAGCC >hg38_chr16:85230241-85230251(-) AAACAATGAGG >hg38_chr16:85234889-85234899(+) AAACAAAGGCG >hg38_chr16:85258854-85258864(-) GAACAACGGGG >hg38_chr16:85258866-85258876(-) GAACAATGGGC >hg38_chr16:85270518-85270528(-) AAACACTGGAA >hg38_chr16:85286520-85286530(+) TAACAATAGGT >hg38_chr16:85330487-85330497(-) gaacaatggct >hg38_chr16:85336268-85336278(-) GAACAAAGGCT >hg38_chr16:85352231-85352241(+) TAACAATGCAG >hg38_chr16:85425156-85425166(-) GGACAATGCAG >hg38_chr16:85431832-85431842(-) GAACAATGGGA >hg38_chr16:85460475-85460485(+) agacaatggga >hg38_chr16:85466258-85466268(-) GCACAATGAGA >hg38_chr16:85504867-85504877(-) GGACAATGCTC >hg38_chr16:85507521-85507531(+) gaacaatagaa >hg38_chr16:85510209-85510219(-) GTATAATGAAA >hg38_chr16:85514641-85514651(-) GGACAATGGGC >hg38_chr16:85553363-85553373(+) AAACAAAGGGC >hg38_chr16:85554214-85554224(+) GGACAATGAGC >hg38_chr16:85559793-85559803(-) ttacaaTGGGC >hg38_chr16:85559855-85559865(+) ttataatggcc >hg38_chr16:85578035-85578045(-) GAACAAAGGCT >hg38_chr16:85593865-85593875(+) GAACAATGCGG >hg38_chr16:85713045-85713055(+) gaacaatggac >hg38_chr16:85713056-85713066(-) agacaatgaat >hg38_chr16:85794165-85794175(+) AAACTATGGCT >hg38_chr16:85849721-85849731(+) ACACAATGCAT >hg38_chr16:85849726-85849736(-) ACACAATGCAT >hg38_chr16:85870876-85870886(+) AAACAGTGGAA >hg38_chr16:85879117-85879127(-) caacaatggga >hg38_chr16:85922464-85922474(+) TAACAATAGGC >hg38_chr16:86008037-86008047(-) caacaatagca >hg38_chr16:86008076-86008086(-) acacaatgcga >hg38_chr16:86074945-86074955(+) GAACAATGAGG >hg38_chr16:86114940-86114950(+) CAACAATAGCC >hg38_chr16:86240900-86240910(-) AAACAAAGGAA >hg38_chr16:86307619-86307629(-) ttacaatggag >hg38_chr16:86341217-86341227(+) GCACAAAGGAA >hg38_chr16:86363536-86363546(+) acacaatatac >hg38_chr16:86387743-86387753(+) ccacaatagtt >hg38_chr16:86426350-86426360(+) GCATAATGGCA >hg38_chr16:86501511-86501521(-) gaacaatgaca >hg38_chr16:86600226-86600236(+) ttacaatataa >hg38_chr16:86713961-86713971(-) AGACAATAACA >hg38_chr16:86827565-86827575(-) TAACAATAGGA >hg38_chr16:86854410-86854420(-) GCACAATGAAA >hg38_chr16:86899950-86899960(+) atacaatggaa >hg38_chr16:86908740-86908750(+) gaataatggag >hg38_chr16:86912472-86912482(+) AAACAATGTCC >hg38_chr16:86960469-86960479(-) TTACAAAGGAG >hg38_chr16:86960490-86960500(+) GTACAATGAGT >hg38_chr16:86960536-86960546(+) ACACAATGGGC >hg38_chr16:87001573-87001583(+) atacaataaag >hg38_chr16:87001623-87001633(+) agacaatggat >hg38_chr16:87002503-87002513(+) gaacaaagGAT >hg38_chr16:87013153-87013163(+) ACACAATGATG >hg38_chr16:87014635-87014645(+) acacaaaggac >hg38_chr16:87069990-87070000(+) CGACAATGAAA >hg38_chr16:87094503-87094513(+) GAACAATGGGC >hg38_chr16:87099421-87099431(+) GCACAAAGGAT >hg38_chr16:87105082-87105092(-) taacaatgggg >hg38_chr16:87105722-87105732(+) taacaatatta >hg38_chr16:87105795-87105805(+) caacaatgttt >hg38_chr16:87106092-87106102(-) tcacaatgcct >hg38_chr16:87106143-87106153(+) atacaATGTCC >hg38_chr16:87119132-87119142(+) AAACAATGGTT >hg38_chr16:87132829-87132839(-) GAACAATGGAA >hg38_chr16:87208004-87208014(-) AAACAATGTGG >hg38_chr16:87253633-87253643(+) ctacaatgctg >hg38_chr16:87253687-87253697(+) acacaaaggga >hg38_chr16:87278701-87278711(-) AAACAATAGGT >hg38_chr16:87312850-87312860(+) gaacaatagac >hg38_chr16:87312917-87312927(+) aaacaatgtca >hg38_chr16:87323138-87323148(-) caacaatggat >hg38_chr16:87386943-87386953(+) GAATAATGGAA >hg38_chr16:87388471-87388481(+) CGACAAAGCCG >hg38_chr16:87447498-87447508(-) atacaatgttg >hg38_chr16:87498972-87498982(+) taacaatacat >hg38_chr16:87538893-87538903(-) GGACAAAGGGC >hg38_chr16:87541279-87541289(-) ACACAATGGTT >hg38_chr16:87657883-87657893(+) tgacaatgggg >hg38_chr16:87721819-87721829(+) GCACAATGACA >hg38_chr16:87790125-87790135(-) GGACAATGACC >hg38_chr16:87807147-87807157(+) AGATAATGGGT >hg38_chr16:87848311-87848321(-) ACACAATGGGG >hg38_chr16:87864806-87864816(-) ccacaatgtct >hg38_chr16:87871798-87871808(+) GGACAATGGTC >hg38_chr16:87931871-87931881(-) GGACAAAGGAC >hg38_chr16:87951463-87951473(-) CGATAATGGCG >hg38_chr16:87966370-87966380(-) ATACAATAAAA >hg38_chr16:88307281-88307291(-) tcacaatagcc >hg38_chr16:88307323-88307333(+) gaacaaaggtt >hg38_chr16:88359325-88359335(-) AGACAATAGCA >hg38_chr16:88359376-88359386(-) AGACAATAGCA >hg38_chr16:88382006-88382016(-) AGACAAAGGTC >hg38_chr16:88515129-88515139(+) GTATAATGGTT >hg38_chr16:88542028-88542038(+) gaacaatgagg >hg38_chr16:88570409-88570419(+) GCACAATGGCC >hg38_chr16:88611260-88611270(+) taacAAAGGAA >hg38_chr16:88792727-88792737(-) GGACAATGGCC >hg38_chr16:88914863-88914873(+) ACACAAAGGAA >hg38_chr16:89035134-89035144(+) ACACAATGCGA >hg38_chr16:89129462-89129472(-) gaacaataaat >hg38_chr16:89301769-89301779(-) GAACAATGTGA >hg38_chr16:89333125-89333135(+) CAACAATGCTC >hg38_chr16:89350885-89350895(-) acacagtggta >hg38_chr16:89377324-89377334(-) taacaatgatg >hg38_chr16:89430618-89430628(+) GGACAAAGGTC >hg38_chr16:89430919-89430929(+) TCACAAAGGAA >hg38_chr16:89453693-89453703(+) GGACAATGTGA >hg38_chr16:89465587-89465597(+) AGACAAAGGAA >hg38_chr16:89490817-89490827(+) CGACACTGGAA >hg38_chr16:89517265-89517275(-) TGACAACGGAG >hg38_chr16:89517304-89517314(-) TGACAACGGAG >hg38_chr16:89522369-89522379(+) AGACAATGCAG >hg38_chr16:89557529-89557539(-) GGACAATCGGC >hg38_chr16:89612871-89612881(-) ccacaatagcg >hg38_chr16:89682864-89682874(+) ttacaatagca >hg38_chr16:89682870-89682880(-) taacaatgcta >hg38_chr16:89682896-89682906(+) gaataatggct >hg38_chr16:89923053-89923063(-) GGACAATGGCC >hg38_chr16:90081436-90081446(-) acacaatagag >hg38_chr16_KI270728v1_random:1766778-1766788(-) aaacaataaat >hg38_chr17:410473-410483(+) GGACAATGGGG >hg38_chr17:410489-410499(+) GGACAACGACG >hg38_chr17:550633-550643(+) TAACAAAGGCC >hg38_chr17:633773-633783(+) AGACAATGGGA >hg38_chr17:737793-737803(+) TCATAATGGAT >hg38_chr17:773313-773323(+) gaacaataaaa >hg38_chr17:773933-773943(-) TGACAATGTGG >hg38_chr17:794936-794946(-) TGACAATGTTT >hg38_chr17:794967-794977(-) ACACAAAGGCG >hg38_chr17:794991-795001(+) TCACAGTGGCG >hg38_chr17:804778-804788(+) CAACAATGGGT >hg38_chr17:805375-805385(+) TCACAATGAAG >hg38_chr17:847723-847733(+) ACACAATGAAA >hg38_chr17:916060-916070(-) GAACAATGGCT >hg38_chr17:933679-933689(+) AAACAATGCAA >hg38_chr17:1259383-1259393(+) CCACAATGATG >hg38_chr17:1423034-1423044(+) ATACAATGAAA >hg38_chr17:1847129-1847139(-) caacaatgcat >hg38_chr17:1908427-1908437(-) GCACAATGGGA >hg38_chr17:2034339-2034349(+) ATACAATGAGC >hg38_chr17:2041983-2041993(-) GCACAATGCAC >hg38_chr17:2042043-2042053(+) GCATAATGGCC >hg38_chr17:2049967-2049977(+) TAACAATCGAA >hg38_chr17:2111175-2111185(+) aaacaatgctc >hg38_chr17:2274270-2274280(+) taacaatagtg >hg38_chr17:2274679-2274689(+) ATACAATGGCA >hg38_chr17:2294023-2294033(+) acacaatataa >hg38_chr17:2399098-2399108(+) CAACAATAGGC >hg38_chr17:2491282-2491292(-) TGACAATAGGC >hg38_chr17:2593095-2593105(+) AGACAATGACA >hg38_chr17:2605453-2605463(+) AAACTATGGTA >hg38_chr17:2683470-2683480(+) AGACAAAGGGT >hg38_chr17:2683778-2683788(+) AAACTATGGAA >hg38_chr17:2874223-2874233(+) gaacaatgggt >hg38_chr17:2955400-2955410(-) gaacaataagt >hg38_chr17:2955408-2955418(-) atacaaaggaa >hg38_chr17:2960466-2960476(+) GAACAATGCTG >hg38_chr17:2969057-2969067(+) ATACAATTGTA >hg38_chr17:3222419-3222429(-) acactatggtc >hg38_chr17:3366807-3366817(-) CCACAATGGTT >hg38_chr17:3451055-3451065(+) ctacaatgaac >hg38_chr17:3463358-3463368(-) gaacaataggc >hg38_chr17:3476547-3476557(-) TCACAATGCAG >hg38_chr17:3510329-3510339(+) GTACAAAGGTG >hg38_chr17:3530426-3530436(+) GGACAAAGGGT >hg38_chr17:3636214-3636224(-) AGATAATGGCT >hg38_chr17:3668603-3668613(+) TAACAAAGGCC >hg38_chr17:4004465-4004475(+) GTACAATATAT >hg38_chr17:4041023-4041033(+) GAACAAAGGCT >hg38_chr17:4041991-4042001(-) ccacaatgtca >hg38_chr17:4087901-4087911(+) CTACAATATAC >hg38_chr17:4109675-4109685(+) GGACAAAGGCG >hg38_chr17:4125199-4125209(+) CAACAATGCCT >hg38_chr17:4125415-4125425(+) GAACAATGCAG >hg38_chr17:4147906-4147916(+) ggacaatgaat >hg38_chr17:4165789-4165799(+) GAACAATCGGC >hg38_chr17:4227575-4227585(-) aaacaatgaga >hg38_chr17:4227600-4227610(+) gaacaaaggat >hg38_chr17:4230893-4230903(-) gtactatggtt >hg38_chr17:4230900-4230910(+) gtacaatgatc >hg38_chr17:4297559-4297569(+) ATACAATGAGT >hg38_chr17:4298404-4298414(+) TAACAATAGTT >hg38_chr17:4298418-4298428(+) TAACAATAGTT >hg38_chr17:4302919-4302929(+) CAACAATGAAA >hg38_chr17:4326373-4326383(+) ccacaatgaga >hg38_chr17:4332414-4332424(+) GTACTATGGAA >hg38_chr17:4332433-4332443(-) atacaataggg >hg38_chr17:4394307-4394317(+) GGACAAAGGAC >hg38_chr17:4408357-4408367(+) aaacaatagtg >hg38_chr17:4520816-4520826(-) agacaatggag >hg38_chr17:4522917-4522927(-) gaacaaaggcc >hg38_chr17:4534279-4534289(+) CAACAATGGGC >hg38_chr17:4534308-4534318(+) GGACAAAGGGC >hg38_chr17:4600203-4600213(+) GGACAATAGGC >hg38_chr17:4622743-4622753(-) aaacaatgcct >hg38_chr17:4635634-4635644(-) GGACAATGTGA >hg38_chr17:4635645-4635655(-) AAACAATGAAT >hg38_chr17:4648950-4648960(+) ggacaaaggtc >hg38_chr17:4648980-4648990(-) ggacaatggac >hg38_chr17:4680921-4680931(-) ATACAATAACA >hg38_chr17:4710272-4710282(+) AAACAAAGGGG >hg38_chr17:4719861-4719871(-) GAACAAAGGCA >hg38_chr17:4730745-4730755(-) tgacaatggtg >hg38_chr17:4745276-4745286(+) AGACCATGGCG >hg38_chr17:4827556-4827566(-) TGACAATGAGG >hg38_chr17:4858275-4858285(-) AAACAATAGGC >hg38_chr17:4899542-4899552(+) TGACAATGAGC >hg38_chr17:4908512-4908522(-) AGACAAAGACG >hg38_chr17:4999120-4999130(-) GGACAATGAGC >hg38_chr17:5111399-5111409(+) AGACAAAGGAG >hg38_chr17:5301908-5301918(+) taacaataaca >hg38_chr17:5301957-5301967(-) taacaatgtat >hg38_chr17:5396842-5396852(-) ccacaatgagg >hg38_chr17:5419841-5419851(-) AAATAATGGTG >hg38_chr17:5419883-5419893(+) ACATAATGGTG >hg38_chr17:5473090-5473100(-) ACATAATGGAC >hg38_chr17:5473125-5473135(+) GGACAATGTCA >hg38_chr17:5473156-5473166(+) TAACAAAGGTT >hg38_chr17:5509020-5509030(+) AGACAAAGGTC >hg38_chr17:5580542-5580552(-) atataatgggt >hg38_chr17:5593690-5593700(+) gaacaaaggaa >hg38_chr17:5770067-5770077(-) TGACAATGCCT >hg38_chr17:5800754-5800764(-) TGACAATGACG >hg38_chr17:5884225-5884235(-) aaacaaaggag >hg38_chr17:5890259-5890269(-) tcacaatagtt >hg38_chr17:5897370-5897380(+) AAACAATGGAA >hg38_chr17:5954576-5954586(-) TAACAATGGAG >hg38_chr17:6079186-6079196(-) GGACAATGTTT >hg38_chr17:6139271-6139281(+) GAACAATGCAG >hg38_chr17:6172930-6172940(+) GAACAATAGAT >hg38_chr17:6188149-6188159(-) GGACAATGAAG >hg38_chr17:6190725-6190735(+) ggacaatgtct >hg38_chr17:6240793-6240803(-) agacaatgggg >hg38_chr17:6314477-6314487(+) TCACAATAGGA >hg38_chr17:6369922-6369932(+) ttacaatgtgt >hg38_chr17:6372419-6372429(-) AGACAATGAAC >hg38_chr17:6378072-6378082(-) agacaatggaa >hg38_chr17:6378089-6378099(-) aaacaatggag >hg38_chr17:6378125-6378135(-) gaacaatgata >hg38_chr17:6438819-6438829(-) AAACAGTGGGA >hg38_chr17:6438838-6438848(-) CTACAAAGGGA >hg38_chr17:6439829-6439839(-) ttacaatgggg >hg38_chr17:6439844-6439854(+) ttacaatgatg >hg38_chr17:6440319-6440329(-) AAACAATGAAT >hg38_chr17:6451255-6451265(-) TTACAATGTGT >hg38_chr17:6499239-6499249(+) GCACAATGAAG >hg38_chr17:6570748-6570758(-) ggacaatgctg >hg38_chr17:6596584-6596594(-) CAATAATGGTA >hg38_chr17:6630635-6630645(-) aaacaatatag >hg38_chr17:6630701-6630711(+) aaacaatggtc >hg38_chr17:6642424-6642434(-) CAACAATGGAA >hg38_chr17:6893250-6893260(+) acacaatggcc >hg38_chr17:6911380-6911390(+) agacaatgaaa >hg38_chr17:6911533-6911543(+) ttacaatagcc >hg38_chr17:7004863-7004873(+) AAACAATGAAG >hg38_chr17:7035894-7035904(+) CAACAATGCCT >hg38_chr17:7060415-7060425(+) ACACAATGAGA >hg38_chr17:7063372-7063382(+) GAACAATAGAC >hg38_chr17:7063379-7063389(+) AGACAATATAA >hg38_chr17:7132651-7132661(-) GGACAATGGGG >hg38_chr17:7146322-7146332(+) TGACGATGGGA >hg38_chr17:7263268-7263278(-) GAACGATGGGT >hg38_chr17:7264797-7264807(-) GAACAAtgatg >hg38_chr17:7355095-7355105(-) GAACAATGAAC >hg38_chr17:7394354-7394364(-) GAACAAAGGGA >hg38_chr17:7404220-7404230(-) TTACAAAGGCG >hg38_chr17:7420072-7420082(+) CTATAATGGAA >hg38_chr17:7436677-7436687(+) AAACAATGAGT >hg38_chr17:7484071-7484081(-) GGACAACGGTT >hg38_chr17:7539348-7539358(-) ccacaatgata >hg38_chr17:7539372-7539382(+) ttacaaaggtg >hg38_chr17:7561087-7561097(-) GGACAATGCCT >hg38_chr17:7573730-7573740(-) GCACAATGAGC >hg38_chr17:7583601-7583611(+) CAACAAAGGCG >hg38_chr17:7584198-7584208(+) CGACAATGAAA >hg38_chr17:7590348-7590358(+) CCACAATGGGA >hg38_chr17:7591110-7591120(+) AGACAATGAAG >hg38_chr17:7615308-7615318(-) AGACAAAGGTG >hg38_chr17:7668269-7668279(-) GAACAAAGGCT >hg38_chr17:7677653-7677663(-) agacaatgtaa >hg38_chr17:7712322-7712332(+) ACACAATGGGA >hg38_chr17:7717060-7717070(-) GAACAAAGGCT >hg38_chr17:7722816-7722826(-) tcacaataggc >hg38_chr17:7823694-7823704(-) GGACAATGGGA >hg38_chr17:7827009-7827019(-) ttacaatgaaa >hg38_chr17:7837352-7837362(+) AAACAATGAAA >hg38_chr17:7860675-7860685(+) GCACAATAGGA >hg38_chr17:7860694-7860704(-) ATACAATAGGA >hg38_chr17:7988906-7988916(-) AAACAATGTTT >hg38_chr17:8059754-8059764(+) gcacaatgatt >hg38_chr17:8094148-8094158(+) GCACAGTGGTA >hg38_chr17:8152651-8152661(+) GAATAATGGAA >hg38_chr17:8153709-8153719(-) CCACAATGATT >hg38_chr17:8153758-8153768(+) GAACAATGAGG >hg38_chr17:8156490-8156500(-) AGACGATGGCG >hg38_chr17:8190245-8190255(+) TGACAATGGTA >hg38_chr17:8249553-8249563(-) GGACAATGGGG >hg38_chr17:8354363-8354373(+) GAACAATAAAT >hg38_chr17:8416495-8416505(-) aaacaatgaac >hg38_chr17:8416553-8416563(-) gtacaaaggat >hg38_chr17:8443177-8443187(-) gcacaatagtg >hg38_chr17:8448552-8448562(+) CAACAATAGTT >hg38_chr17:8554119-8554129(+) CAATAATGGAT >hg38_chr17:8594038-8594048(+) gtacaaaggca >hg38_chr17:8594909-8594919(-) aaataatggat >hg38_chr17:8607026-8607036(+) GAACAATAAAA >hg38_chr17:8607062-8607072(+) AAACAAAGGAG >hg38_chr17:8722005-8722015(-) AGACAATGGCA >hg38_chr17:8746303-8746313(+) ACACAATGGCC >hg38_chr17:8746376-8746386(+) CGACAATAAGA >hg38_chr17:8773363-8773373(+) aaacaataacc >hg38_chr17:8813580-8813590(+) caacaatgcaa >hg38_chr17:8888646-8888656(+) AGACAAAGGAA >hg38_chr17:9051764-9051774(+) gtacaatagat >hg38_chr17:9053027-9053037(-) CAACAATGGGA >hg38_chr17:9163397-9163407(+) GGACAAAGGAC >hg38_chr17:9220980-9220990(-) GGACAAAGGGC >hg38_chr17:9238189-9238199(-) AGACAATGGAA >hg38_chr17:9261563-9261573(-) CGACAATAGCG >hg38_chr17:9285141-9285151(+) ACACTATGGAT >hg38_chr17:9289938-9289948(+) taacaattgta >hg38_chr17:9290008-9290018(+) ggacaatgaaa >hg38_chr17:9322635-9322645(-) atacaatgcag >hg38_chr17:9330727-9330737(+) ACACAAAGGCG >hg38_chr17:9371270-9371280(-) ACACAAAGGAG >hg38_chr17:9382326-9382336(+) atacaatatct >hg38_chr17:9385651-9385661(+) ttacaatacta >hg38_chr17:9436770-9436780(-) AAACAATGCTG >hg38_chr17:9510641-9510651(+) taacaataaat >hg38_chr17:9510927-9510937(+) tgacaatggat >hg38_chr17:9512363-9512373(+) ctacaatgctg >hg38_chr17:9530534-9530544(-) tcacaatgtca >hg38_chr17:9575986-9575996(+) GTACACTGGAG >hg38_chr17:9577411-9577421(-) AAACAATAGGC >hg38_chr17:9577452-9577462(-) GAACAATAAAA >hg38_chr17:9685127-9685137(+) caaCAATGGAC >hg38_chr17:9725852-9725862(+) ATACAAAGCGT >hg38_chr17:9868780-9868790(-) ttataatggga >hg38_chr17:9902293-9902303(+) TAACAATGAGA >hg38_chr17:9922510-9922520(+) agacaatgctc >hg38_chr17:10018336-10018346(+) GGACAATAACG >hg38_chr17:10041403-10041413(+) CAACAATGCAT >hg38_chr17:10049088-10049098(+) CAACAATGAGG >hg38_chr17:10077387-10077397(+) ggacaatggaa >hg38_chr17:10123924-10123934(+) ACACAATGCAC >hg38_chr17:10152011-10152021(+) acacaaaggag >hg38_chr17:10180876-10180886(-) AAACAAAGGGT >hg38_chr17:10265519-10265529(-) TGATAATGGTC >hg38_chr17:10429922-10429932(+) agacaatgata >hg38_chr17:10432174-10432184(-) caataatggat >hg38_chr17:10438116-10438126(-) agacaatagct >hg38_chr17:10504297-10504307(-) GGATAATGGCA >hg38_chr17:10549703-10549713(+) AAACAATGCAG >hg38_chr17:10690544-10690554(+) tgacaatgatt >hg38_chr17:10711133-10711143(-) TAACAATGGAA >hg38_chr17:10718916-10718926(-) acacaataaac >hg38_chr17:10718939-10718949(-) taacaatataa >hg38_chr17:10748286-10748296(-) GGACAATGGAG >hg38_chr17:10748325-10748335(-) AAACAATGGAG >hg38_chr17:10751778-10751788(-) ACACAATGCCC >hg38_chr17:10755466-10755476(-) CCAcaatggtt >hg38_chr17:10784773-10784783(-) TGACAATAGCT >hg38_chr17:10842781-10842791(+) GTACAATGCCT >hg38_chr17:10865853-10865863(-) CAACAATGTAA >hg38_chr17:10932464-10932474(+) CAACAATAGGA >hg38_chr17:10973874-10973884(-) gcacaatagat >hg38_chr17:11503498-11503508(-) GGACAATGGGA >hg38_chr17:11535407-11535417(+) CTACAATGTGA >hg38_chr17:11627850-11627860(-) GGACAATGGCT >hg38_chr17:11668902-11668912(-) ATACAATGTGA >hg38_chr17:12020882-12020892(+) CAACAATGGCG >hg38_chr17:12023934-12023944(-) TAACaatgatg >hg38_chr17:12023949-12023959(+) CGACAATATTG >hg38_chr17:12032369-12032379(-) AAACAATAGTG >hg38_chr17:12041706-12041716(-) acacaataggg >hg38_chr17:12046972-12046982(-) AGACAAAGGCA >hg38_chr17:12105692-12105702(-) ACACAATGGca >hg38_chr17:12106493-12106503(+) GGACAGTGGAT >hg38_chr17:12108067-12108077(+) ACACAATAGGT >hg38_chr17:12108077-12108087(+) TCATAATGGTC >hg38_chr17:12164649-12164659(-) agacaatgtgg >hg38_chr17:12164664-12164674(-) aaacaatgata >hg38_chr17:12187493-12187503(-) tgacaatagta >hg38_chr17:12188913-12188923(-) gaacaatagag >hg38_chr17:12188945-12188955(-) aaacaatagaa >hg38_chr17:12188957-12188967(+) taacaatgccc >hg38_chr17:12286093-12286103(-) aaacaatgcag >hg38_chr17:12309000-12309010(-) acacaatgttt >hg38_chr17:12310680-12310690(-) ctacaatgaga >hg38_chr17:12310743-12310753(-) tcacaatagcc >hg38_chr17:12328361-12328371(-) agacaatggaa >hg38_chr17:12374564-12374574(+) acacaatgttc >hg38_chr17:12398803-12398813(+) TCACAATGACT >hg38_chr17:12412628-12412638(-) agacaatgcta >hg38_chr17:12415207-12415217(-) TAACAATGGAC >hg38_chr17:12445544-12445554(+) ttaCAATGAAA >hg38_chr17:12459297-12459307(+) TTACAATGGAC >hg38_chr17:12460849-12460859(+) AAACAATAGTA >hg38_chr17:12492818-12492828(+) atacaatgtaa >hg38_chr17:12493191-12493201(-) ggacaatcgat >hg38_chr17:12493388-12493398(+) TGACAACGGAA >hg38_chr17:12505133-12505143(-) caacaatggat >hg38_chr17:12505154-12505164(+) caacaatgaac >hg38_chr17:12520066-12520076(+) TGACAATGGGC >hg38_chr17:12538344-12538354(+) agacaatggga >hg38_chr17:12562337-12562347(-) acataatggta >hg38_chr17:12599344-12599354(-) CTACAATGACT >hg38_chr17:12599975-12599985(+) TTACAATAGTC >hg38_chr17:12624337-12624347(+) taacaatgtat >hg38_chr17:12644141-12644151(+) AGACAATGAAT >hg38_chr17:12644181-12644191(-) TTACAATAGAG >hg38_chr17:12671462-12671472(-) gaacaataggg >hg38_chr17:12702572-12702582(-) atataatggta >hg38_chr17:12706175-12706185(-) GAACAAAGGAT >hg38_chr17:12720094-12720104(-) tgacactggta >hg38_chr17:12731118-12731128(+) GGACAATAGCC >hg38_chr17:12731509-12731519(+) Aaacaatagca >hg38_chr17:12731518-12731528(+) caacaatagca >hg38_chr17:12738142-12738152(+) GAACAATGCCA >hg38_chr17:12738166-12738176(-) AAACAATGATT >hg38_chr17:12748589-12748599(-) GGACAAAGGTT >hg38_chr17:12777186-12777196(-) atacaaaggtg >hg38_chr17:12777214-12777224(-) atacaatgaat >hg38_chr17:12777219-12777229(-) atacaatacaa >hg38_chr17:12777251-12777261(-) acacagtggat >hg38_chr17:12798774-12798784(+) atagaatggta >hg38_chr17:13064091-13064101(-) GCATAATGGAC >hg38_chr17:13076824-13076834(+) ggacaatgctg >hg38_chr17:13102365-13102375(-) aaacaaaggaa >hg38_chr17:13135730-13135740(-) GAACAAAGGAC >hg38_chr17:13141385-13141395(-) AAACAATAGTT >hg38_chr17:13167297-13167307(+) aaacaatgctg >hg38_chr17:13179953-13179963(-) ttataatggta >hg38_chr17:13223030-13223040(-) GGACAATGTAC >hg38_chr17:13240914-13240924(+) TCACAATGGCA >hg38_chr17:13247480-13247490(+) acacaatgaca >hg38_chr17:13247498-13247508(-) agacaatgtgt >hg38_chr17:13256015-13256025(-) GAACAATAACT >hg38_chr17:13294850-13294860(+) agacaatgaca >hg38_chr17:13316777-13316787(+) tgacaataggt >hg38_chr17:13316790-13316800(-) gcacaatggtc >hg38_chr17:13322495-13322505(+) GAATAATGGCA >hg38_chr17:13337845-13337855(-) ACACAATGGGA >hg38_chr17:13338389-13338399(-) GTACAATGTCA >hg38_chr17:13365128-13365138(-) TAACAATGCCT >hg38_chr17:13395592-13395602(-) GCACAATGCAA >hg38_chr17:13404236-13404246(-) AAACAATGTGC >hg38_chr17:13404880-13404890(+) GAACAATATAA >hg38_chr17:13438596-13438606(+) AAACAATAACT >hg38_chr17:13469903-13469913(-) AAACAATGTCA >hg38_chr17:13479248-13479258(+) ggacaataaaa >hg38_chr17:13540191-13540201(+) TCACAATGTCT >hg38_chr17:13576133-13576143(+) taacaattgcg >hg38_chr17:13654583-13654593(-) AGACAATGGGA >hg38_chr17:13654601-13654611(+) GAACAATGAAA >hg38_chr17:13654784-13654794(-) GAACAATAAAA >hg38_chr17:13670800-13670810(-) taacaatgtaa >hg38_chr17:13683948-13683958(-) taacaatgagc >hg38_chr17:13706287-13706297(-) AGACAATGGGT >hg38_chr17:13760152-13760162(+) TAACAATGAAT >hg38_chr17:13773124-13773134(-) tgacaatgata >hg38_chr17:13773175-13773185(+) caacaatagct >hg38_chr17:13844425-13844435(-) AAACAAAGACG >hg38_chr17:13864500-13864510(-) CAACAATGGAA >hg38_chr17:13864557-13864567(-) GGACAATGGGA >hg38_chr17:13869419-13869429(+) GTACAATGTTT >hg38_chr17:13918088-13918098(+) GTACAATGAAC >hg38_chr17:13918114-13918124(-) AGACAATGCAA >hg38_chr17:13959819-13959829(-) ATACAAtaata >hg38_chr17:14014801-14014811(+) GCACAAAGGAA >hg38_chr17:14091079-14091089(-) ACACAATGCTT >hg38_chr17:14091156-14091166(-) ACACAATGACA >hg38_chr17:14092597-14092607(+) TAACAATAAGC >hg38_chr17:14113940-14113950(+) CGACAATGTAT >hg38_chr17:14113947-14113957(-) ATACAATATAC >hg38_chr17:14142778-14142788(-) TAACAATGTGG >hg38_chr17:14164842-14164852(-) TAACAATGACA >hg38_chr17:14206067-14206077(-) GCACAATGGCA >hg38_chr17:14230138-14230148(+) aaataatggac >hg38_chr17:14245995-14246005(-) aaacaaaggat >hg38_chr17:14246242-14246252(-) AAACAATATAG >hg38_chr17:14250405-14250415(+) AGACAGTGGAA >hg38_chr17:14273594-14273604(-) atacaacggtg >hg38_chr17:14276581-14276591(+) ctacaatagtc >hg38_chr17:14303707-14303717(-) TGACAATAGCT >hg38_chr17:14303717-14303727(-) ATACAATCGGT >hg38_chr17:14303722-14303732(-) TAACAATACAA >hg38_chr17:14309666-14309676(-) GCACAATAGAA >hg38_chr17:14316781-14316791(+) AAACAAAGGAA >hg38_chr17:14317408-14317418(-) GGACAATGGCT >hg38_chr17:14354380-14354390(-) acataatggga >hg38_chr17:14356196-14356206(+) TCACAATGGAA >hg38_chr17:14490186-14490196(-) gaacaaTGAGA >hg38_chr17:14505534-14505544(+) TTACAATGGAC >hg38_chr17:14514205-14514215(-) gaacaaaggca >hg38_chr17:14524893-14524903(+) caacaatgccc >hg38_chr17:14524905-14524915(+) acacaatgtca >hg38_chr17:14524920-14524930(-) ttacaatgaga >hg38_chr17:14526029-14526039(-) ttacaaaggca >hg38_chr17:14644289-14644299(-) ctACAATGTCT >hg38_chr17:14644836-14644846(+) GGATAATGGAG >hg38_chr17:14681574-14681584(+) GAACAATGGAA >hg38_chr17:14685555-14685565(+) AAACAATGCCC >hg38_chr17:14689235-14689245(-) cgacaaaggtt >hg38_chr17:14691737-14691747(-) gaacaatgata >hg38_chr17:14692279-14692289(+) ttacaatagtc >hg38_chr17:14700103-14700113(+) GAACAAAGGGG >hg38_chr17:14776207-14776217(-) CAACAATGACC >hg38_chr17:14776240-14776250(+) GGACAATGCCA >hg38_chr17:14780264-14780274(-) GGACAATAGAG >hg38_chr17:14780670-14780680(-) TAACAATGGCT >hg38_chr17:14803966-14803976(-) AAACAATGCTT >hg38_chr17:14809676-14809686(+) ttacaatagag >hg38_chr17:14810434-14810444(-) ATACAATAAAA >hg38_chr17:14815775-14815785(+) aaacaataaac >hg38_chr17:14843522-14843532(+) tgacaatgctc >hg38_chr17:14865813-14865823(-) TAACAATGCAT >hg38_chr17:14865846-14865856(+) AGACAATGGGT >hg38_chr17:14882815-14882825(-) acacaatgact >hg38_chr17:14888794-14888804(-) taacaatgcct >hg38_chr17:14888825-14888835(-) atacaatattc >hg38_chr17:14904113-14904123(+) AAACTATGGAG >hg38_chr17:14918340-14918350(-) GAACAATGGTG >hg38_chr17:14926585-14926595(+) ACACAATGCGA >hg38_chr17:14926614-14926624(+) AGACAATGGGT >hg38_chr17:15033575-15033585(-) ggacaatgcta >hg38_chr17:15033794-15033804(+) ccacaatgatc >hg38_chr17:15033822-15033832(-) GCACAATGGTG >hg38_chr17:15033836-15033846(+) ACACAATGCAT >hg38_chr17:15097391-15097401(+) taacaatatct >hg38_chr17:15156231-15156241(+) CTACAAAGGTC >hg38_chr17:15167816-15167826(+) TTACAATGAAT >hg38_chr17:15176426-15176436(+) atacagtggcc >hg38_chr17:15180316-15180326(-) AAACAAAGGGA >hg38_chr17:15225989-15225999(-) caacaatggta >hg38_chr17:15226036-15226046(-) gaacaatgtag >hg38_chr17:15226044-15226054(-) gaacaatggaa >hg38_chr17:15281355-15281365(-) AGACAATATAA >hg38_chr17:15320500-15320510(-) taacaaaggca >hg38_chr17:15325048-15325058(-) tgacaatgatg >hg38_chr17:15325110-15325120(-) taacaatggac >hg38_chr17:15332560-15332570(+) tgacaatggtg >hg38_chr17:15398575-15398585(-) gcacaatGAAC >hg38_chr17:15441704-15441714(+) TAACAAAGGAT >hg38_chr17:15480468-15480478(-) AGACAATGCAC >hg38_chr17:15493099-15493109(-) CCACAATGGCC >hg38_chr17:15982479-15982489(+) TTACAATGTTA >hg38_chr17:16034183-16034193(-) TTACACTGGTA >hg38_chr17:16044671-16044681(+) TGACAATGAGG >hg38_chr17:16055766-16055776(+) TGACAATGCTA >hg38_chr17:16084686-16084696(+) taacaaaggaa >hg38_chr17:16085178-16085188(-) aaacaatgttg >hg38_chr17:16085574-16085584(-) gcataatggtt >hg38_chr17:16090863-16090873(-) TTACAATGCCA >hg38_chr17:16139295-16139305(+) TAACAATAGCA >hg38_chr17:16139327-16139337(+) ATACAATAATT >hg38_chr17:16161192-16161202(-) TTATAATGGTT >hg38_chr17:16218150-16218160(+) atacaatgggt >hg38_chr17:16229700-16229710(-) ccacaatgtga >hg38_chr17:16286081-16286091(+) TGACAAAGGAA >hg38_chr17:16297584-16297594(-) GGACAATGGGA >hg38_chr17:16352513-16352523(+) GAACAATGACG >hg38_chr17:16366576-16366586(+) GAACAAAGGCC >hg38_chr17:16366623-16366633(+) AAACAATGGGG >hg38_chr17:16439045-16439055(-) TAACAACGGGA >hg38_chr17:16453505-16453515(+) gaacaatagat >hg38_chr17:16486995-16487005(+) ATACAGTGGAT >hg38_chr17:16509233-16509243(-) AGACAATGAAC >hg38_chr17:16519196-16519206(-) atacaaaggaa >hg38_chr17:16537060-16537070(-) GGACAATGCTG >hg38_chr17:16569004-16569014(+) CAACAATGGCG >hg38_chr17:16578019-16578029(+) gaacaatgcca >hg38_chr17:16639978-16639988(-) gtacaatgtct >hg38_chr17:17021304-17021314(-) GGACAAAGGGC >hg38_chr17:17028220-17028230(+) TGACAATGAGC >hg38_chr17:17042322-17042332(-) TAACAAAGGAA >hg38_chr17:17281396-17281406(-) GGACTATGGAA >hg38_chr17:17313775-17313785(-) gcacaatggcg >hg38_chr17:17355066-17355076(-) GGACAATGTCC >hg38_chr17:17379678-17379688(-) agacaatggac >hg38_chr17:17414636-17414646(+) AAACAATGCAT >hg38_chr17:17434590-17434600(-) ggacaatggag >hg38_chr17:17434643-17434653(+) ttacaatgtgg >hg38_chr17:17468033-17468043(+) GAACAATGAGA >hg38_chr17:17497459-17497469(+) TAACAATAGCA >hg38_chr17:17506516-17506526(-) AGACAATGATG >hg38_chr17:17532497-17532507(-) gaacaatgttt >hg38_chr17:17610443-17610453(-) AAACAAAGGAA >hg38_chr17:17669655-17669665(+) TTACTATGGTG >hg38_chr17:17682894-17682904(+) TAACAATGCGC >hg38_chr17:17685046-17685056(-) caacaatgacg >hg38_chr17:17685054-17685064(-) taacaatacaa >hg38_chr17:17686001-17686011(-) agacaaaggga >hg38_chr17:17693508-17693518(-) CGACAATGGCT >hg38_chr17:17725274-17725284(+) gtacaatgcgg >hg38_chr17:17733524-17733534(-) ccacaatggtg >hg38_chr17:17767570-17767580(-) gcacaatggaa >hg38_chr17:17775042-17775052(-) GAACAAAGGAA >hg38_chr17:17775095-17775105(-) TTACAATGTTT >hg38_chr17:17783502-17783512(-) AGACAAAGGCA >hg38_chr17:17786354-17786364(-) gaacaataaat >hg38_chr17:17786366-17786376(+) ggacaatgggg >hg38_chr17:17877475-17877485(+) AGACAGTGGTA >hg38_chr17:17909391-17909401(+) atataatggat >hg38_chr17:17921259-17921269(+) AAACAAAGGAA >hg38_chr17:17927239-17927249(-) AAATAATGTCG >hg38_chr17:17928865-17928875(+) GAACAATGCTT >hg38_chr17:17936941-17936951(-) GAACAATGTGG >hg38_chr17:17952145-17952155(+) gcacaatgaga >hg38_chr17:17952194-17952204(+) gtacaatgaca >hg38_chr17:17960864-17960874(-) ACACAATGCCT >hg38_chr17:18006369-18006379(+) GAACAATGATG >hg38_chr17:18015431-18015441(+) CAACAATAGCC >hg38_chr17:18034877-18034887(+) atacaatggaa >hg38_chr17:18042496-18042506(-) gaacaatgcaa >hg38_chr17:18042503-18042513(-) ttacaatgaac >hg38_chr17:18071913-18071923(-) atacaatggag >hg38_chr17:18071987-18071997(-) gcacaatgcat >hg38_chr17:18110277-18110287(-) GGACAATGACA >hg38_chr17:18158152-18158162(+) GGACAATGGGG >hg38_chr17:18177980-18177990(-) GCACAATGGGC >hg38_chr17:18187414-18187424(-) taacaatagca >hg38_chr17:18187442-18187452(-) caacaatgaag >hg38_chr17:18187462-18187472(-) ctacaatgcac >hg38_chr17:18224747-18224757(-) GGACAATGGCC >hg38_chr17:18230531-18230541(+) GCACAATGGGC >hg38_chr17:18967381-18967391(+) GAACAATGTAC >hg38_chr17:19001163-19001173(-) TAACAATAGCA >hg38_chr17:19063716-19063726(+) AGACAATAGGA >hg38_chr17:19298793-19298803(+) taataatgtat >hg38_chr17:19306364-19306374(-) ACACAACGGGA >hg38_chr17:19312033-19312043(-) GGACAATGCAA >hg38_chr17:19331881-19331891(+) AAACAATGGAA >hg38_chr17:19374601-19374611(-) aaacaatgctg >hg38_chr17:19433350-19433360(+) taacaatgggg >hg38_chr17:19436807-19436817(-) gaacaatagag >hg38_chr17:19445713-19445723(-) aaacagtggac >hg38_chr17:19447308-19447318(-) AAACAAAGGAG >hg38_chr17:19461216-19461226(+) agacaatggga >hg38_chr17:19464573-19464583(-) acacaatggaa >hg38_chr17:19493013-19493023(-) aaacaaaggca >hg38_chr17:19574623-19574633(+) TAACAATAGCA >hg38_chr17:19591357-19591367(+) CAACAATAGGG >hg38_chr17:19605609-19605619(-) TAACAATAACA >hg38_chr17:19641804-19641814(+) aaacaatgaga >hg38_chr17:19694400-19694410(+) tcacaatggca >hg38_chr17:19724237-19724247(-) GCACAATGGCA >hg38_chr17:19726860-19726870(-) acataatggag >hg38_chr17:19728325-19728335(+) AAACAAAGGAA >hg38_chr17:19745594-19745604(-) GAACAATGGCT >hg38_chr17:19774654-19774664(+) GGACAAAGGAT >hg38_chr17:19833846-19833856(+) acacaatggga >hg38_chr17:19864562-19864572(+) AAACAATGCTA >hg38_chr17:19867203-19867213(+) GCACAATAGCA >hg38_chr17:19921960-19921970(+) gtacaacgtac >hg38_chr17:19958485-19958495(+) GGACAATAGTG >hg38_chr17:19987644-19987654(-) aaacaaagggt >hg38_chr17:20011825-20011835(-) aaacaatagca >hg38_chr17:20026316-20026326(-) gcacaaaggaa >hg38_chr17:20063609-20063619(+) GAACAAAGGTT >hg38_chr17:20064459-20064469(-) ATACAATAAGG >hg38_chr17:20091828-20091838(-) AAATAATGACG >hg38_chr17:20095525-20095535(-) TGACAATGTCT >hg38_chr17:20103964-20103974(-) GAACAATGGGT >hg38_chr17:20111448-20111458(+) ATATAATGGCT >hg38_chr17:20111460-20111470(-) TTACAATGGTA >hg38_chr17:20111475-20111485(-) ATACAATATCA >hg38_chr17:20116730-20116740(-) gaacaacGGGT >hg38_chr17:20121061-20121071(+) CAACAATAGCA >hg38_chr17:20121889-20121899(+) AAACAATGCTT >hg38_chr17:20133745-20133755(-) atacaatacat >hg38_chr17:20155557-20155567(-) TAACAAAGACG >hg38_chr17:20189546-20189556(-) AGATAATGGTA >hg38_chr17:20189921-20189931(+) TAACAAAGGAA >hg38_chr17:20225871-20225881(-) TGACAAAGGAT >hg38_chr17:20225915-20225925(-) GAACACTGGGA >hg38_chr17:20240644-20240654(-) GGACAAAGGAT >hg38_chr17:20286241-20286251(+) TAACAATGATC >hg38_chr17:20695845-20695855(-) acacaAAGGAT >hg38_chr17:20801668-20801678(-) AAACAATGTCT >hg38_chr17:20801675-20801685(-) AAACAATAAAC >hg38_chr17:20920435-20920445(+) ggacaatggag >hg38_chr17:20927472-20927482(+) GAATAATGGTG >hg38_chr17:20927515-20927525(+) ATACAAAGGAT >hg38_chr17:20993186-20993196(-) GAACAAAGGGG >hg38_chr17:21036083-21036093(+) atacaatggat >hg38_chr17:21108764-21108774(-) cgacaaaggac >hg38_chr17:21126639-21126649(-) aaacaatgaat >hg38_chr17:21126651-21126661(-) gcacaaaggta >hg38_chr17:21127874-21127884(+) GCATAATGGAA >hg38_chr17:21286231-21286241(-) AAACAATAGCG >hg38_chr17:21300174-21300184(-) ccacaatgtgt >hg38_chr17:21539896-21539906(+) gtacaatatta >hg38_chr17:22299371-22299381(-) AAACGATGGAC >hg38_chr17:22521393-22521403(+) TCACGATGGAT >hg38_chr17:27085273-27085283(+) atacaaaggat >hg38_chr17:27140430-27140440(-) ATACAATAACT >hg38_chr17:27151876-27151886(+) caacaatggaa >hg38_chr17:27190446-27190456(-) aaacaaaggtt >hg38_chr17:27265641-27265651(+) GAACAATGCCT >hg38_chr17:27294182-27294192(-) AGACAATAGAC >hg38_chr17:27335238-27335248(-) gaacaatgaga >hg38_chr17:27353736-27353746(-) GGACAATGGCG >hg38_chr17:27458305-27458315(+) CAACAATAGGG >hg38_chr17:27462937-27462947(-) acacaatgcag >hg38_chr17:27514051-27514061(-) CCACAATGGGA >hg38_chr17:27515015-27515025(-) taacaatgtgc >hg38_chr17:27518821-27518831(-) GCACAATGGAG >hg38_chr17:27530987-27530997(-) caacaatggaa >hg38_chr17:27531032-27531042(+) aaacaatgtcg >hg38_chr17:27600698-27600708(-) ttataatggag >hg38_chr17:27989896-27989906(-) ACACAATGGGT >hg38_chr17:27991805-27991815(+) TAACAATGATG >hg38_chr17:27991832-27991842(+) gaacaatgagt >hg38_chr17:27991841-27991851(+) gtacaatgttt >hg38_chr17:28001309-28001319(+) AAACAATGATT >hg38_chr17:28040940-28040950(-) AGACAAAGGAA >hg38_chr17:28098266-28098276(+) TAACAATGATT >hg38_chr17:28098605-28098615(-) caacaataggt >hg38_chr17:28102173-28102183(-) caacaatagaa >hg38_chr17:28118683-28118693(-) GTACAATAACA >hg38_chr17:28126533-28126543(-) CTACAATGACC >hg38_chr17:28141470-28141480(+) TAACACTGGTA >hg38_chr17:28142534-28142544(+) ACACAATGCTC >hg38_chr17:28196326-28196336(+) GTACAATGTAG >hg38_chr17:28196344-28196354(+) GTACAGTGGTG >hg38_chr17:28278555-28278565(+) taacaaaggac >hg38_chr17:28283634-28283644(+) aaacaataaat >hg38_chr17:28283664-28283674(+) taACAATAAAT >hg38_chr17:28297895-28297905(+) AAACAATGATT >hg38_chr17:28329512-28329522(+) GCACAATAGCA >hg38_chr17:28412327-28412337(+) taacaataaca >hg38_chr17:28442196-28442206(+) acacaatagta >hg38_chr17:28481730-28481740(-) ggacaatggcc >hg38_chr17:28481739-28481749(-) atacaaagggg >hg38_chr17:28544593-28544603(-) AAACAATGGAG >hg38_chr17:28567226-28567236(+) acacaatagga >hg38_chr17:28638977-28638987(+) GCACAATAGAA >hg38_chr17:28670476-28670486(-) GGACAATAAAC >hg38_chr17:28710766-28710776(+) ACACAATGAAT >hg38_chr17:28718554-28718564(-) GAACAATGAAC >hg38_chr17:28733443-28733453(-) acacaataggc >hg38_chr17:28744677-28744687(-) gaacaatagct >hg38_chr17:28761560-28761570(+) GAACAAAGGTA >hg38_chr17:28781681-28781691(+) acacaatgaaa >hg38_chr17:28808286-28808296(+) TAACAATGCCA >hg38_chr17:28808772-28808782(-) GAACAATGGAT >hg38_chr17:28819168-28819178(+) AAACAATAAAA >hg38_chr17:28842003-28842013(-) CAACAATGTTA >hg38_chr17:28967794-28967804(+) AGACAATGAAG >hg38_chr17:28968404-28968414(-) AGACAAAGGTG >hg38_chr17:28968773-28968783(+) TGACAATGCAA >hg38_chr17:28998044-28998054(+) GGACAAAGGAG >hg38_chr17:29008319-29008329(+) TGACAATGCAC >hg38_chr17:29027829-29027839(-) GGACTATGGAG >hg38_chr17:29038616-29038626(+) gaacaataaga >hg38_chr17:29078712-29078722(+) CAACAATGGGA >hg38_chr17:29091002-29091012(-) CTACAATGCCC >hg38_chr17:29151663-29151673(+) ACACAATGGTT >hg38_chr17:29158587-29158597(+) TGACAATGGAG >hg38_chr17:29179749-29179759(-) AAACAATGGAG >hg38_chr17:29208159-29208169(-) ctacaatgccc >hg38_chr17:29241516-29241526(-) GCACAATGGAG >hg38_chr17:29246721-29246731(+) AAACAAAGGCC >hg38_chr17:29246770-29246780(+) GAAcaaaggca >hg38_chr17:29264488-29264498(+) GAACGATGGCT >hg38_chr17:29274784-29274794(+) TGACAATAATA >hg38_chr17:29294746-29294756(+) CCACAATGGGG >hg38_chr17:29370063-29370073(+) AAACAATGTGA >hg38_chr17:29387479-29387489(-) GAACAAAGGCA >hg38_chr17:29442510-29442520(-) AAACAATAACA >hg38_chr17:29443255-29443265(-) CAACAATGATA >hg38_chr17:29448856-29448866(-) gcacaatgtgt >hg38_chr17:29466728-29466738(-) AAACAATGATG >hg38_chr17:29466745-29466755(-) AGACAATAACA >hg38_chr17:29475735-29475745(+) ATACAAAGGCT >hg38_chr17:29495615-29495625(+) GGACAAAGGAT >hg38_chr17:29524041-29524051(+) AAACAATGTTA >hg38_chr17:29546554-29546564(-) GTACAATGAAG >hg38_chr17:29569048-29569058(-) GGACAATGGGC >hg38_chr17:29620468-29620478(-) AGACAATGCAG >hg38_chr17:29663448-29663458(+) AAACAAAGGGA >hg38_chr17:29663916-29663926(+) tgacaatgggg >hg38_chr17:29671721-29671731(-) GCACAATAGAG >hg38_chr17:29683633-29683643(+) CTACAATAGAG >hg38_chr17:29692327-29692337(+) ATACAATGCAT >hg38_chr17:29717974-29717984(+) caacaatgagt >hg38_chr17:29720163-29720173(-) CTACAATGGGA >hg38_chr17:29721788-29721798(+) AGACAAAGGGT >hg38_chr17:29723911-29723921(-) ATACAATACAC >hg38_chr17:29727143-29727153(+) TGACAATATAC >hg38_chr17:29741531-29741541(+) GGACAATGGCA >hg38_chr17:29744975-29744985(-) GCACAATGTGA >hg38_chr17:29745010-29745020(+) TAACAATGGCC >hg38_chr17:29764431-29764441(-) CGACAGTGGAG >hg38_chr17:29767260-29767270(-) AAACAATAGAG >hg38_chr17:29767586-29767596(-) CTACAATATAT >hg38_chr17:29786436-29786446(-) aaacaaagggg >hg38_chr17:29794170-29794180(+) TGACAATGAAG >hg38_chr17:29794596-29794606(+) AAACAATGATG >hg38_chr17:29817137-29817147(-) TGACAATGCAT >hg38_chr17:29850171-29850181(+) AGACAAAGGAG >hg38_chr17:29854233-29854243(-) gtacaataaat >hg38_chr17:29870892-29870902(+) ATACAATTGAA >hg38_chr17:29911928-29911938(+) TGACAATAGCT >hg38_chr17:29930222-29930232(+) GCACAATGAGC >hg38_chr17:29931395-29931405(-) GAACAAAGGCT >hg38_chr17:30104833-30104843(+) TAACAATAACA >hg38_chr17:30113668-30113678(+) atacaatacaa >hg38_chr17:30137546-30137556(+) ccacaatggac >hg38_chr17:30137578-30137588(-) atacaaaggtt >hg38_chr17:30141326-30141336(-) acacaatgtgg >hg38_chr17:30161036-30161046(+) atacaatggaa >hg38_chr17:30170288-30170298(-) agataatggac >hg38_chr17:30173497-30173507(+) AAACAATAGCG >hg38_chr17:30173511-30173521(-) AAACAAAGGCA >hg38_chr17:30222489-30222499(+) AAACAATGACA >hg38_chr17:30267231-30267241(-) ATACAATAGAA >hg38_chr17:30307202-30307212(-) gaacaatgcct >hg38_chr17:30316919-30316929(-) ACACAATGCAA >hg38_chr17:30318846-30318856(+) GCACAATGTCA >hg38_chr17:30340249-30340259(+) ACACAATAATA >hg38_chr17:30381619-30381629(+) TAACAAAGGAC >hg38_chr17:30415375-30415385(-) TCACAATGTTT >hg38_chr17:30420558-30420568(-) TAACaataata >hg38_chr17:30420947-30420957(+) GAACAATCGTG >hg38_chr17:30422375-30422385(+) TTACAATGGAG >hg38_chr17:30455953-30455963(-) TAACAATATTC >hg38_chr17:30468882-30468892(-) ACATAATGCGA >hg38_chr17:30500035-30500045(-) acacaatagaa >hg38_chr17:30605169-30605179(+) gcataatggtt >hg38_chr17:30789152-30789162(-) ATATAATGGTA >hg38_chr17:30806155-30806165(+) GGACAATGACT >hg38_chr17:30835642-30835652(+) AGACAAAGGAA >hg38_chr17:30885404-30885414(-) aaacaaaggaa >hg38_chr17:31052656-31052666(-) CCACAATGGCC >hg38_chr17:31089829-31089839(-) taacaatatag >hg38_chr17:31089866-31089876(-) gaacaatgcac >hg38_chr17:31106807-31106817(-) GTACAATAAGT >hg38_chr17:31122543-31122553(-) AAACAATGTGA >hg38_chr17:31125147-31125157(+) gaacaatgtat >hg38_chr17:31144622-31144632(+) ccacaatggcg >hg38_chr17:31147502-31147512(-) caacaatgttt >hg38_chr17:31161296-31161306(-) TTACAATGCCT >hg38_chr17:31170335-31170345(-) CTACAATAGCT >hg38_chr17:31171177-31171187(-) TCACAATGGTA >hg38_chr17:31194469-31194479(-) ttacagtggta >hg38_chr17:31194492-31194502(+) taacaatatgt >hg38_chr17:31199530-31199540(-) gcacaatatat >hg38_chr17:31231381-31231391(+) ACACTATGGAC >hg38_chr17:31253793-31253803(-) AGATAATGGCA >hg38_chr17:31269409-31269419(+) aaacaatagag >hg38_chr17:31275096-31275106(+) AAACAATAGTC >hg38_chr17:31275111-31275121(-) GAATAATGGCA >hg38_chr17:31279631-31279641(+) GAACAATGGAT >hg38_chr17:31279655-31279665(-) TAACAATGGCC >hg38_chr17:31330898-31330908(+) GAACAATGAAT >hg38_chr17:31330907-31330917(-) TTACAATAAAT >hg38_chr17:31331534-31331544(+) gtacaataatt >hg38_chr17:31339494-31339504(+) GTACAAAGGTA >hg38_chr17:31339908-31339918(+) GGACAATGGAG >hg38_chr17:31349801-31349811(+) GTACAATACAA >hg38_chr17:31361462-31361472(-) ACACAATAGTT >hg38_chr17:31369535-31369545(-) ACACAAAGGAA >hg38_chr17:31377546-31377556(-) CTACAATGATC >hg38_chr17:31416569-31416579(-) ACACAATAGGA >hg38_chr17:31425255-31425265(+) gcacaaaggaa >hg38_chr17:31468051-31468061(-) CTACAATGGAC >hg38_chr17:31468095-31468105(+) AAACAATAGAT >hg38_chr17:31490526-31490536(-) ACACAATGAGC >hg38_chr17:31511748-31511758(-) CAACAATGCCA >hg38_chr17:31574440-31574450(-) CTACAATGGGC >hg38_chr17:31618626-31618636(+) ttacagtggat >hg38_chr17:31718285-31718295(+) atacaatggaa >hg38_chr17:31747860-31747870(+) Tcacaaaggaa >hg38_chr17:31919924-31919934(-) gcacaatgagt >hg38_chr17:32000834-32000844(-) AAACAAAGGGA >hg38_chr17:32103871-32103881(-) GGACAATGCCT >hg38_chr17:32208360-32208370(-) TAATAATGGCA >hg38_chr17:32211984-32211994(-) GAACAAAGGTA >hg38_chr17:32228185-32228195(-) AGACAATGCTG >hg38_chr17:32281081-32281091(-) AGACAATGGGG >hg38_chr17:32289623-32289633(-) taacaatagGC >hg38_chr17:32311759-32311769(-) AGACAAAGACG >hg38_chr17:32313481-32313491(-) gtacaatgtga >hg38_chr17:32341718-32341728(+) AAACAAAGGGC >hg38_chr17:32351319-32351329(-) GAACAATAATC >hg38_chr17:32365908-32365918(+) CTACAATGCCG >hg38_chr17:32376950-32376960(-) TAACAATGTCA >hg38_chr17:32377145-32377155(-) AAACAATACTA >hg38_chr17:32378216-32378226(-) GTACAATGTAT >hg38_chr17:32384240-32384250(-) GAACAATGTCC >hg38_chr17:32384259-32384269(-) TAACAAAGGTT >hg38_chr17:32384358-32384368(-) ATACATTGGTA >hg38_chr17:32384373-32384383(-) GCACAATGTAT >hg38_chr17:32384399-32384409(+) GTACAATGGAA >hg38_chr17:32403259-32403269(-) CAACAATGCTA >hg38_chr17:32403681-32403691(+) GAACAATGAGT >hg38_chr17:32454892-32454902(-) TTACAAAGGTG >hg38_chr17:32486494-32486504(+) GAACAAAGGCA >hg38_chr17:32504687-32504697(+) TGACAATGATC >hg38_chr17:32516777-32516787(-) TAACAATGCAG >hg38_chr17:32519375-32519385(+) CGACAAAGGAA >hg38_chr17:32519435-32519445(+) agACAAAGGGA >hg38_chr17:32519861-32519871(+) GAACAATGGAG >hg38_chr17:32551207-32551217(+) AGACAATGAAC >hg38_chr17:32610415-32610425(-) CAACAATAGGG >hg38_chr17:32676164-32676174(-) ATACAAAGGGA >hg38_chr17:32733580-32733590(-) acacaatggtg >hg38_chr17:32744158-32744168(+) ccacaatggcc >hg38_chr17:32754872-32754882(+) GCACAAAGGTC >hg38_chr17:32755605-32755615(-) GCACAAAGGGA >hg38_chr17:32795492-32795502(+) ACACAATAGCT >hg38_chr17:32795557-32795567(+) ATACAATAAAG >hg38_chr17:32813257-32813267(+) atacaatggtg >hg38_chr17:32813275-32813285(+) taactatggtc >hg38_chr17:32828062-32828072(+) GCACAATGAAA >hg38_chr17:32935920-32935930(-) GAACAAAGGGC >hg38_chr17:32937221-32937231(+) AAACAATGGCA >hg38_chr17:33028275-33028285(+) CCACAATGTAG >hg38_chr17:33182724-33182734(-) ATACAATGACA >hg38_chr17:33189346-33189356(+) atacaacggaa >hg38_chr17:33189923-33189933(+) TAAtaatggtg >hg38_chr17:33362807-33362817(-) AAACAATGCCT >hg38_chr17:33522900-33522910(+) GAACAAAGGCC >hg38_chr17:33539359-33539369(+) CTACAATGGTG >hg38_chr17:33667351-33667361(-) TAACAATAACC >hg38_chr17:33667379-33667389(+) ACACAACGGAA >hg38_chr17:33684864-33684874(-) acacaatggta >hg38_chr17:33684910-33684920(-) TCACAATAGGA >hg38_chr17:33752765-33752775(+) tgacaatggct >hg38_chr17:33767167-33767177(-) gcacaatgagg >hg38_chr17:33794340-33794350(+) TTACAAAGGCC >hg38_chr17:33818197-33818207(-) caacaatgttt >hg38_chr17:33818234-33818244(+) ctacaatggga >hg38_chr17:33896476-33896486(-) TGACAATGAAT >hg38_chr17:34067161-34067171(+) acacagtggaa >hg38_chr17:34067232-34067242(-) CTACAAAGGAC >hg38_chr17:34068693-34068703(-) AAACAATGAAC >hg38_chr17:34100922-34100932(-) acacaatgagt >hg38_chr17:34131205-34131215(+) AGACAATAGAG >hg38_chr17:34150312-34150322(-) gtacaatagat >hg38_chr17:34150343-34150353(+) taacaatatat >hg38_chr17:34151347-34151357(+) CTACAATGGCA >hg38_chr17:34151384-34151394(+) GCACAATAGGT >hg38_chr17:34151407-34151417(+) TGACAAAGGAA >hg38_chr17:34178192-34178202(-) GAACAAAGGCT >hg38_chr17:34182551-34182561(+) GTACAATGAAA >hg38_chr17:34207619-34207629(+) caacaatgtat >hg38_chr17:34207856-34207866(-) caacaatagaa >hg38_chr17:34207864-34207874(-) ttacaatgcaa >hg38_chr17:34239002-34239012(-) GAACAAAGGGG >hg38_chr17:34242868-34242878(-) CCACAATAGGG >hg38_chr17:34254839-34254849(-) TGACAATGCAT >hg38_chr17:34265008-34265018(-) gaacaaaggca >hg38_chr17:34267520-34267530(+) acacaatgtat >hg38_chr17:34267551-34267561(+) taacaatgtta >hg38_chr17:34300063-34300073(+) AAACAAAGGCA >hg38_chr17:34329823-34329833(+) ttacaatgtat >hg38_chr17:34330753-34330763(+) ACACAATCGTG >hg38_chr17:34340199-34340209(-) agacaatagct >hg38_chr17:34410238-34410248(+) ggacaaaggac >hg38_chr17:34440259-34440269(+) TCACAATGGCT >hg38_chr17:34510119-34510129(-) ggacaatgtgt >hg38_chr17:34619767-34619777(-) AAACAATGCCT >hg38_chr17:34763568-34763578(+) ggacaatgtca >hg38_chr17:34763869-34763879(-) tcacaatgtgc >hg38_chr17:34764916-34764926(+) TAACAATAAAT >hg38_chr17:34798676-34798686(-) GGATAATGGAA >hg38_chr17:34870097-34870107(-) aaacaaaggat >hg38_chr17:34903547-34903557(+) TAATAATGGCA >hg38_chr17:34934827-34934837(-) gaacaatgctg >hg38_chr17:34943422-34943432(+) caacaataggg >hg38_chr17:34946627-34946637(+) taataatgggc >hg38_chr17:34963590-34963600(-) CTACAATAGAT >hg38_chr17:34981193-34981203(-) GCACAATGCAG >hg38_chr17:35009918-35009928(-) TTACAATGCCT >hg38_chr17:35052737-35052747(+) agacaaaggtc >hg38_chr17:35082100-35082110(-) GTACAATACCA >hg38_chr17:35086616-35086626(+) cAACAATGAAG >hg38_chr17:35086956-35086966(+) TAACAATGCAC >hg38_chr17:35205577-35205587(+) ggataatggga >hg38_chr17:35255573-35255583(+) GAACAATGGAT >hg38_chr17:35255598-35255608(-) AGACAATGGTT >hg38_chr17:35257481-35257491(-) ACACAATGTAA >hg38_chr17:35257522-35257532(-) GAACAAAGGAT >hg38_chr17:35311946-35311956(-) taacaatggac >hg38_chr17:35312964-35312974(-) agacaatagag >hg38_chr17:35358440-35358450(-) gtacaatgtaa >hg38_chr17:35432440-35432450(+) acacaatgtaa >hg38_chr17:35448212-35448222(+) GAACAATAATT >hg38_chr17:35497751-35497761(-) ACACAATGCTT >hg38_chr17:35545143-35545153(-) GAACAATAAAG >hg38_chr17:35605965-35605975(-) ACACACTGGTA >hg38_chr17:35628786-35628796(-) TGATAATGGAT >hg38_chr17:35628815-35628825(-) AAACAAAGGCA >hg38_chr17:35630533-35630543(-) AGACAATGGCA >hg38_chr17:35658006-35658016(-) GGACAATGAAA >hg38_chr17:35658049-35658059(-) GAACAATAGGA >hg38_chr17:35668720-35668730(-) GAACAAAGGTG >hg38_chr17:35678952-35678962(-) caacaaTGCTA >hg38_chr17:35711152-35711162(-) AAACAAAGGCA >hg38_chr17:35715640-35715650(-) CCACAATGAAG >hg38_chr17:35716712-35716722(+) TCACAATGAAG >hg38_chr17:35716743-35716753(+) GCACTATGGAT >hg38_chr17:35717035-35717045(-) ACACAATAGCA >hg38_chr17:35729725-35729735(-) acacaatgcct >hg38_chr17:37171195-37171205(-) GAACAATAGGT >hg38_chr17:38340107-38340117(+) taacaatgaac >hg38_chr17:38867945-38867955(+) GAACAAAGGCG >hg38_chr17:38869402-38869412(-) CAACAATGCTT >hg38_chr17:38883848-38883858(+) GAACAATGCCT >hg38_chr17:38934343-38934353(+) TGACAATGACT >hg38_chr17:38934379-38934389(+) TAATAATGGTA >hg38_chr17:38936512-38936522(+) AGACAATATAT >hg38_chr17:38938595-38938605(-) TTATAATGGAA >hg38_chr17:38958922-38958932(+) ATACATTGGTA >hg38_chr17:38983342-38983352(+) CGACAATATGA >hg38_chr17:39000110-39000120(-) GTACAAAGGTT >hg38_chr17:39000130-39000140(-) AAACAATAATA >hg38_chr17:39046971-39046981(-) aaacaaaggaa >hg38_chr17:39063255-39063265(+) ACACAATGAGG >hg38_chr17:39143652-39143662(-) ATACAATGTCT >hg38_chr17:39165104-39165114(-) GGACAATGAAG >hg38_chr17:39178746-39178756(-) gaacaatgctg >hg38_chr17:39197778-39197788(+) ACACAATGGGC >hg38_chr17:39199881-39199891(-) AGACAAAGGCG >hg38_chr17:39261452-39261462(-) TGACAATGGAG >hg38_chr17:39281499-39281509(-) AGACAATGTCA >hg38_chr17:39304044-39304054(+) ACACAAAGGCA >hg38_chr17:39331983-39331993(+) TAACAATACAT >hg38_chr17:39332026-39332036(-) ACACAATGCTC >hg38_chr17:39461377-39461387(-) AAACAACGCGA >hg38_chr17:39517408-39517418(-) GAACAATGGAG >hg38_chr17:39529555-39529565(-) GAACAATGCCT >hg38_chr17:39533282-39533292(-) ACACACTGGTA >hg38_chr17:39567487-39567497(+) aaacaatgcct >hg38_chr17:39579891-39579901(-) AGACAATGGTG >hg38_chr17:39618107-39618117(+) ACACAATGCAT >hg38_chr17:39652324-39652334(-) gcacaatgcct >hg38_chr17:39696377-39696387(-) AGACAATGCCC >hg38_chr17:39705199-39705209(-) CAACAATGGGG >hg38_chr17:39781500-39781510(-) GAACAATGGTA >hg38_chr17:39781517-39781527(-) CCACAATAGAC >hg38_chr17:39787221-39787231(+) GGACAAAGGAC >hg38_chr17:39827785-39827795(+) aaacaatgggc >hg38_chr17:39865162-39865172(-) GAACAATGTCC >hg38_chr17:39865205-39865215(+) GGACAATATAC >hg38_chr17:39880118-39880128(+) taacaatacta >hg38_chr17:39916999-39917009(+) TAACAATGGGG >hg38_chr17:39933987-39933997(+) AGACAAAGGAG >hg38_chr17:39959087-39959097(-) GGACAATGATC >hg38_chr17:39979955-39979965(+) TCACAATGTCT >hg38_chr17:40015142-40015152(-) GAACAAAGGCT >hg38_chr17:40056933-40056943(+) GTACAAAGGCT >hg38_chr17:40101331-40101341(-) AGACAAAGGGA >hg38_chr17:40110207-40110217(+) AAACAAAGGGT >hg38_chr17:40136772-40136782(-) CCACAATAGTT >hg38_chr17:40139262-40139272(-) TAATAATGTAT >hg38_chr17:40139326-40139336(+) TTATAATGGTC >hg38_chr17:40177006-40177016(-) GCACAATAGGT >hg38_chr17:40225366-40225376(+) CAACAATGTTA >hg38_chr17:40301601-40301611(+) TGACAAAGGTA >hg38_chr17:40301675-40301685(-) TAACAATAAAC >hg38_chr17:40341447-40341457(+) GCACAATGTCA >hg38_chr17:40346537-40346547(-) TAACAATAGAC >hg38_chr17:40347639-40347649(+) GAATAATGGGC >hg38_chr17:40400310-40400320(+) TCACAGTGGTA >hg38_chr17:40415958-40415968(+) TAACAATAGCA >hg38_chr17:40419973-40419983(-) GAACAATGCCG >hg38_chr17:40450346-40450356(+) AAACAAAGGCT >hg38_chr17:40510497-40510507(-) AGACAAAGGTG >hg38_chr17:40543435-40543445(-) TAACAATAGCT >hg38_chr17:40543455-40543465(-) TAACAATAGAC >hg38_chr17:40582506-40582516(+) GAACAATGGGT >hg38_chr17:40641274-40641284(-) TCACAATGCAT >hg38_chr17:40647811-40647821(-) GGACAAAGGGA >hg38_chr17:40648748-40648758(+) taataatggtt >hg38_chr17:40648774-40648784(-) atacaaagggt >hg38_chr17:40654720-40654730(+) GTACAATAGCT >hg38_chr17:40655971-40655981(+) GAATAATGGTG >hg38_chr17:40674425-40674435(+) caacaatgagc >hg38_chr17:40713357-40713367(-) acacaatgatc >hg38_chr17:40722454-40722464(-) TTACAATGCTC >hg38_chr17:40763893-40763903(-) agacaatagaa >hg38_chr17:40763910-40763920(-) caacaatggaa >hg38_chr17:40809133-40809143(-) atacaatggac >hg38_chr17:40810204-40810214(-) TGACAATGCAA >hg38_chr17:40825288-40825298(-) TTACAGTGGAT >hg38_chr17:40825305-40825315(-) GCACAATGAAA >hg38_chr17:40825321-40825331(-) ACACAATAAAA >hg38_chr17:40828855-40828865(-) GAACAATGAGT >hg38_chr17:40838020-40838030(+) CTACAATGACA >hg38_chr17:40849175-40849185(-) AAACAATGTCC >hg38_chr17:41404165-41404175(+) ggacaatgctt >hg38_chr17:41521036-41521046(-) CCACAATGTCT >hg38_chr17:41521978-41521988(+) ACACAAAGGCA >hg38_chr17:41529693-41529703(+) TGACAATAGAG >hg38_chr17:41548913-41548923(-) GGACAAAGGAA >hg38_chr17:41548940-41548950(-) GGACAAAGGAA >hg38_chr17:41579780-41579790(-) AAACAATAGAC >hg38_chr17:41642482-41642492(-) acacaatgaac >hg38_chr17:41689384-41689394(-) TGACAACGGGT >hg38_chr17:41689970-41689980(+) TCATAATGGAT >hg38_chr17:41690011-41690021(-) TAACAATACAT >hg38_chr17:41705346-41705356(-) acacaatggtg >hg38_chr17:41717692-41717702(+) tcacaatagca >hg38_chr17:41775663-41775673(-) AAACAAAGGCC >hg38_chr17:41786089-41786099(+) AAACAATAGGG >hg38_chr17:41881047-41881057(+) AAACAATGGAG >hg38_chr17:41885906-41885916(-) CAACAATGTGC >hg38_chr17:41885975-41885985(-) AAACAATGGGG >hg38_chr17:41966076-41966086(+) gaacaatggga >hg38_chr17:42003583-42003593(+) TAACACTGGAA >hg38_chr17:42011182-42011192(-) GAACAATAGTC >hg38_chr17:42016954-42016964(+) CAACAATGCAC >hg38_chr17:42067629-42067639(-) TCACAATGGCA >hg38_chr17:42087206-42087216(+) AGACAATGTTG >hg38_chr17:42101792-42101802(+) GCACAATGCTG >hg38_chr17:42132959-42132969(+) GAACAATGGAG >hg38_chr17:42252653-42252663(+) GAATAATGGGA >hg38_chr17:42255176-42255186(-) AGACAATGAGA >hg38_chr17:42255200-42255210(+) CAACAATGTAC >hg38_chr17:42257625-42257635(-) AGACAAAGGAT >hg38_chr17:42268431-42268441(+) ccacaatgaca >hg38_chr17:42270416-42270426(-) aaacaaaggga >hg38_chr17:42327471-42327481(-) acacaatggaa >hg38_chr17:42331322-42331332(+) TGACAATGCAC >hg38_chr17:42345769-42345779(+) AAACAACGGAA >hg38_chr17:42345777-42345787(+) GAACAAAGGCT >hg38_chr17:42358885-42358895(-) GAACAATAACT >hg38_chr17:42367697-42367707(-) gaacaatggag >hg38_chr17:42428799-42428809(-) ACACAATGGAA >hg38_chr17:42431676-42431686(+) AAACAATataa >hg38_chr17:42431731-42431741(+) atacaattgaa >hg38_chr17:42432849-42432859(+) aaacaatgggg >hg38_chr17:42436557-42436567(-) GCACAATAGAA >hg38_chr17:42442389-42442399(+) aaataatggga >hg38_chr17:42443091-42443101(-) atacaatgaga >hg38_chr17:42443130-42443140(-) caacaatggat >hg38_chr17:42460379-42460389(-) TGACAATGCGC >hg38_chr17:42464086-42464096(-) gaacaatgtgg >hg38_chr17:42678040-42678050(+) ATACAGTGGAG >hg38_chr17:42763135-42763145(-) GAACAATGGGC >hg38_chr17:42763556-42763566(-) GGACAATGTAG >hg38_chr17:42773471-42773481(+) CTACGATGGCG >hg38_chr17:42811580-42811590(-) TAATAATGGAG >hg38_chr17:42811972-42811982(+) TAACAATGCAC >hg38_chr17:42857484-42857494(+) AAACAAAGGGT >hg38_chr17:42921134-42921144(-) TAACAATAGGT >hg38_chr17:42942019-42942029(+) AGACAATGGTC >hg38_chr17:42980400-42980410(+) tcacaataggc >hg38_chr17:43036404-43036414(-) ACACAATGGAC >hg38_chr17:43063228-43063238(-) TAACAATGCAC >hg38_chr17:43079878-43079888(+) CTACAATGGGC >hg38_chr17:43097796-43097806(+) gcacaatagtg >hg38_chr17:43097855-43097865(+) TTACAATGCCT >hg38_chr17:43104552-43104562(+) caacaatgtaa >hg38_chr17:43146853-43146863(+) TAACAATGCCA >hg38_chr17:43171111-43171121(+) AATCAATGGCG >hg38_chr17:43340471-43340481(+) taacaatggga >hg38_chr17:43340504-43340514(+) gaacaatgcct >hg38_chr17:43361169-43361179(+) TAACAACGGTC >hg38_chr17:43372381-43372391(-) atacaataaat >hg38_chr17:43384012-43384022(+) acataatggca >hg38_chr17:43384027-43384037(+) tcacaatgggg >hg38_chr17:43460314-43460324(-) caacaatgggc >hg38_chr17:43483935-43483945(+) AAACAAAGGGG >hg38_chr17:43520831-43520841(-) ATACAATGGTC >hg38_chr17:43526182-43526192(+) ACACAATGGAG >hg38_chr17:43544719-43544729(+) ACACAATGGTC >hg38_chr17:43665883-43665893(-) GCACAATGGGA >hg38_chr17:43694512-43694522(-) GGACAATGAGG >hg38_chr17:43696421-43696431(+) TGACAATAGTT >hg38_chr17:43710241-43710251(+) GAACAATGGAA >hg38_chr17:43734655-43734665(+) ACACAAAGGGT >hg38_chr17:43734694-43734704(-) ATACAATGGAA >hg38_chr17:43800975-43800985(+) ggacaatggat >hg38_chr17:43839951-43839961(-) TGACAATGCAC >hg38_chr17:43892435-43892445(-) GGACAATGGGA >hg38_chr17:43944275-43944285(+) AGACAATGGAT >hg38_chr17:43974651-43974661(-) AAACAATAGCA >hg38_chr17:44015057-44015067(+) AAACAACGGTG >hg38_chr17:44037968-44037978(+) ggacaataggt >hg38_chr17:44070707-44070717(+) TTACAAAGGTT >hg38_chr17:44080795-44080805(-) TGACAACGGGA >hg38_chr17:44080837-44080847(-) CTACAATGACC >hg38_chr17:44138381-44138391(-) TGACAATGAGG >hg38_chr17:44186836-44186846(+) CAACAATGACT >hg38_chr17:44192320-44192330(+) GAACAATGGGG >hg38_chr17:44199210-44199220(-) GGACAATAGAG >hg38_chr17:44199243-44199253(+) TAACAAAGgcc >hg38_chr17:44204881-44204891(+) GAACAATGTGA >hg38_chr17:44298207-44298217(-) taacaatggtc >hg38_chr17:44340341-44340351(+) GAACAATGTAT >hg38_chr17:44363844-44363854(-) AGACAATCGCG >hg38_chr17:44406199-44406209(+) ACACAATGGCT >hg38_chr17:44437458-44437468(-) GTACAACGGAT >hg38_chr17:44467571-44467581(+) AAACAATAGAC >hg38_chr17:44499956-44499966(+) TAACAATATAT >hg38_chr17:44499975-44499985(+) ACACAATGTAA >hg38_chr17:44499988-44499998(-) CAACAATGATA >hg38_chr17:44514219-44514229(-) aaacaatgcct >hg38_chr17:44533807-44533817(-) GGACAAAGGGT >hg38_chr17:44549858-44549868(-) GGACAATGCCT >hg38_chr17:44556657-44556667(-) ACACAAAGGAG >hg38_chr17:44587515-44587525(-) TAACAATGTTA >hg38_chr17:44636711-44636721(+) TCACAATAGTC >hg38_chr17:44667867-44667877(+) AGACAATAGCC >hg38_chr17:44738569-44738579(-) TGACAATGGGA >hg38_chr17:44766834-44766844(+) GGACAATGGGA >hg38_chr17:44803294-44803304(+) AGACAATGTGA >hg38_chr17:44820785-44820795(+) TTACAATGAAG >hg38_chr17:44891140-44891150(+) gaacaatgcag >hg38_chr17:44891149-44891159(+) agacaatgata >hg38_chr17:44917063-44917073(-) ACACAATGTTC >hg38_chr17:44980518-44980528(+) AGACAAAGGCA >hg38_chr17:45047314-45047324(-) agacaatggag >hg38_chr17:45051329-45051339(+) agacaatgggc >hg38_chr17:45093265-45093275(-) CAACAATGTAC >hg38_chr17:45099341-45099351(-) GGACAATGGCA >hg38_chr17:45122797-45122807(+) CTACAATGCCT >hg38_chr17:45171522-45171532(+) GGACAAAGGTT >hg38_chr17:45171854-45171864(+) GAACAAAGGAG >hg38_chr17:45307752-45307762(-) CTACAATGAAC >hg38_chr17:46057149-46057159(-) tgacaatagtc >hg38_chr17:46772665-46772675(+) TGACAATAGCC >hg38_chr17:46922893-46922903(-) GGACAATCGCG >hg38_chr17:46924832-46924842(+) TCACAATAGCA >hg38_chr17:46924845-46924855(+) GCACAATGGGC >hg38_chr17:46930393-46930403(-) TAACAATGAGA >hg38_chr17:46977620-46977630(-) ATACAATGGAG >hg38_chr17:46981374-46981384(-) agacaatggca >hg38_chr17:47079138-47079148(+) aaataatggta >hg38_chr17:47117353-47117363(-) GAACAATGCAG >hg38_chr17:47172089-47172099(-) GAACAATAGCC >hg38_chr17:47188424-47188434(-) AAATAATGGTG >hg38_chr17:47188592-47188602(-) GGACAATGATG >hg38_chr17:47267754-47267764(-) CCACAATAGAA >hg38_chr17:47295641-47295651(+) TCACAAAGGAA >hg38_chr17:47295652-47295662(+) ACACTATGGGA >hg38_chr17:47329351-47329361(-) tgacaatgctg >hg38_chr17:47401195-47401205(-) TAACAATGTCT >hg38_chr17:47407457-47407467(-) TAACAATAAAA >hg38_chr17:47412430-47412440(-) AAACAAAGGCT >hg38_chr17:47599465-47599475(-) CAACAATGGTA >hg38_chr17:47604668-47604678(-) ATACAAAGGCA >hg38_chr17:47622038-47622048(+) GGACAATGAAT >hg38_chr17:47624845-47624855(+) gcacaatagcc >hg38_chr17:47651896-47651906(-) ATACAGTGGAT >hg38_chr17:47875316-47875326(-) GAACAAAGGAC >hg38_chr17:48049785-48049795(-) GGACAATGTTC >hg38_chr17:48066516-48066526(-) TTACAATATAC >hg38_chr17:48073318-48073328(+) GAACAATGGAC >hg38_chr17:48074463-48074473(+) TCATAATGGAA >hg38_chr17:48088854-48088864(-) atactatggca >hg38_chr17:48088864-48088874(-) atacaatggaa >hg38_chr17:48093562-48093572(+) AAACAAAGGCA >hg38_chr17:48100964-48100974(-) GAACAATGTTG >hg38_chr17:48107245-48107255(+) TGACAATCGGT >hg38_chr17:48131312-48131322(-) GGACAATGGGC >hg38_chr17:48145492-48145502(+) CAACAATGGGC >hg38_chr17:48160634-48160644(+) TAACAAAGGCA >hg38_chr17:48181801-48181811(-) AAACAATGGCC >hg38_chr17:48185566-48185576(-) ACACAATGAGG >hg38_chr17:48194396-48194406(+) CAACAATGGGG >hg38_chr17:48243357-48243367(-) GAACAAAGGGA >hg38_chr17:48243590-48243600(-) GCACAATATAT >hg38_chr17:48251406-48251416(-) taacaatgtga >hg38_chr17:48261543-48261553(-) GGACAATGACT >hg38_chr17:48276969-48276979(+) CAACAATGGAA >hg38_chr17:48277040-48277050(+) GCACAATAATA >hg38_chr17:48290434-48290444(+) AGACAATGCAC >hg38_chr17:48308101-48308111(-) AAATAATGGCA >hg38_chr17:48308110-48308120(-) TCACAATGAAA >hg38_chr17:48311130-48311140(+) TCACAATGAAA >hg38_chr17:48351979-48351989(-) TTACAAAGGAC >hg38_chr17:48363232-48363242(+) AAACAATGGTT >hg38_chr17:48393210-48393220(+) CCACAATAGGG >hg38_chr17:48401793-48401803(-) gcacaatagct >hg38_chr17:48405009-48405019(+) ccacaatagat >hg38_chr17:48407362-48407372(+) gaaCAATGGTT >hg38_chr17:48413936-48413946(+) GAACAATACCG >hg38_chr17:48414002-48414012(+) AAACAATGCTA >hg38_chr17:48417160-48417170(+) TTACAATAGAA >hg38_chr17:48418693-48418703(-) aaacaatgaat >hg38_chr17:48511133-48511143(+) caacaatggat >hg38_chr17:48511165-48511175(-) gtacaatgaat >hg38_chr17:48527168-48527178(+) AGACAATAGCT >hg38_chr17:48549047-48549057(-) GAACAATTGTA >hg38_chr17:48549099-48549109(+) GTACAATAGTA >hg38_chr17:48562250-48562260(-) GTACAATGGGT >hg38_chr17:48567938-48567948(-) AGACAATGGAG >hg38_chr17:48570160-48570170(+) AAACAATGGAG >hg38_chr17:48575449-48575459(+) ATACACTGGGT >hg38_chr17:48575480-48575490(-) AAACATTGGCG >hg38_chr17:48676607-48676617(-) aaacaatgtca >hg38_chr17:48746071-48746081(-) AAACAATGGCT >hg38_chr17:48746097-48746107(+) AAACAATAGTT >hg38_chr17:48848887-48848897(+) gcactatggta >hg38_chr17:48848908-48848918(+) acacaatgaag >hg38_chr17:48903544-48903554(+) AAATAATGGTC >hg38_chr17:48903604-48903614(-) ATACAAAGGCC >hg38_chr17:48954510-48954520(+) aaacaaTGAAa >hg38_chr17:48995481-48995491(+) GGACAAAGGTG >hg38_chr17:48999829-48999839(-) TAACAATGGGC >hg38_chr17:49001914-49001924(-) TAACAAAGGTT >hg38_chr17:49013750-49013760(+) AGACAAAGGGC >hg38_chr17:49086168-49086178(+) GCATAATGGGA >hg38_chr17:49086205-49086215(-) ACACAAAGGAC >hg38_chr17:49086909-49086919(+) TGACAATGTTG >hg38_chr17:49101147-49101157(+) GAATAATGGGA >hg38_chr17:49112574-49112584(-) taacaatgggt >hg38_chr17:49191563-49191573(+) TTACAATAAGT >hg38_chr17:49193054-49193064(+) GAACAATAACG >hg38_chr17:49260213-49260223(+) AAACAATCGGA >hg38_chr17:49329743-49329753(-) ACACAATGGCA >hg38_chr17:49329789-49329799(+) GGACAAAGGAG >hg38_chr17:49343529-49343539(+) ATACAATATCT >hg38_chr17:49343568-49343578(+) TCACAATGTAT >hg38_chr17:49361263-49361273(+) CGACAATGAAC >hg38_chr17:49362428-49362438(-) TCACAATGTGG >hg38_chr17:49376283-49376293(+) gaacaatagcc >hg38_chr17:49496018-49496028(+) GGACAATGGGG >hg38_chr17:49496036-49496046(+) AGACAATGGGG >hg38_chr17:49515685-49515695(+) AGACAAAGGGA >hg38_chr17:49597154-49597164(+) CCACAATAGAT >hg38_chr17:49599793-49599803(+) AAACAATAAGT >hg38_chr17:49603857-49603867(-) GCACAATGTGT >hg38_chr17:49652960-49652970(+) TCACAATGAGA >hg38_chr17:49653527-49653537(+) AAACAATGTAG >hg38_chr17:49654138-49654148(+) TGACAAAGGAT >hg38_chr17:49654161-49654171(-) GGATAATGGCT >hg38_chr17:49717164-49717174(-) CAACAATGGTT >hg38_chr17:49717182-49717192(+) GTACAGTGGAC >hg38_chr17:49738021-49738031(-) AGACAATAGGA >hg38_chr17:49778102-49778112(-) acacaatggac >hg38_chr17:49778113-49778123(-) agacaatagct >hg38_chr17:49779906-49779916(+) ATACAATGACT >hg38_chr17:49785070-49785080(+) Taacaatagcc >hg38_chr17:49815244-49815254(+) GCATAATGGTG >hg38_chr17:49815280-49815290(+) TTACAAAGGAC >hg38_chr17:49944599-49944609(-) GAACAATGACT >hg38_chr17:50051720-50051730(+) ATACAATGCAA >hg38_chr17:50114983-50114993(+) gtacaatggag >hg38_chr17:50152105-50152115(+) ACACAATGGGC >hg38_chr17:50161766-50161776(+) TCACAATGACG >hg38_chr17:50316315-50316325(-) ATACAATGTGA >hg38_chr17:50422965-50422975(-) atacaatggaa >hg38_chr17:50481267-50481277(+) tgacaatgtat >hg38_chr17:50503918-50503928(+) GCACAATGGAA >hg38_chr17:50503927-50503937(+) AAACAATAGGG >hg38_chr17:50542626-50542636(+) AGACAATAGGC >hg38_chr17:50556260-50556270(-) CCACAATGCAC >hg38_chr17:50556275-50556285(-) TGACAATAGCA >hg38_chr17:50710313-50710323(+) gaacaatagaA >hg38_chr17:50710335-50710345(+) TTACAATAGAA >hg38_chr17:50710344-50710354(+) AAacaatgtgg >hg38_chr17:50750853-50750863(-) AAACAATGTAC >hg38_chr17:50825097-50825107(-) atacaatagaa >hg38_chr17:50891759-50891769(-) TAACAATGCCA >hg38_chr17:50893478-50893488(-) ACACAATGGGG >hg38_chr17:50893701-50893711(+) CAACAATAGGC >hg38_chr17:50902999-50903009(+) GAACAATGGGT >hg38_chr17:50919892-50919902(-) ACACAAAGGGA >hg38_chr17:50931146-50931156(+) TAACAATAGCC >hg38_chr17:50943642-50943652(+) acacaatggca >hg38_chr17:50968110-50968120(+) CAACAATAGTC >hg38_chr17:50985838-50985848(+) TAACAATGATC >hg38_chr17:51045876-51045886(+) AAACAATAGTG >hg38_chr17:51050284-51050294(+) GAACAATAACA >hg38_chr17:51050520-51050530(-) GTACAAAGGCG >hg38_chr17:51050554-51050564(-) GGACAATGGGC >hg38_chr17:51059340-51059350(+) CTACAATGGCT >hg38_chr17:51062249-51062259(+) ttacaatcgat >hg38_chr17:51064260-51064270(-) TTACAATGCTG >hg38_chr17:51064499-51064509(+) aaacaatatta >hg38_chr17:51071394-51071404(+) ACACAATATCG >hg38_chr17:51078988-51078998(+) GCATAATGGTT >hg38_chr17:51079018-51079028(+) AAACAAAGGCT >hg38_chr17:51153852-51153862(-) CGACAAAGGCG >hg38_chr17:51185382-51185392(+) TTACAAAGGGC >hg38_chr17:51229466-51229476(-) TGACAATGACA >hg38_chr17:51259838-51259848(-) ACACAAAGGAT >hg38_chr17:51260265-51260275(-) ATACACTGGGA >hg38_chr17:51373709-51373719(+) GCACAATAGGA >hg38_chr17:51514280-51514290(+) gcacaatgcct >hg38_chr17:51542410-51542420(-) AAACAATGTAG >hg38_chr17:51585692-51585702(-) cgacaaaggct >hg38_chr17:51664773-51664783(+) CCACAATGCGA >hg38_chr17:51732209-51732219(+) GAACAATGTTA >hg38_chr17:51745447-51745457(+) TAATAATGTAC >hg38_chr17:51745455-51745465(-) CCACAATGGTA >hg38_chr17:51746566-51746576(-) gtacaaaggcc >hg38_chr17:51873537-51873547(+) atacaacgtac >hg38_chr17:51921658-51921668(+) tgacaatggcc >hg38_chr17:52018264-52018274(+) aaataatggta >hg38_chr17:52092115-52092125(-) agacaaaggag >hg38_chr17:52229057-52229067(+) ACACAATAGGA >hg38_chr17:52448275-52448285(+) taacaatgaga >hg38_chr17:52528927-52528937(+) ttacaataaac >hg38_chr17:52681669-52681679(+) AAACAATGCAA >hg38_chr17:52681692-52681702(+) GGACAATGATA >hg38_chr17:52778890-52778900(-) CTACAATGACT >hg38_chr17:52813384-52813394(+) CTACAATGTGA >hg38_chr17:52952318-52952328(-) GTACAATGATC >hg38_chr17:53020820-53020830(-) TAACTATGGAG >hg38_chr17:53113959-53113969(+) ttataatgggc >hg38_chr17:53142991-53143001(+) ttacaatggca >hg38_chr17:53150347-53150357(-) TAATAATGGAA >hg38_chr17:53158038-53158048(+) gaataatggcc >hg38_chr17:53329545-53329555(-) TAACAAAGGTC >hg38_chr17:53344037-53344047(-) GTACAATAATT >hg38_chr17:53354380-53354390(+) TCATAATGGGC >hg38_chr17:53454611-53454621(+) cgacaacggaa >hg38_chr17:53598804-53598814(-) AGACAATAGGA >hg38_chr17:53614294-53614304(-) TAACAATGAAG >hg38_chr17:53614310-53614320(+) GCATAATGGTT >hg38_chr17:53629320-53629330(+) aaacactggaa >hg38_chr17:53630733-53630743(-) aaacaataggt >hg38_chr17:53630755-53630765(+) ccacaatagat >hg38_chr17:53635650-53635660(-) GAACTATGGGT >hg38_chr17:53645544-53645554(-) atacaatggat >hg38_chr17:53649409-53649419(-) ATACAATGAGT >hg38_chr17:53649422-53649432(-) TTATAATGGAG >hg38_chr17:53665787-53665797(-) CAACAATAGCA >hg38_chr17:53897952-53897962(-) AAACAATAACC >hg38_chr17:54029157-54029167(+) aaacaaaggaa >hg38_chr17:54220819-54220829(+) TTACAATGCCT >hg38_chr17:54220844-54220854(+) TGATAATGGAA >hg38_chr17:54404990-54405000(-) GGACAATAGAA >hg38_chr17:54405021-54405031(-) TAACAATGGAA >hg38_chr17:54425075-54425085(+) CAACAATAGCA >hg38_chr17:54494695-54494705(-) GAACAATGGGT >hg38_chr17:54771688-54771698(+) CAACAATGCAA >hg38_chr17:54803180-54803190(+) gaacaatgaaa >hg38_chr17:54893206-54893216(+) atataatgaac >hg38_chr17:54893213-54893223(+) gaactatggaa >hg38_chr17:54928090-54928100(+) GAACAAAGGAA >hg38_chr17:54956995-54957005(+) gtacactggga >hg38_chr17:54960779-54960789(-) GAACAATGGTT >hg38_chr17:55051532-55051542(-) CAACAATGAAC >hg38_chr17:55067788-55067798(+) ATACAGTGGTT >hg38_chr17:55070320-55070330(-) AGATAATGgct >hg38_chr17:55076853-55076863(-) tcataatggaa >hg38_chr17:55117760-55117770(-) AGACTATGGCA >hg38_chr17:55119928-55119938(-) ATACAAAGGCT >hg38_chr17:55134789-55134799(-) ATACAACGGAC >hg38_chr17:55136008-55136018(+) tgacaatggac >hg38_chr17:55136072-55136082(+) taacaatatct >hg38_chr17:55162485-55162495(+) caacaatgtca >hg38_chr17:55183103-55183113(+) caacaatagca >hg38_chr17:55334806-55334816(+) GTACAATAAAC >hg38_chr17:55366636-55366646(+) AAACAATGGAC >hg38_chr17:55366650-55366660(-) GGACAATGTGT >hg38_chr17:55420841-55420851(-) TAACAATGGAT >hg38_chr17:55422391-55422401(-) TCACAATAGCC >hg38_chr17:55433356-55433366(+) GAACAAAGGAA >hg38_chr17:55482711-55482721(-) TAACAATGACA >hg38_chr17:55484458-55484468(+) ttacaatggct >hg38_chr17:55550301-55550311(-) agacaatgagg >hg38_chr17:55582687-55582697(-) AAACACTGGAA >hg38_chr17:55588730-55588740(+) ATACAATAGGG >hg38_chr17:55599941-55599951(-) acacaataata >hg38_chr17:55599949-55599959(-) ggacaataaca >hg38_chr17:55600405-55600415(-) tcacaaaggaa >hg38_chr17:55601488-55601498(-) atacaataact >hg38_chr17:55615974-55615984(-) taacaatatat >hg38_chr17:55665564-55665574(+) AAACAATGCTA >hg38_chr17:55745431-55745441(-) tgacaatgcaa >hg38_chr17:55748445-55748455(+) caacaatagaa >hg38_chr17:55748865-55748875(+) gaacaataggc >hg38_chr17:55775136-55775146(+) GGACAATAGTC >hg38_chr17:55775159-55775169(+) GAACAATAGTG >hg38_chr17:55795261-55795271(-) TTACAATGCCC >hg38_chr17:55870284-55870294(-) agacaataggg >hg38_chr17:55885413-55885423(-) aaacaatgagc >hg38_chr17:55905670-55905680(+) gGACAATGAGG >hg38_chr17:55982755-55982765(-) taacaatgacc >hg38_chr17:56121509-56121519(-) gtataatgaac >hg38_chr17:56153574-56153584(-) AAACAATGGCC >hg38_chr17:56160720-56160730(-) TTACAATGGGA >hg38_chr17:56174112-56174122(-) CCACAATGTCA >hg38_chr17:56212964-56212974(+) TCACAATAGCT >hg38_chr17:56252067-56252077(+) gaataatggag >hg38_chr17:56262911-56262921(-) TGACAATGGGA >hg38_chr17:56262924-56262934(-) TAACAATGGTG >hg38_chr17:56315625-56315635(+) TCACAATGCAG >hg38_chr17:56317935-56317945(-) AGACAATGTGT >hg38_chr17:56320587-56320597(+) AGACAATAGGG >hg38_chr17:56360270-56360280(-) gtacaaaggcc >hg38_chr17:56365475-56365485(+) ttacaatgcca >hg38_chr17:56367624-56367634(-) GCACAATGGAG >hg38_chr17:56375838-56375848(+) tcacaatgatc >hg38_chr17:56407551-56407561(-) GAACAATAAAA >hg38_chr17:56442134-56442144(-) AAACAATGGCT >hg38_chr17:56442145-56442155(+) TAACAATGGCT >hg38_chr17:56452080-56452090(+) TTACAATATTA >hg38_chr17:56484460-56484470(-) aaacaatgaga >hg38_chr17:56490091-56490101(+) ctacaatgttc >hg38_chr17:56505393-56505403(+) atacaatgatg >hg38_chr17:56507010-56507020(-) taacaatatct >hg38_chr17:56507022-56507032(-) CCACAATGGTa >hg38_chr17:56545660-56545670(+) ATACAATAAAC >hg38_chr17:56595981-56595991(+) TCACAATGGGG >hg38_chr17:56637054-56637064(+) AAACAAAGGAA >hg38_chr17:56679024-56679034(-) AAACAAAGGGC >hg38_chr17:56765750-56765760(+) AGACAATGTGG >hg38_chr17:56822197-56822207(+) tgacaatagct >hg38_chr17:56836111-56836121(-) TTACAATGAAG >hg38_chr17:56853252-56853262(-) aaacaatagag >hg38_chr17:56853564-56853574(-) atacaataggg >hg38_chr17:56936909-56936919(+) TTACAATGAAG >hg38_chr17:56958385-56958395(+) AAACAATGATT >hg38_chr17:56958414-56958424(+) AAACAAAGACG >hg38_chr17:56977129-56977139(+) AGACAATGGCT >hg38_chr17:56982548-56982558(+) AGACAAAGGTG >hg38_chr17:57010264-57010274(+) acacaatggac >hg38_chr17:57051859-57051869(-) ACACAATAGTT >hg38_chr17:57052156-57052166(-) TTACAATGTGA >hg38_chr17:57052164-57052174(+) TAACAATAGCC >hg38_chr17:57072466-57072476(+) TAACAATAACT >hg38_chr17:57079571-57079581(-) TAACAATACTA >hg38_chr17:57084725-57084735(-) GCATAATGGTG >hg38_chr17:57088680-57088690(-) ACACAATGTTA >hg38_chr17:57135990-57136000(-) GTACAAAGGCT >hg38_chr17:57144707-57144717(+) TAACAATAGCA >hg38_chr17:57144738-57144748(-) GAATAATGGGA >hg38_chr17:57174661-57174671(-) AAACAATATAA >hg38_chr17:57210277-57210287(+) aaactatggta >hg38_chr17:57234845-57234855(-) atacaaaggtg >hg38_chr17:57235254-57235264(+) TCACAAAGGAA >hg38_chr17:57235273-57235283(-) TGACAATGGTC >hg38_chr17:57251177-57251187(-) aaacaatgact >hg38_chr17:57283359-57283369(-) ATACAAAGGGA >hg38_chr17:57294767-57294777(-) GAATAATGGAT >hg38_chr17:57296435-57296445(-) AGACAATGACC >hg38_chr17:57332616-57332626(+) ACACAATGAAA >hg38_chr17:57363206-57363216(+) ctacaatgtgg >hg38_chr17:57364172-57364182(+) ACACAATAAAA >hg38_chr17:57406729-57406739(-) GAACAAAGGGT >hg38_chr17:57406745-57406755(-) AGACAATAAAA >hg38_chr17:57425671-57425681(-) aaacaatgatc >hg38_chr17:57426337-57426347(-) TAACAATGGTA >hg38_chr17:57441829-57441839(-) Aaacaatgact >hg38_chr17:57444188-57444198(-) AGACAAAGGAT >hg38_chr17:57466417-57466427(-) CAACAATGGAC >hg38_chr17:57472157-57472167(+) AAATAATGGAT >hg38_chr17:57475982-57475992(+) CGACAATGTCA >hg38_chr17:57526862-57526872(-) GTACAATGGGG >hg38_chr17:57526907-57526917(+) TGACAATGGTT >hg38_chr17:57538051-57538061(+) GGACAATGAAT >hg38_chr17:57551119-57551129(+) ACATAATGGCT >hg38_chr17:57577898-57577908(-) CAACAATGCCT >hg38_chr17:57578904-57578914(-) TTACAATGGGA >hg38_chr17:57596242-57596252(+) ATACAGTGGAT >hg38_chr17:57608656-57608666(-) caacaatgact >hg38_chr17:57662110-57662120(-) GAACAAAGGGC >hg38_chr17:57662865-57662875(+) agacaaaggag >hg38_chr17:57663329-57663339(-) GAACAAAGGGC >hg38_chr17:57686549-57686559(+) taacaataaag >hg38_chr17:57698629-57698639(-) TAACAATAAAC >hg38_chr17:57757281-57757291(-) GGACAATGGCG >hg38_chr17:57760871-57760881(+) GAACAATGAAC >hg38_chr17:57762511-57762521(-) GAACAATGCAG >hg38_chr17:57823991-57824001(-) ctacaatgaga >hg38_chr17:57832905-57832915(+) CGACAGTGGCT >hg38_chr17:57845897-57845907(+) tgacaatgcct >hg38_chr17:57875532-57875542(-) CCACAATGGAG >hg38_chr17:57901574-57901584(+) AAACAAAGGCA >hg38_chr17:57903421-57903431(-) GAACAAAGGAC >hg38_chr17:57906172-57906182(+) tcacaatagtc >hg38_chr17:57907125-57907135(+) AGACAATGACA >hg38_chr17:57912263-57912273(-) GAACAATGGAG >hg38_chr17:57918441-57918451(+) agacaacggaa >hg38_chr17:57925593-57925603(-) GGACACTGGAC >hg38_chr17:57931710-57931720(+) ACACAAAGGAA >hg38_chr17:57940431-57940441(+) ggacaatgtga >hg38_chr17:57980463-57980473(-) CAACAATGGAC >hg38_chr17:57997107-57997117(+) AGACAATGTCA >hg38_chr17:58000489-58000499(-) GTACAATACAA >hg38_chr17:58001240-58001250(+) AGACAATGTTT >hg38_chr17:58003330-58003340(+) TAACAATAAAA >hg38_chr17:58004899-58004909(+) TGACAATAGCA >hg38_chr17:58004929-58004939(-) CAATAATGGAG >hg38_chr17:58082821-58082831(+) GAACAAAGGAG >hg38_chr17:58107991-58108001(-) atacaatgcta >hg38_chr17:58148540-58148550(-) GGACAATGAGG >hg38_chr17:58163450-58163460(-) acacaatgaaa >hg38_chr17:58166183-58166193(-) GCACAATGGAT >hg38_chr17:58229108-58229118(+) AAACAAAGGCT >hg38_chr17:58262218-58262228(-) acacaaaggaa >hg38_chr17:58293016-58293026(+) aaacaaaggac >hg38_chr17:58348305-58348315(-) ACACAATAGGC >hg38_chr17:58384436-58384446(-) GGACAAAGGGT >hg38_chr17:58392706-58392716(+) CAACAATGGAT >hg38_chr17:58455521-58455531(+) atacaatgaag >hg38_chr17:58514585-58514595(+) CCACAATGGAG >hg38_chr17:58518258-58518268(-) CTACAATGCCC >hg38_chr17:58568602-58568612(+) TAACAATGTAA >hg38_chr17:58658175-58658185(+) taacaataaaa >hg38_chr17:58752976-58752986(+) TGACAATGGCA >hg38_chr17:58757404-58757414(+) TTACAATTGCG >hg38_chr17:58786518-58786528(+) atacactggac >hg38_chr17:58786525-58786535(+) ggacaaaggga >hg38_chr17:58787044-58787054(+) TTACAATAGCA >hg38_chr17:58803136-58803146(-) agacaacggtc >hg38_chr17:58822925-58822935(-) AGAGAATGGCG >hg38_chr17:58858048-58858058(-) TAACAATGACG >hg38_chr17:58858671-58858681(-) acacaatgaga >hg38_chr17:58858709-58858719(-) caacaatagat >hg38_chr17:58886026-58886036(-) ttacaaagggg >hg38_chr17:58893364-58893374(-) atacaataggc >hg38_chr17:58969254-58969264(-) GTACCATGGAA >hg38_chr17:58969459-58969469(+) CAACAATGATG >hg38_chr17:58979179-58979189(+) TAACAATAAAG >hg38_chr17:58984190-58984200(+) GGACAATGGCA >hg38_chr17:58984199-58984209(+) CAACAATGTCA >hg38_chr17:58984705-58984715(+) ACACAATGATT >hg38_chr17:58998443-58998453(+) GCACAATGGCA >hg38_chr17:59061774-59061784(+) agacaatgaag >hg38_chr17:59062343-59062353(-) aaacaatgttc >hg38_chr17:59067940-59067950(-) CCACAATGGAG >hg38_chr17:59118521-59118531(-) TGACAATAGAG >hg38_chr17:59149689-59149699(+) atacaatgaat >hg38_chr17:59194320-59194330(-) TAACAATAGGC >hg38_chr17:59290132-59290142(-) cgataatgatc >hg38_chr17:59329675-59329685(-) AGACAATAAAA >hg38_chr17:59354304-59354314(+) AGATAATGGGA >hg38_chr17:59365649-59365659(-) AAACAAAGGTT >hg38_chr17:59366433-59366443(-) GCACTATGGAA >hg38_chr17:59369853-59369863(+) Taacaatagcc >hg38_chr17:59369893-59369903(-) gcacaatgcct >hg38_chr17:59401579-59401589(+) TAACAAAGGTA >hg38_chr17:59486224-59486234(-) ATACAATGGAG >hg38_chr17:59486236-59486246(-) GCACAATATAT >hg38_chr17:59494134-59494144(+) TTACTATGGGC >hg38_chr17:59514413-59514423(+) CAACAATGTGG >hg38_chr17:59515913-59515923(-) atacaatgccc >hg38_chr17:59515935-59515945(+) atacaataatg >hg38_chr17:59547469-59547479(-) TAACAAAGGAA >hg38_chr17:59566058-59566068(-) GAACAAAGGGT >hg38_chr17:59618647-59618657(+) CGACAATGAAT >hg38_chr17:59623715-59623725(-) TAACAATGACA >hg38_chr17:59624019-59624029(-) GAACAATGAGT >hg38_chr17:59624075-59624085(+) GAACAATGACT >hg38_chr17:59643466-59643476(-) aaataatggaa >hg38_chr17:59660122-59660132(+) GGACAATGAAG >hg38_chr17:59660173-59660183(+) ACATAATGGCC >hg38_chr17:59662342-59662352(+) ATATAATGGTA >hg38_chr17:59666273-59666283(-) AAACAATGTTG >hg38_chr17:59669314-59669324(-) CTACAATAGAG >hg38_chr17:59688131-59688141(-) AAACAATGCAT >hg38_chr17:59694896-59694906(-) GCACAATGTCT >hg38_chr17:59711240-59711250(-) CTACAATGGCT >hg38_chr17:59711264-59711274(-) CAACAATGCAA >hg38_chr17:59712051-59712061(+) ggacaatgaag >hg38_chr17:59712576-59712586(+) aaacaatggga >hg38_chr17:59719699-59719709(-) AAACAATGTGC >hg38_chr17:59725920-59725930(-) TAACAATGGTA >hg38_chr17:59731503-59731513(+) TCATAATGGAA >hg38_chr17:59741797-59741807(-) taacaatgtgt >hg38_chr17:59754629-59754639(+) TAACTATGGCT >hg38_chr17:59762599-59762609(+) GAACAAAGGAC >hg38_chr17:59764035-59764045(-) ACACAATGGTT >hg38_chr17:59770035-59770045(-) AAACAATGGGA >hg38_chr17:59783633-59783643(+) taacaatcgct >hg38_chr17:59784280-59784290(-) GAACAATACCG >hg38_chr17:59792360-59792370(-) aaacaaaggaa >hg38_chr17:59803718-59803728(-) GAACAATGTAG >hg38_chr17:59828386-59828396(+) AAACAATGTAC >hg38_chr17:59837653-59837663(-) TAACAAAGGAA >hg38_chr17:59857442-59857452(-) GGACAATGAGC >hg38_chr17:59892935-59892945(+) TGACAATGCGC >hg38_chr17:59968594-59968604(+) ggacaatagca >hg38_chr17:60141698-60141708(-) AGACAATGTTG >hg38_chr17:60143532-60143542(-) GAACAATGGAG >hg38_chr17:60154889-60154899(-) GGACAATAGAG >hg38_chr17:60171340-60171350(+) CAACAATGAAA >hg38_chr17:60248757-60248767(+) ttacaatagtt >hg38_chr17:60269974-60269984(+) ACACTATGGTA >hg38_chr17:60277546-60277556(-) TTACAATGTTA >hg38_chr17:60277588-60277598(-) TAACAATATGT >hg38_chr17:60277625-60277635(+) GGACAATATAC >hg38_chr17:60293788-60293798(+) GAACAATGGAG >hg38_chr17:60304629-60304639(+) taacaatagca >hg38_chr17:60314770-60314780(+) aaacaaaggta >hg38_chr17:60346692-60346702(+) TCACAATAGAG >hg38_chr17:60383559-60383569(+) gcacaatagtg >hg38_chr17:60386060-60386070(+) GAACAATAAAG >hg38_chr17:60421071-60421081(-) AAACAATGTAT >hg38_chr17:60464489-60464499(-) ttacaatagga >hg38_chr17:60472978-60472988(-) aaacaaTAATA >hg38_chr17:60495930-60495940(+) ttacgatggaa >hg38_chr17:60499709-60499719(+) acacaatggaa >hg38_chr17:60604119-60604129(+) aaataatggtt >hg38_chr17:60604165-60604175(-) gtataatgaaa >hg38_chr17:60604172-60604182(+) atacaatatca >hg38_chr17:60606206-60606216(-) gtacaatatga >hg38_chr17:60607658-60607668(-) GGACAATGAAA >hg38_chr17:60618455-60618465(-) cgacaatagca >hg38_chr17:60646776-60646786(-) AAACAATGCCT >hg38_chr17:60647334-60647344(-) taacaatgaac >hg38_chr17:60685045-60685055(+) CAACAATGATC >hg38_chr17:60694761-60694771(+) caacAATGGcc >hg38_chr17:60694786-60694796(-) tgacaatatac >hg38_chr17:60722072-60722082(+) atacaataaat >hg38_chr17:60722091-60722101(-) atataatggat >hg38_chr17:60734594-60734604(-) atacaatggag >hg38_chr17:60744070-60744080(+) GAACAAAGGCA >hg38_chr17:60745727-60745737(-) CAACAATAGCA >hg38_chr17:60747624-60747634(-) TAACAAAGGCG >hg38_chr17:60749375-60749385(+) atacaatgttg >hg38_chr17:60749850-60749860(+) atacaatgttg >hg38_chr17:60799035-60799045(-) acacaaaggaa >hg38_chr17:60832989-60832999(+) ATACAATGTAA >hg38_chr17:61002472-61002482(-) TTACAATGAGA >hg38_chr17:61009366-61009376(-) CTACAATGGAA >hg38_chr17:61010183-61010193(-) TAACAATGGGC >hg38_chr17:61011807-61011817(+) TAACAATAGGT >hg38_chr17:61018117-61018127(-) AAACTATGGCA >hg38_chr17:61159678-61159688(-) AGACAAAGGGT >hg38_chr17:61179500-61179510(-) ACACAAAGGTC >hg38_chr17:61182752-61182762(+) atacaatacgt >hg38_chr17:61184494-61184504(-) aaacaatgtac >hg38_chr17:61196310-61196320(-) AGACAATGGAT >hg38_chr17:61199627-61199637(+) GGACAATGTTA >hg38_chr17:61199652-61199662(+) ACACAAAGGGT >hg38_chr17:61199676-61199686(-) GAACTATGGTA >hg38_chr17:61209627-61209637(-) GAACAATGGTC >hg38_chr17:61294202-61294212(+) TTATAATGGAG >hg38_chr17:61374768-61374778(+) AAACAATATTA >hg38_chr17:61411351-61411361(-) GGACAATGAGG >hg38_chr17:61426233-61426243(-) CTACAAAGGAA >hg38_chr17:61436200-61436210(-) atataatagta >hg38_chr17:61486441-61486451(+) AAACAAAGGGC >hg38_chr17:61550779-61550789(+) ggacaatgtca >hg38_chr17:61567688-61567698(+) TAACAATGATC >hg38_chr17:61582663-61582673(+) caacaatgaag >hg38_chr17:61615266-61615276(-) TTACAATGGTT >hg38_chr17:61615311-61615321(-) ggacaaaggtc >hg38_chr17:61623063-61623073(-) acacaatggag >hg38_chr17:61627904-61627914(-) ccacaatgaga >hg38_chr17:61709560-61709570(-) ATACAGTGGCA >hg38_chr17:61723148-61723158(-) GTACAATGAAA >hg38_chr17:61755062-61755072(+) ggacaatgatt >hg38_chr17:61758629-61758639(+) tcacaaaggaa >hg38_chr17:61764917-61764927(-) ttacaatgaca >hg38_chr17:61766277-61766287(+) aaaCAAAGGAA >hg38_chr17:61792875-61792885(-) ttacaatagtg >hg38_chr17:61792902-61792912(+) aaactatggag >hg38_chr17:61797424-61797434(+) aaacaataacc >hg38_chr17:61797965-61797975(-) acacaataggt >hg38_chr17:61813797-61813807(+) AGACAATGACA >hg38_chr17:61847002-61847012(-) ATACAAAGGAC >hg38_chr17:61847015-61847025(-) GAACAATAGTA >hg38_chr17:61863002-61863012(+) CAACAATAGTG >hg38_chr17:61867924-61867934(+) ATACAATGGAG >hg38_chr17:61908504-61908514(-) gcacaatgttc >hg38_chr17:62017607-62017617(+) aaacaataggc >hg38_chr17:62017864-62017874(+) gtacaatggct >hg38_chr17:62078724-62078734(-) ttacaatgcgc >hg38_chr17:62087069-62087079(+) atacaatagac >hg38_chr17:62093618-62093628(-) ACACAAAGGGA >hg38_chr17:62121287-62121297(+) gaacaatggtg >hg38_chr17:62319752-62319762(-) CAACAATGCAG >hg38_chr17:62378041-62378051(-) TTACAATGGCT >hg38_chr17:62387756-62387766(+) AAACAATATAA >hg38_chr17:62395905-62395915(+) CAACAATGTAA >hg38_chr17:62406987-62406997(-) AAACAATGTAA >hg38_chr17:62617448-62617458(-) GCACAATAGCC >hg38_chr17:62634065-62634075(-) taacaattgta >hg38_chr17:62657215-62657225(-) CTACAATGAAG >hg38_chr17:62694609-62694619(+) agacaatggat >hg38_chr17:62727998-62728008(-) atacaatgaca >hg38_chr17:62747663-62747673(+) TAATAATGGGA >hg38_chr17:62747716-62747726(-) TAACAATGAGT >hg38_chr17:62807035-62807045(-) TAACAATAGCC >hg38_chr17:62877485-62877495(-) AAACAATGGCT >hg38_chr17:62890917-62890927(+) AGACAAAGGCA >hg38_chr17:62892291-62892301(+) CGACACTGGCT >hg38_chr17:62892587-62892597(-) TAATAATGGAG >hg38_chr17:62937407-62937417(+) ggataatggag >hg38_chr17:62938746-62938756(-) tcacaatggcc >hg38_chr17:62995144-62995154(-) CTACAATGCAT >hg38_chr17:63036351-63036361(-) caacaatggtg >hg38_chr17:63041920-63041930(-) TAACAATGTGC >hg38_chr17:63042693-63042703(-) AGACAATGATG >hg38_chr17:63045193-63045203(+) ccacaatggca >hg38_chr17:63062544-63062554(-) tgacaatgaaa >hg38_chr17:63069800-63069810(-) GCACAATGGAA >hg38_chr17:63070245-63070255(-) GCACAATGCAC >hg38_chr17:63073072-63073082(+) GAACAAAGGAA >hg38_chr17:63077056-63077066(+) gtacaatggat >hg38_chr17:63077087-63077097(-) ccacaatgtgc >hg38_chr17:63085627-63085637(-) caacaatgata >hg38_chr17:63116447-63116457(-) GGACAATCGTT >hg38_chr17:63136652-63136662(+) taacaatgaga >hg38_chr17:63153670-63153680(-) GAACAATGACT >hg38_chr17:63153692-63153702(+) GAACAATGCTG >hg38_chr17:63155891-63155901(-) TTACAATGGCT >hg38_chr17:63171945-63171955(+) TTACAATGAAG >hg38_chr17:63196980-63196990(-) ttacactggaa >hg38_chr17:63240222-63240232(+) CTACAATGATT >hg38_chr17:63290858-63290868(+) CTACAATGCAT >hg38_chr17:63290865-63290875(-) GTACAATATGC >hg38_chr17:63290899-63290909(-) AAACAATGACT >hg38_chr17:63290913-63290923(+) TAACAATAAAC >hg38_chr17:63330485-63330495(+) AAACAATGGTT >hg38_chr17:63399424-63399434(+) GCACAATGAAT >hg38_chr17:63462695-63462705(-) aaacaatgagt >hg38_chr17:63532964-63532974(-) tcacaaAGGAC >hg38_chr17:63580075-63580085(+) TGACAATAGTA >hg38_chr17:63580109-63580119(-) TTACAATAGTG >hg38_chr17:63631780-63631790(+) AAACAATAGAA >hg38_chr17:63676127-63676137(+) GCACAATAGCC >hg38_chr17:63742064-63742074(+) CAACAATGAAG >hg38_chr17:63753358-63753368(+) CTACAATGTGA >hg38_chr17:63764419-63764429(-) AAACAAAGGGC >hg38_chr17:64085966-64085976(-) agacaaagggt >hg38_chr17:64086022-64086032(+) GGACAATGCTT >hg38_chr17:64096894-64096904(+) GAACAATGAAA >hg38_chr17:64127136-64127146(-) TAACATTGGTA >hg38_chr17:64147256-64147266(+) AGATAATGGCA >hg38_chr17:64203243-64203253(+) TAACAATGCAG >hg38_chr17:64240775-64240785(+) TAACAataggg >hg38_chr17:64245026-64245036(+) GTACAATAAAA >hg38_chr17:64265074-64265084(-) GGACAATGACT >hg38_chr17:64350041-64350051(-) GGACAATAAAT >hg38_chr17:64350048-64350058(-) AGACAATGGAC >hg38_chr17:64357583-64357593(+) agacaatggaa >hg38_chr17:64412040-64412050(-) GAACAATGCAG >hg38_chr17:64412056-64412066(-) GAACAATGTCA >hg38_chr17:64471652-64471662(+) GAACAATGGCA >hg38_chr17:64471661-64471671(+) CAACAATAGGG >hg38_chr17:64497508-64497518(-) AGACAACGGCT >hg38_chr17:64505576-64505586(+) TAACAAAGGCG >hg38_chr17:64512099-64512109(+) gcacaatgttc >hg38_chr17:64512161-64512171(+) ttataatggta >hg38_chr17:64512559-64512569(+) TGACAATGTGA >hg38_chr17:64542966-64542976(+) CTACAAAGGAA >hg38_chr17:64556257-64556267(+) AAACTATGGTC >hg38_chr17:64579025-64579035(+) AGACAAAGGTA >hg38_chr17:64580528-64580538(-) taacaaaggta >hg38_chr17:64623499-64623509(-) AAACAATGCAG >hg38_chr17:64640512-64640522(+) gtataatggaa >hg38_chr17:65007291-65007301(+) ACACAATAGTT >hg38_chr17:65012396-65012406(+) AAACAATGTAT >hg38_chr17:65022561-65022571(+) atacaatgtat >hg38_chr17:65023478-65023488(-) caacaatagtg >hg38_chr17:65027578-65027588(+) ctacaatgtat >hg38_chr17:65041289-65041299(-) AAACAATGTCA >hg38_chr17:65054815-65054825(+) TTACAAAGGTA >hg38_chr17:65061557-65061567(-) ACACAATGGCA >hg38_chr17:65078744-65078754(+) GCACAATAGCT >hg38_chr17:65100110-65100120(+) agacaaaggaa >hg38_chr17:65123660-65123670(+) ACACAATAGGA >hg38_chr17:65144248-65144258(-) GAACAATAATA >hg38_chr17:65208890-65208900(+) GGATAATGGCC >hg38_chr17:65244462-65244472(-) AAACAATGCTG >hg38_chr17:65364829-65364839(-) AAATAATGGCA >hg38_chr17:65368485-65368495(+) GAACAATAGGC >hg38_chr17:65393661-65393671(-) AAACAATGTTG >hg38_chr17:65398301-65398311(-) TAATAATGGGA >hg38_chr17:65398339-65398349(-) ATACAATGGAT >hg38_chr17:65403433-65403443(-) CAACAATGAGC >hg38_chr17:65403812-65403822(+) AAACAATGCCT >hg38_chr17:65439158-65439168(-) GGACAATGGAC >hg38_chr17:65463858-65463868(-) TTACAAAGGGG >hg38_chr17:65467239-65467249(+) GGACAAAGGAC >hg38_chr17:65528914-65528924(+) TAACAATGGCA >hg38_chr17:65546255-65546265(+) GAACAATGGGC >hg38_chr17:65666865-65666875(-) GTACAAAGGAA >hg38_chr17:65666912-65666922(+) ACACAATGAGT >hg38_chr17:65667228-65667238(+) GGACAATGATG >hg38_chr17:65695186-65695196(+) GAACAATGAGC >hg38_chr17:65695221-65695231(-) CTACAATGCTC >hg38_chr17:65711952-65711962(+) GTACAAGGGTA >hg38_chr17:65720493-65720503(-) acacaatggga >hg38_chr17:65742246-65742256(-) GAACAATAAAA >hg38_chr17:65743377-65743387(+) ACACAATAAAA >hg38_chr17:65778394-65778404(-) AAACAATGTTA >hg38_chr17:65785525-65785535(-) caacaatgaga >hg38_chr17:65814510-65814520(+) agacaataaac >hg38_chr17:65814526-65814536(+) caacaatgctt >hg38_chr17:65824203-65824213(+) atacaatggaa >hg38_chr17:65829453-65829463(-) GGACAATAGAA >hg38_chr17:65856825-65856835(-) TGACAATGTGC >hg38_chr17:65900410-65900420(-) GTACAATGAGG >hg38_chr17:65900874-65900884(+) CTACAATGACT >hg38_chr17:65903075-65903085(+) GCACAATGGCA >hg38_chr17:65947935-65947945(+) GGACAATGAGA >hg38_chr17:65947943-65947953(+) AGACAAAGGTC >hg38_chr17:66028277-66028287(-) GAACAATGGTC >hg38_chr17:66090537-66090547(+) ctacaatagtt >hg38_chr17:66167540-66167550(+) gaacaaaggca >hg38_chr17:66167587-66167597(+) AAACAAAGGTA >hg38_chr17:66185026-66185036(+) aaacaatatag >hg38_chr17:66185090-66185100(+) acacaaaggga >hg38_chr17:66209708-66209718(+) AAACAATGGTT >hg38_chr17:66266607-66266617(+) ggacaatgcct >hg38_chr17:66293767-66293777(+) ATACAATGGCA >hg38_chr17:66305553-66305563(+) AAACAATAGGA >hg38_chr17:66308121-66308131(-) tgacaaaggta >hg38_chr17:66308163-66308173(+) ctacaatagat >hg38_chr17:66339957-66339967(+) ATATAATGGAG >hg38_chr17:66383482-66383492(-) CGACAATGATC >hg38_chr17:66387596-66387606(+) GAACAATAGAA >hg38_chr17:66424083-66424093(-) ATACAATGGGA >hg38_chr17:66431181-66431191(+) TAACAATGTAT >hg38_chr17:66431339-66431349(+) GGACAATAGTC >hg38_chr17:66437238-66437248(+) AAACAATGGTG >hg38_chr17:66474433-66474443(-) TAACAATAGCA >hg38_chr17:66493973-66493983(-) AAACAATGCTT >hg38_chr17:66516201-66516211(+) GGACAATGTGC >hg38_chr17:66524334-66524344(+) GAACAATATAG >hg38_chr17:66527486-66527496(+) AGACAATAGGG >hg38_chr17:66540276-66540286(+) AGACAAAGGGT >hg38_chr17:66542724-66542734(-) ATACAATACAC >hg38_chr17:66543240-66543250(-) CTATAATGGAA >hg38_chr17:66565344-66565354(-) GTACAATGCAA >hg38_chr17:66604577-66604587(-) GTACACTGGTG >hg38_chr17:66691887-66691897(-) CGACAATGAAG >hg38_chr17:66702667-66702677(-) atacaatgtga >hg38_chr17:66702683-66702693(+) aaacaATGACT >hg38_chr17:66712022-66712032(-) AGACAAGGGCG >hg38_chr17:66716829-66716839(+) TGACAACGGAG >hg38_chr17:66775631-66775641(+) AGACAATGGAA >hg38_chr17:66803822-66803832(-) TGACAATGCGG >hg38_chr17:66924026-66924036(+) aaacaaaggaa >hg38_chr17:66926406-66926416(-) CAACAatggca >hg38_chr17:66958201-66958211(+) GGACAAAGGAA >hg38_chr17:67102032-67102042(+) CCACAATGTCC >hg38_chr17:67116014-67116024(+) taacaatagca >hg38_chr17:67121243-67121253(+) ATACAATGACA >hg38_chr17:67135772-67135782(+) gaataatgGCT >hg38_chr17:67135803-67135813(+) ATACAATAAAT >hg38_chr17:67136874-67136884(+) gcacaataacg >hg38_chr17:67140890-67140900(+) aaacaatagag >hg38_chr17:67141252-67141262(-) taacaatacaa >hg38_chr17:67145648-67145658(+) ATACAATGTCA >hg38_chr17:67146592-67146602(+) GAACAATGTAC >hg38_chr17:67155245-67155255(+) aaataatggca >hg38_chr17:67166530-67166540(-) GGACAATGGGG >hg38_chr17:67175013-67175023(-) AGACAATGGTA >hg38_chr17:67179555-67179565(+) GCACAATGTCA >hg38_chr17:67190109-67190119(-) AAACAATGAAC >hg38_chr17:67190169-67190179(+) AGACAATGAAT >hg38_chr17:67193933-67193943(-) AAACAATGACA >hg38_chr17:67258075-67258085(+) AAACAAAGGCC >hg38_chr17:67316993-67317003(+) ATACAATGGAA >hg38_chr17:67322558-67322568(+) agacaatgact >hg38_chr17:67337584-67337594(-) gtataatggca >hg38_chr17:67337650-67337660(+) tgataatggtg >hg38_chr17:67339515-67339525(+) TCACAATGACT >hg38_chr17:67374645-67374655(-) TAACAATGTAC >hg38_chr17:67377055-67377065(+) GCACAATGGAG >hg38_chr17:67394335-67394345(-) ACACAAAGGTT >hg38_chr17:67431835-67431845(-) AGACAAAGGAA >hg38_chr17:67460224-67460234(-) GAACAATGGCA >hg38_chr17:67490996-67491006(+) ATACAGTGGAA >hg38_chr17:67519977-67519987(-) GTACAATTGAA >hg38_chr17:67578028-67578038(-) AGACAAAGGAA >hg38_chr17:67589133-67589143(+) GGACAATGGAA >hg38_chr17:67589480-67589490(-) TGACAATGCTT >hg38_chr17:67608886-67608896(-) acacaatgggg >hg38_chr17:67615992-67616002(-) CAACAATGGCC >hg38_chr17:67681059-67681069(+) GTACAATGAAG >hg38_chr17:67692478-67692488(-) GAACTATGGGA >hg38_chr17:67696657-67696667(+) ACACAATAGCA >hg38_chr17:67707334-67707344(-) AAACAAAGGAG >hg38_chr17:67733728-67733738(+) gcacaatgctt >hg38_chr17:67735180-67735190(-) atacactggag >hg38_chr17:67761122-67761132(+) TTACAATGCAA >hg38_chr17:67765619-67765629(+) TGACAATGACC >hg38_chr17:67779229-67779239(+) CAATAATGGGA >hg38_chr17:67842647-67842657(+) CCACAATGAAA >hg38_chr17:67875175-67875185(+) TAACAATTGTA >hg38_chr17:67884065-67884075(+) ctacaatgcat >hg38_chr17:67884080-67884090(-) acacaatgaaa >hg38_chr17:67982193-67982203(-) AAACAATGATG >hg38_chr17:68294326-68294336(-) ACACAAAGGAA >hg38_chr17:68296409-68296419(+) GAACAATAGGC >hg38_chr17:68314800-68314810(-) TAACAATAACA >hg38_chr17:68318149-68318159(+) TTACAATAGGG >hg38_chr17:68341654-68341664(-) GCACAATGGCA >hg38_chr17:68341661-68341671(-) CAACAATGCAC >hg38_chr17:68444256-68444266(+) AGACAAAGGGT >hg38_chr17:68456136-68456146(-) ccacaatacga >hg38_chr17:68470705-68470715(+) aaacaataaaa >hg38_chr17:68477218-68477228(+) TGACAATGAGA >hg38_chr17:68515498-68515508(-) GCACAATAGAA >hg38_chr17:68516213-68516223(-) TCACAATGACA >hg38_chr17:68521703-68521713(+) gtacaaagggg >hg38_chr17:68524377-68524387(-) TAACAATATAA >hg38_chr17:68524427-68524437(-) ATACAATGAAG >hg38_chr17:68534496-68534506(+) gaacaaaggga >hg38_chr17:68563930-68563940(+) TGACAATGATG >hg38_chr17:68612229-68612239(-) taacaattgta >hg38_chr17:68614157-68614167(-) tcacaataata >hg38_chr17:68625439-68625449(+) aaacaatggtc >hg38_chr17:68637149-68637159(-) gtacaatagag >hg38_chr17:68637628-68637638(+) AAACAATAAAT >hg38_chr17:68661927-68661937(+) TAACAAAGGAT >hg38_chr17:68732425-68732435(-) ttacaaaggaa >hg38_chr17:68734067-68734077(-) ccacaatgagc >hg38_chr17:68792304-68792314(+) ATACAATGAGG >hg38_chr17:68794005-68794015(-) TTACAATGGGA >hg38_chr17:68795152-68795162(+) AGATAATGGGC >hg38_chr17:68803708-68803718(+) TAACAATGGGC >hg38_chr17:68863955-68863965(-) TAACTATGCGT >hg38_chr17:68898680-68898690(+) aaacaatggca >hg38_chr17:68900125-68900135(+) agacaatagaa >hg38_chr17:68900136-68900146(+) aaacaatagag >hg38_chr17:68907598-68907608(-) GTACAATAACT >hg38_chr17:68908423-68908433(+) atacaatggtg >hg38_chr17:68908464-68908474(-) agacaatgaac >hg38_chr17:68926681-68926691(+) CAACAATAGTG >hg38_chr17:68931159-68931169(-) AGACAATGGTG >hg38_chr17:69017608-69017618(-) GGACTATGGGT >hg38_chr17:69047769-69047779(-) gaacaaaggca >hg38_chr17:69050584-69050594(-) AGACAATGTCA >hg38_chr17:69050605-69050615(-) ACACAATGTCA >hg38_chr17:69084998-69085008(+) ACACAAAGGAA >hg38_chr17:69120511-69120521(-) gaacaataaac >hg38_chr17:69123812-69123822(-) ATATAATGGTT >hg38_chr17:69123841-69123851(+) TAACAATGGGT >hg38_chr17:69142146-69142156(+) AAACAATAACT >hg38_chr17:69163840-69163850(+) CAACAATGTCT >hg38_chr17:69167383-69167393(-) taacaatggca >hg38_chr17:69167424-69167434(+) aaacaataaat >hg38_chr17:69173202-69173212(-) CTACAATGGTC >hg38_chr17:69174304-69174314(-) AAATAATGGCT >hg38_chr17:69186926-69186936(+) gaacaatgcct >hg38_chr17:69222882-69222892(+) ACACAAAGGAC >hg38_chr17:69222891-69222901(-) AGACAATGTGT >hg38_chr17:69223992-69224002(+) ACACAAAGGAA >hg38_chr17:69235711-69235721(-) GCACAATGCAA >hg38_chr17:69246286-69246296(+) ATACAATAATC >hg38_chr17:69290690-69290700(-) GTACAATAAAT >hg38_chr17:69316776-69316786(+) gaacaatgatg >hg38_chr17:69320840-69320850(+) TAATAATGTAA >hg38_chr17:69320931-69320941(-) AGACAATGTGG >hg38_chr17:69334280-69334290(+) gaacagtggat >hg38_chr17:69343205-69343215(-) AAACAAAGGAT >hg38_chr17:69358754-69358764(-) ccacaatggag >hg38_chr17:69394921-69394931(-) ATATAATGTCG >hg38_chr17:69418092-69418102(-) TAACAAAGGAA >hg38_chr17:69426193-69426203(-) TAACAATAGAT >hg38_chr17:69451148-69451158(+) TAACAATAGCG >hg38_chr17:69467486-69467496(-) CCACAATGGCA >hg38_chr17:69467506-69467516(-) ACACAATGAAA >hg38_chr17:69493373-69493383(+) aaacaataata >hg38_chr17:69502201-69502211(+) GAACAATGAGC >hg38_chr17:69517081-69517091(-) CCACAATAGCC >hg38_chr17:69605898-69605908(+) GAACAATGAAG >hg38_chr17:69638258-69638268(+) GAACAATGGAG >hg38_chr17:69691835-69691845(-) CTACAAAGGAA >hg38_chr17:69709567-69709577(+) ATACAATAGTG >hg38_chr17:69711694-69711704(+) TAACACTGGTT >hg38_chr17:69758621-69758631(-) GGACAAAGGAA >hg38_chr17:69809660-69809670(+) GCACAATAGAT >hg38_chr17:69809916-69809926(-) ATACAATGGTG >hg38_chr17:69829128-69829138(+) TAATAATGGCA >hg38_chr17:69829146-69829156(+) taataatggct >hg38_chr17:69829180-69829190(-) gcacaatgctt >hg38_chr17:69829203-69829213(-) agacaatgcct >hg38_chr17:69834917-69834927(-) ATACAATAAGT >hg38_chr17:69834929-69834939(-) CTACAATGGTT >hg38_chr17:69834948-69834958(-) GTACAATGTTT >hg38_chr17:69841635-69841645(+) GTACAATAGGC >hg38_chr17:69874252-69874262(+) gtacactggaa >hg38_chr17:69916717-69916727(-) TAACAATAATC >hg38_chr17:70027941-70027951(-) gaacaatgccc >hg38_chr17:70028330-70028340(-) taacaatggat >hg38_chr17:70073828-70073838(+) ccacaatgAGA >hg38_chr17:70123662-70123672(+) atacaatgagg >hg38_chr17:70150528-70150538(+) TGACAATAGCT >hg38_chr17:70158798-70158808(+) CAACAATGATT >hg38_chr17:70192203-70192213(-) GGACAATAAAT >hg38_chr17:70192210-70192220(-) ATATAATGGAC >hg38_chr17:70540376-70540386(-) TAACAATGCAT >hg38_chr17:70563383-70563393(-) TAACAAAGGCT >hg38_chr17:70636130-70636140(-) GAACAATAACA >hg38_chr17:70640391-70640401(-) AAACTATGGCA >hg38_chr17:70684132-70684142(+) TAACAATGACC >hg38_chr17:70737733-70737743(-) CTACAATGGAA >hg38_chr17:70753740-70753750(-) TAACAATATGT >hg38_chr17:70772223-70772233(+) AAATAATGGCT >hg38_chr17:70772247-70772257(-) TTACTATGGGT >hg38_chr17:70792181-70792191(-) aaacaaagggt >hg38_chr17:70841608-70841618(+) AGACAATGGCA >hg38_chr17:70869898-70869908(+) TTACAAAGGAC >hg38_chr17:70907001-70907011(+) AGACAAAGGAC >hg38_chr17:70920804-70920814(-) GAATAATGGAG >hg38_chr17:70937400-70937410(+) TAACAAAGGAT >hg38_chr17:71032906-71032916(-) CTACAATAGGC >hg38_chr17:71032915-71032925(-) ATACAATGGCT >hg38_chr17:71113441-71113451(-) AGACAATGTGT >hg38_chr17:71171891-71171901(-) ggataatgggt >hg38_chr17:71172142-71172152(+) tcacaatgctc >hg38_chr17:71172160-71172170(+) caacaatgact >hg38_chr17:71222534-71222544(+) ACACAATGCCA >hg38_chr17:71223796-71223806(+) agacaatgtct >hg38_chr17:71277369-71277379(-) AAATAATGGAA >hg38_chr17:71277422-71277432(+) TAACTATGGAA >hg38_chr17:71294181-71294191(-) GAACAATAGAA >hg38_chr17:71300540-71300550(-) atacaataagt >hg38_chr17:71337579-71337589(+) GGACAATGCTT >hg38_chr17:71398815-71398825(-) AAACAATAGGG >hg38_chr17:71461574-71461584(-) AGACAAAGGTT >hg38_chr17:71522614-71522624(+) gaacaatagat >hg38_chr17:71570983-71570993(-) ctacaatgcca >hg38_chr17:71572214-71572224(-) gGATAATGGCA >hg38_chr17:71580533-71580543(+) gaacaatgaga >hg38_chr17:71650154-71650164(-) AAACAAAGGGT >hg38_chr17:71825346-71825356(-) GGATAATGGCT >hg38_chr17:71828438-71828448(+) TGACAATAGCC >hg38_chr17:71909711-71909721(-) ATACAATAGCT >hg38_chr17:71909744-71909754(-) GTATAATGGGA >hg38_chr17:71926400-71926410(-) GAACAATGATT >hg38_chr17:71926416-71926426(+) CCACAATGATA >hg38_chr17:71969391-71969401(-) CTACAATGCAT >hg38_chr17:71969423-71969433(+) gtacaatagga >hg38_chr17:72072769-72072779(+) AGacaatgctg >hg38_chr17:72106132-72106142(+) TAACAATGCTA >hg38_chr17:72107074-72107084(-) GAACAAAGGCA >hg38_chr17:72125890-72125900(-) acacaATATAA >hg38_chr17:72151193-72151203(-) TGACAATAGGC >hg38_chr17:72151697-72151707(-) AAACAATGGCA >hg38_chr17:72165432-72165442(-) ATATAATGGTT >hg38_chr17:72176944-72176954(+) GAACAATAGCA >hg38_chr17:72199620-72199630(-) GAACAATGCAA >hg38_chr17:72219846-72219856(-) GAACAATGTGT >hg38_chr17:72219945-72219955(-) GCACAATGGGG >hg38_chr17:72220290-72220300(-) CAACAATACGC >hg38_chr17:72235236-72235246(-) CAACAATGTTG >hg38_chr17:72245060-72245070(-) AGACAATGGAT >hg38_chr17:72264951-72264961(+) ATACAATAACC >hg38_chr17:72264981-72264991(+) ATACAATAGCC >hg38_chr17:72266579-72266589(+) ACACAATGCCA >hg38_chr17:72336260-72336270(+) GAACAATACCG >hg38_chr17:72342604-72342614(+) ATATAATGAAT >hg38_chr17:72343367-72343377(+) AGACAATGACA >hg38_chr17:72561086-72561096(+) CCACAATGGCA >hg38_chr17:72565183-72565193(+) aaacaatggcc >hg38_chr17:72593044-72593054(-) TGACAATAGGA >hg38_chr17:72631683-72631693(+) AAACAATGCTC >hg38_chr17:72662978-72662988(+) acacaatggaa >hg38_chr17:72688272-72688282(-) CAACAATGACT >hg38_chr17:72698358-72698368(-) caacaATAGCA >hg38_chr17:72715450-72715460(-) gaacaatatta >hg38_chr17:72743053-72743063(+) AAACAATCGCT >hg38_chr17:72793213-72793223(-) GTACAATAGCC >hg38_chr17:72810387-72810397(+) atacaatagaa >hg38_chr17:72946833-72946843(+) AAACAATGTAT >hg38_chr17:72953021-72953031(-) gaacaatggag >hg38_chr17:72970454-72970464(+) AAACAAAGGGA >hg38_chr17:72994187-72994197(+) caacaatagga >hg38_chr17:72994225-72994235(-) atacaatgctc >hg38_chr17:73003656-73003666(+) GGACAATGACT >hg38_chr17:73018885-73018895(+) acacaaaggca >hg38_chr17:73262467-73262477(+) ACACAATCGCC >hg38_chr17:73271261-73271271(+) AAACAAAGGCA >hg38_chr17:73313721-73313731(-) agacaatgcat >hg38_chr17:73320791-73320801(+) TAACAATAACT >hg38_chr17:73324778-73324788(-) TTACAAAGGCA >hg38_chr17:73340171-73340181(-) aaaCAAAGGTA >hg38_chr17:73342822-73342832(-) gaactatggaa >hg38_chr17:73369940-73369950(-) AAACAATggct >hg38_chr17:73397089-73397099(+) AAACAAAGGGT >hg38_chr17:73424666-73424676(-) TGATAATGGTT >hg38_chr17:73424672-73424682(-) TGACAATGATA >hg38_chr17:73452176-73452186(+) CAACAATGAGC >hg38_chr17:73478187-73478197(-) ttataatgggg >hg38_chr17:73478250-73478260(+) tgacaatgtat >hg38_chr17:73533955-73533965(+) ACACAATGCGG >hg38_chr17:73608852-73608862(-) tcacAATAGAC >hg38_chr17:73679142-73679152(-) GCACGATGGAT >hg38_chr17:73685805-73685815(+) ggacaatgaag >hg38_chr17:73686254-73686264(+) agacaatgggg >hg38_chr17:73724848-73724858(+) GAACAATAGCT >hg38_chr17:73802543-73802553(-) GGACAATGGAA >hg38_chr17:73861655-73861665(-) tgacaatggca >hg38_chr17:74055612-74055622(+) gaacaatgaga >hg38_chr17:74068599-74068609(-) TAACAATGAGA >hg38_chr17:74190403-74190413(+) gtacGATGGGA >hg38_chr17:74206453-74206463(-) caacaatgtgt >hg38_chr17:74236267-74236277(-) GGACAATGGGA >hg38_chr17:74240025-74240035(-) TAACAATAAGC >hg38_chr17:74290461-74290471(-) AGACAATGGTT >hg38_chr17:74299082-74299092(-) GCACAATGAGG >hg38_chr17:74361514-74361524(+) GGACAATGGGC >hg38_chr17:74566406-74566416(-) CCACAATGTTT >hg38_chr17:74584767-74584777(-) gaacaaagggg >hg38_chr17:74722667-74722677(-) GAACAAAGGAT >hg38_chr17:74723211-74723221(+) atacaatgtca >hg38_chr17:74745742-74745752(+) TAACAAAGGTG >hg38_chr17:74760714-74760724(+) CAACAATGGGA >hg38_chr17:74769866-74769876(-) TCACAATGGCC >hg38_chr17:74910661-74910671(+) ctacaatgtct >hg38_chr17:74968563-74968573(+) GAACAAAGGCT >hg38_chr17:74987798-74987808(-) ACACAAAGGGT >hg38_chr17:74988183-74988193(-) CAACAATGGAG >hg38_chr17:74994528-74994538(-) GAACAAAGGGT >hg38_chr17:75049160-75049170(-) AAACAAAGGCA >hg38_chr17:75049189-75049199(+) CCACAATGATA >hg38_chr17:75093023-75093033(-) ACACAAAGGAG >hg38_chr17:75135616-75135626(-) GAACAATGAAG >hg38_chr17:75146845-75146855(+) GGACAATGCTA >hg38_chr17:75153077-75153087(-) GCACAAAGGGA >hg38_chr17:75251227-75251237(+) AGACAATGAAG >hg38_chr17:75348497-75348507(-) ACACAATGCTT >hg38_chr17:75352591-75352601(+) TCACAATGACA >hg38_chr17:75365662-75365672(+) gtacaatggaa >hg38_chr17:75365670-75365680(+) gaactatgggg >hg38_chr17:75365718-75365728(+) GTACAATGAGT >hg38_chr17:75371582-75371592(+) ACACAATGGTG >hg38_chr17:75381024-75381034(+) AGACAATAGGA >hg38_chr17:75393337-75393347(+) ACACAAAGGCA >hg38_chr17:75397306-75397316(+) ACACAATGTAT >hg38_chr17:75412676-75412686(+) TCACAATGCAC >hg38_chr17:75476843-75476853(+) AGACAATGCAA >hg38_chr17:75540640-75540650(-) GAACAAAGGAT >hg38_chr17:75643294-75643304(-) TAACAAAGGGC >hg38_chr17:75691887-75691897(+) CTACAAAGGGA >hg38_chr17:75698107-75698117(-) GGACAATGCGC >hg38_chr17:75700467-75700477(+) GAACAATAGAA >hg38_chr17:75707289-75707299(-) AAACAATGAGC >hg38_chr17:75779021-75779031(-) GAACAATGGCC >hg38_chr17:75786443-75786453(+) ATACTATGGAA >hg38_chr17:75804055-75804065(+) aaacaaaggca >hg38_chr17:75848888-75848898(+) GAACAATGGCC >hg38_chr17:75869427-75869437(-) gaacaaaggga >hg38_chr17:75869465-75869475(-) aaacaatgggc >hg38_chr17:75870001-75870011(-) AGACAATGGTG >hg38_chr17:76050012-76050022(+) CAACAATAGGC >hg38_chr17:76091952-76091962(+) AAACAATAGTT >hg38_chr17:76091977-76091987(+) AAACAATGAGA >hg38_chr17:76106363-76106373(-) TCACAATGAAT >hg38_chr17:76132329-76132339(+) GGACAATGTCC >hg38_chr17:76132665-76132675(-) TAACAATGGGG >hg38_chr17:76192085-76192095(+) GCACAATGACA >hg38_chr17:76240896-76240906(-) GGACAATGGCG >hg38_chr17:76262081-76262091(-) ACACAATAGCC >hg38_chr17:76268448-76268458(-) AAACAATGAAT >hg38_chr17:76382395-76382405(-) GCACAATGGGT >hg38_chr17:76385917-76385927(-) TAACAATGGGG >hg38_chr17:76389433-76389443(+) ACACAAAGGCA >hg38_chr17:76485761-76485771(+) acacaatggag >hg38_chr17:76570039-76570049(+) AGACAAAGGGC >hg38_chr17:76570048-76570058(-) AAACAATGGGC >hg38_chr17:76584647-76584657(-) GAACAAAGGGT >hg38_chr17:76584694-76584704(-) GAACAATGTGA >hg38_chr17:76630259-76630269(-) TAACAATAATC >hg38_chr17:76630281-76630291(+) AAACAAAGGTC >hg38_chr17:76681476-76681486(-) AGACAATAGAC >hg38_chr17:76700797-76700807(+) atacactggag >hg38_chr17:76794018-76794028(+) gaacaatgcag >hg38_chr17:76800610-76800620(-) TAATAATGTAC >hg38_chr17:76868424-76868434(+) GAACAATGCGG >hg38_chr17:76885563-76885573(-) AGACAAagggc >hg38_chr17:76995540-76995550(-) ggacaatggac >hg38_chr17:77002360-77002370(+) GAACAAAGACG >hg38_chr17:77126702-77126712(-) GAACAAAGGAT >hg38_chr17:77151388-77151398(-) GTACAAAGGAG >hg38_chr17:77347501-77347511(+) ttataatggtg >hg38_chr17:77355145-77355155(-) ACACAATGGGA >hg38_chr17:77374456-77374466(+) ACACAATGGTC >hg38_chr17:77384395-77384405(-) GGACAATGTCC >hg38_chr17:77394785-77394795(+) TAACAATGGTC >hg38_chr17:77451539-77451549(-) GAACAAAGGAG >hg38_chr17:77452177-77452187(+) CTACAATGGCA >hg38_chr17:77453115-77453125(-) TCACAATGACA >hg38_chr17:77465545-77465555(-) AAACAAAGGGG >hg38_chr17:77472309-77472319(+) CCACAATAGAG >hg38_chr17:77472678-77472688(-) GCACAATGGCT >hg38_chr17:77479241-77479251(+) AGACAATGGAG >hg38_chr17:77479263-77479273(-) AAACAATGGTA >hg38_chr17:77480186-77480196(-) GAACAATATAA >hg38_chr17:77480194-77480204(-) GAACAAAGGAA >hg38_chr17:77536185-77536195(-) CGAGAATGGAA >hg38_chr17:77539043-77539053(-) aaacaataagt >hg38_chr17:77546941-77546951(+) GAACAAAGGCC >hg38_chr17:77615862-77615872(-) GTACAAAGGAT >hg38_chr17:77687067-77687077(+) TGACAATggct >hg38_chr17:77747090-77747100(-) GGACAATGAAA >hg38_chr17:77783131-77783141(+) AAACAAAGGGA >hg38_chr17:77793357-77793367(-) AGACAATGCCG >hg38_chr17:77810620-77810630(-) TAACAAAGGCA >hg38_chr17:77829883-77829893(+) CCACAATGGGG >hg38_chr17:77860683-77860693(-) Aaataatggga >hg38_chr17:77860695-77860705(-) CGATAATGAGA >hg38_chr17:77893024-77893034(-) GAACAATGGAG >hg38_chr17:77922845-77922855(-) gcacaatgaca >hg38_chr17:77925127-77925137(-) AGACAAAGGGC >hg38_chr17:77935219-77935229(+) GAACAAAGGGA >hg38_chr17:77949000-77949010(-) ggacaaaggaa >hg38_chr17:77960702-77960712(-) GAACAATGTAA >hg38_chr17:77974665-77974675(-) TAACAATGGTA >hg38_chr17:77986784-77986794(-) TGACAATGGCT >hg38_chr17:78005311-78005321(+) AAACAATGCTT >hg38_chr17:78009006-78009016(-) TTACAAAGGGC >hg38_chr17:78011539-78011549(-) acacaatggaa >hg38_chr17:78014949-78014959(+) CCACAATGTTT >hg38_chr17:78020592-78020602(+) aaataatggaa >hg38_chr17:78021302-78021312(+) GCACAAAGGCG >hg38_chr17:78040598-78040608(+) AAACAAAGGCA >hg38_chr17:78044605-78044615(-) AAAcaataact >hg38_chr17:78048586-78048596(-) tcacaatgcac >hg38_chr17:78085771-78085781(-) AAACACTGGTA >hg38_chr17:78159963-78159973(-) tatcaatggac >hg38_chr17:78269238-78269248(+) GAACAATGGGT >hg38_chr17:78324535-78324545(-) TAACAAAGGGG >hg38_chr17:78365112-78365122(-) CAACAATGGCA >hg38_chr17:78365772-78365782(+) GGACAATGGCA >hg38_chr17:78365795-78365805(-) GAACAAAGGCC >hg38_chr17:78381554-78381564(-) GAATAATGGAG >hg38_chr17:78535763-78535773(-) GAACAATGTTT >hg38_chr17:78661618-78661628(-) AAACAATGCTC >hg38_chr17:78686154-78686164(-) aaacaatgaga >hg38_chr17:78706427-78706437(+) tcacaatgttc >hg38_chr17:78708688-78708698(+) CAACAATGCCA >hg38_chr17:78717435-78717445(-) GCACAATAGCG >hg38_chr17:78730186-78730196(+) ACACAAAGGGA >hg38_chr17:78746043-78746053(-) GGACAAAGGGC >hg38_chr17:78774539-78774549(-) GAATAATGGAT >hg38_chr17:78823517-78823527(+) GGACAAAGGGC >hg38_chr17:78883971-78883981(+) AAACAATGCAC >hg38_chr17:78895468-78895478(+) agacaatagca >hg38_chr17:78952002-78952012(-) GGACAAAGGGA >hg38_chr17:79036767-79036777(+) gcacaatgcca >hg38_chr17:79082739-79082749(+) GGACAATGGGA >hg38_chr17:79125681-79125691(+) GGACAATGAAG >hg38_chr17:79152746-79152756(+) TAACAAAGGAG >hg38_chr17:79193222-79193232(+) GCACAATGTGG >hg38_chr17:79249472-79249482(+) aaacaacgggc >hg38_chr17:79269796-79269806(-) TTACAATGGAA >hg38_chr17:79347170-79347180(-) agacaatggag >hg38_chr17:79350149-79350159(-) ggacaatgcca >hg38_chr17:79425785-79425795(+) AGACACTGGAC >hg38_chr17:79465214-79465224(-) GCACAATGTCA >hg38_chr17:79465239-79465249(-) GAACAAAGGGA >hg38_chr17:79549671-79549681(+) AGACAAAGGAA >hg38_chr17:79702223-79702233(+) AAACAAAGGAG >hg38_chr17:79723314-79723324(-) ggacaatggag >hg38_chr17:79777783-79777793(+) GAACAATGGCT >hg38_chr17:79778945-79778955(-) GAACAATGTGG >hg38_chr17:79804707-79804717(+) TAATAATGGCT >hg38_chr17:79845218-79845228(-) GGACAATAGCC >hg38_chr17:79926319-79926329(-) caacaatagct >hg38_chr17:79950922-79950932(+) ATACAAAGGGA >hg38_chr17:80020083-80020093(+) atacaatgatg >hg38_chr17:80036910-80036920(-) ggacaaaggga >hg38_chr17:80128621-80128631(-) taacaatacta >hg38_chr17:80184622-80184632(-) GGACAAAGGAG >hg38_chr17:80231781-80231791(-) tcacaatggaa >hg38_chr17:80526643-80526653(-) TGACAATGAGA >hg38_chr17:80629907-80629917(-) GTACAATGTCC >hg38_chr17:80629947-80629957(+) TCACAATGAGC >hg38_chr17:80630254-80630264(-) acacaatgtcc >hg38_chr17:80630271-80630281(+) gaacaatagcg >hg38_chr17:80646173-80646183(+) CAACAATGGAC >hg38_chr17:80727944-80727954(+) ccacaatgggg >hg38_chr17:80732643-80732653(+) TTACAATGTAG >hg38_chr17:80732691-80732701(-) GAACAATAGCC >hg38_chr17:80732904-80732914(-) ATACAATAAAA >hg38_chr17:80752195-80752205(-) AAACAAAGGGA >hg38_chr17:80786352-80786362(+) AAACAAAGGAA >hg38_chr17:80827829-80827839(-) taacaattgta >hg38_chr17:80873665-80873675(+) AAACAATGAGC >hg38_chr17:80898830-80898840(-) CCACAATGGAA >hg38_chr17:80898862-80898872(+) CCACAATGACA >hg38_chr17:80942873-80942883(-) GGACAAAGGGA >hg38_chr17:81003706-81003716(+) cgaccatgggt >hg38_chr17:81040450-81040460(+) GCACAATGACT >hg38_chr17:81064634-81064644(-) GAACAAAGGGG >hg38_chr17:81066342-81066352(-) GGACAATGCCC >hg38_chr17:81101912-81101922(+) GGACAGTGGCG >hg38_chr17:81222920-81222930(+) TGACAATGAAG >hg38_chr17:81254936-81254946(+) AAACAAAGGAA >hg38_chr17:81254949-81254959(-) TGACAACGGAG >hg38_chr17:81318429-81318439(+) GCACAATGAGA >hg38_chr17:81340993-81341003(-) TAACAATGGCC >hg38_chr17:81344436-81344446(-) GAACAAAGGGC >hg38_chr17:81346289-81346299(-) AGACAAAGGGA >hg38_chr17:81397558-81397568(-) GGACAAAGGGA >hg38_chr17:81430182-81430192(-) TGACAATAGGG >hg38_chr17:81457049-81457059(+) GAACAAAGGGA >hg38_chr17:81477657-81477667(-) AGACAATGGGG >hg38_chr17:81489929-81489939(+) tcacaatggcc >hg38_chr17:81513604-81513614(-) AAACAAAGGCC >hg38_chr17:81683866-81683876(-) GAACAATGAGC >hg38_chr17:81834048-81834058(+) GGACAAAGGCA >hg38_chr17:82023216-82023226(-) GAACAAAGGAA >hg38_chr17:82103354-82103364(-) AAACAAAGGGA >hg38_chr17:82206289-82206299(+) gtacaatgaca >hg38_chr17:82358540-82358550(+) gtacaatatta >hg38_chr17:82358615-82358625(+) gcataatggaa >hg38_chr17:82458076-82458086(-) TCACTATGGAT >hg38_chr17:82531483-82531493(-) caacaatgaaa >hg38_chr17:82535624-82535634(-) gtacaatgaca >hg38_chr17:82536474-82536484(-) taacaatagct >hg38_chr17:82537036-82537046(-) AAACAATGTTT >hg38_chr17:82565594-82565604(+) acacaatgaga >hg38_chr17:82691233-82691243(+) GAACAATGGGA >hg38_chr17:82791761-82791771(+) taacaatgagg >hg38_chr17:82838579-82838589(-) CGACAATGGTG >hg38_chr17:82889537-82889547(+) CAACAATGAGG >hg38_chr17:83167324-83167334(-) agacaaaggga >hg38_chr17:83168417-83168427(-) aaacaatatag >hg38_chr17:83168770-83168780(-) cgacaatgaac >hg38_chr17:83168779-83168789(-) aaataatagcg >hg38_chr18:172577-172587(-) caacaatgaaa >hg38_chr18:181387-181397(-) ccacaatgaga >hg38_chr18:181971-181981(-) aaacaatagcc >hg38_chr18:191929-191939(-) ACACAATGTCT >hg38_chr18:211760-211770(-) ACACAATGAGA >hg38_chr18:223927-223937(+) ACACAATGGGC >hg38_chr18:272575-272585(-) aaacaatggta >hg38_chr18:314211-314221(+) AGACAATGGGT >hg38_chr18:322731-322741(+) AAACAATGACT >hg38_chr18:362417-362427(+) CTACAAAGGGA >hg38_chr18:420844-420854(-) taacaaaggca >hg38_chr18:429293-429303(+) GAACAATGTGT >hg38_chr18:429302-429312(+) GTACAATGCCA >hg38_chr18:434242-434252(+) TTACAATAAAA >hg38_chr18:491061-491071(+) gaacaatggcc >hg38_chr18:556200-556210(+) CTACAATGAGT >hg38_chr18:581256-581266(-) TGACAAAGGGA >hg38_chr18:581319-581329(-) GAACAATGACT >hg38_chr18:598516-598526(+) AGACAATGAAT >hg38_chr18:627522-627532(+) AGACAAAGGCA >hg38_chr18:643547-643557(-) TGACAATGGTA >hg38_chr18:686408-686418(+) TTACAAAGGAG >hg38_chr18:706603-706613(+) AAACAATGCCA >hg38_chr18:706661-706671(+) AGACAATGCCA >hg38_chr18:731354-731364(+) ggacaatggtg >hg38_chr18:740404-740414(+) taacaatgcta >hg38_chr18:740600-740610(+) GAACAGTGGGT >hg38_chr18:740628-740638(+) GGACAATGAGT >hg38_chr18:745531-745541(+) GCACAATGCAA >hg38_chr18:745771-745781(-) TGACAATGGTG >hg38_chr18:796105-796115(+) TCACAATGAAG >hg38_chr18:811578-811588(+) AAACAAAGGAA >hg38_chr18:830512-830522(+) CAACAATAGAG >hg38_chr18:839987-839997(+) CTACAATGAGC >hg38_chr18:866318-866328(+) GGACAATAGTT >hg38_chr18:896712-896722(+) agacaatgttt >hg38_chr18:901986-901996(+) AGACAATGGCC >hg38_chr18:909591-909601(+) AAACAAAGGGT >hg38_chr18:909605-909615(+) AAACAAAGGAC >hg38_chr18:911750-911760(+) CTACAATGCTG >hg38_chr18:912515-912525(+) AGACAATGCTG >hg38_chr18:944637-944647(-) GTACAATGGCA >hg38_chr18:1018548-1018558(+) CAACAATGCAA >hg38_chr18:1025341-1025351(-) AAACAATGGGA >hg38_chr18:1045984-1045994(-) AAACAATAGCA >hg38_chr18:1208760-1208770(-) gcacaatgaat >hg38_chr18:1294978-1294988(+) aaacaatagaa >hg38_chr18:1297409-1297419(-) AGACAATGAAA >hg38_chr18:1302347-1302357(-) aaacagtggat >hg38_chr18:1515191-1515201(+) GTACAATAAGG >hg38_chr18:1538641-1538651(+) GCACAATGGTG >hg38_chr18:1621075-1621085(-) ttacaataggt >hg38_chr18:1666318-1666328(+) TTACAATAGAG >hg38_chr18:1708970-1708980(-) GTACAATGTTC >hg38_chr18:1731579-1731589(+) CTACAATGACA >hg38_chr18:1828922-1828932(-) caacaatgtaa >hg38_chr18:1871684-1871694(+) TAACAATAACC >hg38_chr18:1872598-1872608(+) GAACAAAGGCA >hg38_chr18:1895865-1895875(-) TAACAATGACA >hg38_chr18:1966426-1966436(-) gcacaatgcca >hg38_chr18:1966446-1966456(+) ggacaatagat >hg38_chr18:1969451-1969461(-) TAATAATGGCT >hg38_chr18:2000609-2000619(+) agacaataggg >hg38_chr18:2041456-2041466(+) GTACAATGTAA >hg38_chr18:2059452-2059462(-) taacaatgggg >hg38_chr18:2070951-2070961(+) TTACAATAGCA >hg38_chr18:2098373-2098383(+) gaacaatatta >hg38_chr18:2112337-2112347(+) CCACAATGGTG >hg38_chr18:2125078-2125088(-) gcataatggga >hg38_chr18:2154031-2154041(-) TAACAAAGGTT >hg38_chr18:2212825-2212835(+) aaacaatgcca >hg38_chr18:2213108-2213118(+) ggacaatagca >hg38_chr18:2214517-2214527(+) gaacaatgcca >hg38_chr18:2235061-2235071(+) ggacaatatat >hg38_chr18:2235615-2235625(+) atacaatgaga >hg38_chr18:2235653-2235663(-) atacaatgtaa >hg38_chr18:2255166-2255176(+) acacaataaaa >hg38_chr18:2306819-2306829(+) ACACAATGCTC >hg38_chr18:2322064-2322074(+) agacaatagaa >hg38_chr18:2370192-2370202(-) TGACAATAATA >hg38_chr18:2370450-2370460(+) AAACAAAGGTA >hg38_chr18:2390299-2390309(+) atacaatatca >hg38_chr18:2402218-2402228(+) ccacaatgaga >hg38_chr18:2402566-2402576(+) atacaatagaa >hg38_chr18:2402639-2402649(-) acacaatgacc >hg38_chr18:2448748-2448758(+) taacaatgaca >hg38_chr18:2488837-2488847(+) AAACAATAACA >hg38_chr18:2492931-2492941(-) ATACACTGGAA >hg38_chr18:2492936-2492946(-) GTACAATACAC >hg38_chr18:2573634-2573644(-) CTACAATGGCT >hg38_chr18:2588584-2588594(+) CAACAATGGAA >hg38_chr18:2657310-2657320(-) ttacaatgtcg >hg38_chr18:2717676-2717686(-) gtacaatagtg >hg38_chr18:2747018-2747028(+) GCACAATAGCA >hg38_chr18:2754503-2754513(+) tgacaatgcgt >hg38_chr18:2770047-2770057(-) TAACAATAGAC >hg38_chr18:2847752-2847762(-) GAACAATGAGG >hg38_chr18:2889960-2889970(+) AAACAATGTCT >hg38_chr18:2916357-2916367(-) TTACAAAGGAA >hg38_chr18:2935657-2935667(+) tgacaatagta >hg38_chr18:2948353-2948363(+) ACACAGTGGAT >hg38_chr18:3016332-3016342(-) AGACAATGGGT >hg38_chr18:3042379-3042389(+) GAACAAAGGTG >hg38_chr18:3042429-3042439(+) taacaatggtg >hg38_chr18:3046428-3046438(+) GGACAATGCCT >hg38_chr18:3070049-3070059(-) ATACAGTGGGT >hg38_chr18:3075589-3075599(+) TAACAATGGGA >hg38_chr18:3120173-3120183(+) CTACAATAGAA >hg38_chr18:3126578-3126588(+) AGACAATGGAC >hg38_chr18:3128389-3128399(-) CAACAATGAAC >hg38_chr18:3141852-3141862(-) GGACAATGGAG >hg38_chr18:3211817-3211827(-) AAACTATGGAG >hg38_chr18:3247626-3247636(-) ATATAATGGTC >hg38_chr18:3257905-3257915(+) GAACAATGATG >hg38_chr18:3260052-3260062(+) GAACAATATAC >hg38_chr18:3266458-3266468(-) caacaatgaaa >hg38_chr18:3281622-3281632(-) GAACAATAGTG >hg38_chr18:3294544-3294554(+) GAATAATGGGT >hg38_chr18:3297447-3297457(+) acacaaaggac >hg38_chr18:3324965-3324975(+) AGACAATGGAG >hg38_chr18:3333462-3333472(-) ttacaatagac >hg38_chr18:3341365-3341375(-) taacaatggac >hg38_chr18:3360352-3360362(-) GGACAAAGGGC >hg38_chr18:3397607-3397617(-) AGACAATGCTT >hg38_chr18:3441559-3441569(-) tgacaatgtcg >hg38_chr18:3442836-3442846(+) gaacaataaaa >hg38_chr18:3446792-3446802(-) AAACACTGGAC >hg38_chr18:3447527-3447537(-) TAACAAAGGGA >hg38_chr18:3448990-3449000(-) ACACAATGAGA >hg38_chr18:3450209-3450219(+) gaacaaaggag >hg38_chr18:3450779-3450789(-) AGACAATGAAA >hg38_chr18:3452371-3452381(+) AAACAATGAAA >hg38_chr18:3453916-3453926(+) TTACAATAGGA >hg38_chr18:3459844-3459854(-) AAATAATGGAT >hg38_chr18:3460978-3460988(-) agacaatgaag >hg38_chr18:3463290-3463300(+) TTACAATAGCA >hg38_chr18:3502438-3502448(-) AAACACTGGAC >hg38_chr18:3502479-3502489(-) GAACAATGTAG >hg38_chr18:3526859-3526869(-) AGACAATGAAG >hg38_chr18:3537934-3537944(+) AAACAATAGTG >hg38_chr18:3539893-3539903(+) ACATAATGGCT >hg38_chr18:3541746-3541756(-) GCACAATGTCA >hg38_chr18:3541766-3541776(+) AAACAATGCTA >hg38_chr18:3548002-3548012(-) atacaatatgt >hg38_chr18:3554563-3554573(+) GGACAATGTGC >hg38_chr18:3555193-3555203(+) TGACAATGGAT >hg38_chr18:3583542-3583552(-) ctacaatagga >hg38_chr18:3585920-3585930(-) AAACAATGTGA >hg38_chr18:3590293-3590303(-) gcacaatcgag >hg38_chr18:3593816-3593826(-) GAACAATGTTG >hg38_chr18:3594092-3594102(+) AAACAATGCGC >hg38_chr18:3624208-3624218(+) AGACAACGGGC >hg38_chr18:3627043-3627053(+) taacaaTGTAC >hg38_chr18:3634103-3634113(+) GGACAATGTGG >hg38_chr18:3634152-3634162(+) GGACAATGCGG >hg38_chr18:3664733-3664743(+) gaacaaagggt >hg38_chr18:3664936-3664946(-) TTACAATGGCT >hg38_chr18:3684022-3684032(-) TAACAATAGGC >hg38_chr18:3721156-3721166(-) TTACAAAGGTC >hg38_chr18:3721173-3721183(-) GAACAATAGCA >hg38_chr18:3746510-3746520(+) caacaatgtta >hg38_chr18:3746529-3746539(-) acacaatgact >hg38_chr18:3762359-3762369(+) CCACAATAGTA >hg38_chr18:3762419-3762429(+) ATACAATGTGT >hg38_chr18:3772814-3772824(-) ttacaatgcag >hg38_chr18:3787621-3787631(+) GTACAATGGAG >hg38_chr18:3791381-3791391(+) CTACAATGGTG >hg38_chr18:3791417-3791427(+) GAACAATGGAT >hg38_chr18:3794627-3794637(+) AAACAATGGGA >hg38_chr18:3817780-3817790(-) TCACAATAGCA >hg38_chr18:3827508-3827518(+) AGACAAAGGAT >hg38_chr18:3839761-3839771(+) GAACAATAGAA >hg38_chr18:3876571-3876581(+) AAACAAAGGTG >hg38_chr18:3915049-3915059(-) ttacaatggct >hg38_chr18:3915076-3915086(-) ccacaatgaga >hg38_chr18:3984891-3984901(-) GGACAATGGTG >hg38_chr18:4004753-4004763(+) TTACAATGTTA >hg38_chr18:4085695-4085705(-) GGACAAAGACG >hg38_chr18:4090589-4090599(+) aaacaatagac >hg38_chr18:4106695-4106705(-) AAACAAAGGAA >hg38_chr18:4119494-4119504(-) AAACAATAGAG >hg38_chr18:4119504-4119514(+) TCACAATGCTA >hg38_chr18:4125404-4125414(+) agacaaaggag >hg38_chr18:4133166-4133176(+) tgacaatagag >hg38_chr18:4134869-4134879(+) ACACAATGCCT >hg38_chr18:4140029-4140039(+) agataatgggt >hg38_chr18:4182335-4182345(+) gaacaaaggtt >hg38_chr18:4195329-4195339(+) AAACAATACGC >hg38_chr18:4197500-4197510(+) TAACAATGGTG >hg38_chr18:4197509-4197519(-) tcacaatGCCA >hg38_chr18:4240040-4240050(-) AGACAAAGGAA >hg38_chr18:4242551-4242561(+) GAACAAAGGGA >hg38_chr18:4318472-4318482(+) GAACAATGCAT >hg38_chr18:4318494-4318504(+) TTACAATGTGG >hg38_chr18:4328405-4328415(-) atacaatggaa >hg38_chr18:4353204-4353214(-) aaacaaaGGAG >hg38_chr18:4524385-4524395(-) taacaatgcct >hg38_chr18:4582151-4582161(+) GAACAATATGA >hg38_chr18:4592471-4592481(-) AGACAATGTGG >hg38_chr18:4629159-4629169(+) gaacaatgcct >hg38_chr18:4640546-4640556(+) GCACAATGGGG >hg38_chr18:4672950-4672960(+) gaacaatagaa >hg38_chr18:4720701-4720711(+) TGACAATGGGC >hg38_chr18:4854846-4854856(+) agacaatagct >hg38_chr18:4854874-4854884(-) TTACAATAACC >hg38_chr18:4988282-4988292(-) aaacaatggcc >hg38_chr18:5104522-5104532(-) gaacaatggcc >hg38_chr18:5139460-5139470(-) caataatggat >hg38_chr18:5162952-5162962(+) ttacaatgaag >hg38_chr18:5197251-5197261(+) CCACAATGCGG >hg38_chr18:5202260-5202270(+) aaacaatggaa >hg38_chr18:5239388-5239398(+) AAATAATGGGA >hg38_chr18:5277618-5277628(+) aaacaatggta >hg38_chr18:5294839-5294849(+) CGACAATGGCG >hg38_chr18:5300395-5300405(-) tgacaatgatg >hg38_chr18:5307649-5307659(+) ATACAATATGT >hg38_chr18:5425153-5425163(+) ACACAATGGGT >hg38_chr18:5432430-5432440(+) GAACAATGTCA >hg38_chr18:5480215-5480225(-) AAACAATGCCT >hg38_chr18:5480230-5480240(+) GAACACTGGAA >hg38_chr18:5531060-5531070(-) AAATAATGGAC >hg38_chr18:5531127-5531137(+) tcacaatagtt >hg38_chr18:5540484-5540494(+) GGACAATGACT >hg38_chr18:5546017-5546027(+) TTACAATAAAC >hg38_chr18:5554806-5554816(+) AAACAATGGCA >hg38_chr18:5693800-5693810(-) AAACAATGTTC >hg38_chr18:5744543-5744553(-) atacaatatct >hg38_chr18:5887701-5887711(+) ctacaatagta >hg38_chr18:5900493-5900503(-) acacaatagac >hg38_chr18:5965278-5965288(+) TTACAAAGGCC >hg38_chr18:6009917-6009927(-) AGATAATGGGC >hg38_chr18:6069769-6069779(+) taacaAAGGCA >hg38_chr18:6106495-6106505(+) GAACAAAGGCA >hg38_chr18:6128390-6128400(+) aaacaatgaat >hg38_chr18:6203177-6203187(+) gaacaatgagg >hg38_chr18:6203205-6203215(+) acataatggca >hg38_chr18:6215506-6215516(-) GTACAATTGAA >hg38_chr18:6240055-6240065(+) TAACAAAGGCC >hg38_chr18:6288865-6288875(-) taacaataata >hg38_chr18:6300357-6300367(+) GAACAATATAT >hg38_chr18:6300407-6300417(+) TGACAATGGAC >hg38_chr18:6332680-6332690(+) agacaatgcag >hg38_chr18:6337934-6337944(+) taacaataaga >hg38_chr18:6337966-6337976(+) gaacaatgaaa >hg38_chr18:6337983-6337993(+) agacaatagaa >hg38_chr18:6366869-6366879(-) ATACAATACAT >hg38_chr18:6405443-6405453(+) GAACAATAGTG >hg38_chr18:6407073-6407083(-) AAACAATGCCC >hg38_chr18:6407404-6407414(-) GAACAATGATT >hg38_chr18:6447310-6447320(+) gaacaatggcc >hg38_chr18:6520617-6520627(+) atacaatatgc >hg38_chr18:6565009-6565019(-) CTACAAAGGAT >hg38_chr18:6566627-6566637(-) GAACAATGGAA >hg38_chr18:6571086-6571096(+) ACACAATGGGT >hg38_chr18:6606879-6606889(-) ACACAATGAGC >hg38_chr18:6606899-6606909(-) ACACAATGGGG >hg38_chr18:6622828-6622838(-) GGACAAAGGAT >hg38_chr18:6623774-6623784(+) GGACAATAGGC >hg38_chr18:6692479-6692489(+) ATACAATATGT >hg38_chr18:6692496-6692506(-) CTACAATGATA >hg38_chr18:6694495-6694505(+) TTACAATGGTG >hg38_chr18:6734837-6734847(+) AGACAATAGGT >hg38_chr18:6734907-6734917(+) TTACAGTGGGA >hg38_chr18:6738095-6738105(+) ATACAAAGGAC >hg38_chr18:6775104-6775114(-) TGACAATGCTT >hg38_chr18:6799125-6799135(+) TAACAATGCCT >hg38_chr18:6880084-6880094(+) AAACAATGTCC >hg38_chr18:6889853-6889863(+) GTACAATTGTA >hg38_chr18:6889854-6889864(-) ATACAATTGTA >hg38_chr18:6889866-6889876(+) ACACAATGCTG >hg38_chr18:6906347-6906357(-) caacaatgtga >hg38_chr18:6924488-6924498(-) GAACAATGAAA >hg38_chr18:6963891-6963901(-) ACACAATGGAA >hg38_chr18:6994216-6994226(-) AGACAATGCTT >hg38_chr18:7047358-7047368(-) CAACAATGTTC >hg38_chr18:7047397-7047407(+) aaacaataaaa >hg38_chr18:7070633-7070643(+) AAACAAAGGCT >hg38_chr18:7091286-7091296(-) GGACAATGGGA >hg38_chr18:7111364-7111374(-) AGACAATGGAG >hg38_chr18:7224250-7224260(+) CCACAATGGGA >hg38_chr18:7231134-7231144(+) GTACAATGGGG >hg38_chr18:7281764-7281774(+) ACACAATGCAC >hg38_chr18:7482496-7482506(+) ACACAAAGGCA >hg38_chr18:7518740-7518750(+) GAACACTGGAC >hg38_chr18:7524047-7524057(-) TGACAATGATG >hg38_chr18:7585157-7585167(+) AGACAATAGAC >hg38_chr18:7634696-7634706(+) TGACGATGGAT >hg38_chr18:7634722-7634732(-) CAACAATAGAT >hg38_chr18:7637695-7637705(+) TCACAAAGGAA >hg38_chr18:7657823-7657833(+) GAACAATGAGG >hg38_chr18:7657860-7657870(-) ACACAATGTTC >hg38_chr18:7666266-7666276(-) agacaaaggaa >hg38_chr18:7673250-7673260(+) GAATAATGGAA >hg38_chr18:7686703-7686713(+) TGATAATGGCT >hg38_chr18:7708430-7708440(-) TAACAAAGGAT >hg38_chr18:7717520-7717530(+) ggacactggac >hg38_chr18:7741939-7741949(-) TAACAATAGCT >hg38_chr18:7765262-7765272(-) ATACAAAGGAA >hg38_chr18:7765462-7765472(-) AAACAATAAAA >hg38_chr18:7765826-7765836(+) GAACAATGAGA >hg38_chr18:7782617-7782627(-) gaacagtggtt >hg38_chr18:7791132-7791142(-) TTATAATGGCA >hg38_chr18:7791361-7791371(+) tcacaatgtca >hg38_chr18:7835890-7835900(-) acacaatagct >hg38_chr18:7836254-7836264(-) atacaatgttt >hg38_chr18:7836300-7836310(-) atacaatagta >hg38_chr18:7898288-7898298(-) GAACAAAGGGG >hg38_chr18:7903382-7903392(-) ATACAATGGCT >hg38_chr18:7925380-7925390(+) TAATAATGGGG >hg38_chr18:7925906-7925916(+) GGACAATGTAC >hg38_chr18:7925923-7925933(+) AGACAATGGTG >hg38_chr18:7950817-7950827(-) AGACAATAGGT >hg38_chr18:7956769-7956779(-) CAACAATGATG >hg38_chr18:7956812-7956822(+) AAACAATAGCT >hg38_chr18:7964485-7964495(-) agACAATGCTG >hg38_chr18:7979175-7979185(-) CTACAGTGGCG >hg38_chr18:7979187-7979197(-) GAACAAAGGAC >hg38_chr18:7979202-7979212(-) AGACAAAGGTG >hg38_chr18:8005033-8005043(-) ATACAATATAG >hg38_chr18:8008279-8008289(+) GCACAATGCAG >hg38_chr18:8044316-8044326(-) GGACAATGCCC >hg38_chr18:8049192-8049202(-) ATACAGTGGAA >hg38_chr18:8066790-8066800(+) TGACAATAGGC >hg38_chr18:8084442-8084452(+) TAACAATAGAT >hg38_chr18:8100837-8100847(-) GTATAATGGGC >hg38_chr18:8108876-8108886(+) GAATAATGGTA >hg38_chr18:8119335-8119345(-) CTACAAAGGAT >hg38_chr18:8119347-8119357(+) CCATAATGGTA >hg38_chr18:8121860-8121870(+) TAACAATGAAT >hg38_chr18:8136858-8136868(+) TCACAATGATC >hg38_chr18:8160781-8160791(-) ATACAATGATG >hg38_chr18:8177418-8177428(+) tcacaatgcga >hg38_chr18:8216531-8216541(-) TCACAATGAAT >hg38_chr18:8232985-8232995(+) gaacaatgggt >hg38_chr18:8247866-8247876(+) TCACAATGAAA >hg38_chr18:8303128-8303138(+) taataatgggc >hg38_chr18:8359342-8359352(-) AGACAATGTGA >hg38_chr18:8367473-8367483(-) GAACAATGAAA >hg38_chr18:8394726-8394736(+) tcacaATGTAA >hg38_chr18:8412365-8412375(-) GGACTATGGAC >hg38_chr18:8443053-8443063(-) CCACAATGACC >hg38_chr18:8447471-8447481(-) gaacaatatca >hg38_chr18:8584939-8584949(-) AGACAATGCAT >hg38_chr18:8586869-8586879(-) agacaatgggt >hg38_chr18:8604236-8604246(+) taacaataAAA >hg38_chr18:8658955-8658965(+) TAACAAAGGCT >hg38_chr18:8662388-8662398(+) GGACAATAGGA >hg38_chr18:8662453-8662463(-) GCACAATGGGG >hg38_chr18:8674026-8674036(-) acaTAATGgtg >hg38_chr18:8707340-8707350(-) TTACAAAGGAC >hg38_chr18:8743183-8743193(-) GAACAATGTCC >hg38_chr18:8757802-8757812(-) AGACAATGATA >hg38_chr18:8757812-8757822(-) TAACAATAAAA >hg38_chr18:8778264-8778274(-) AAACAATGTCT >hg38_chr18:8780562-8780572(+) TGACAATGGAC >hg38_chr18:8787996-8788006(+) GTACAATGGAT >hg38_chr18:8797551-8797561(-) TCACAATGCCT >hg38_chr18:8802000-8802010(+) GGATAATGGTT >hg38_chr18:8802035-8802045(+) TAACAATGAAG >hg38_chr18:8846606-8846616(-) TTACAATGTGC >hg38_chr18:8854487-8854497(+) AGACAATAACA >hg38_chr18:8870798-8870808(+) GAATAATGGGG >hg38_chr18:8873174-8873184(-) ttacaatagca >hg38_chr18:8922026-8922036(+) gaacaaaggtt >hg38_chr18:8942545-8942555(-) TGATAATGGGC >hg38_chr18:8945562-8945572(-) gaacaatgggg >hg38_chr18:8997850-8997860(-) ctacaatgcag >hg38_chr18:9005549-9005559(+) GAACAATGGGC >hg38_chr18:9016921-9016931(-) TAACAATAGAA >hg38_chr18:9017718-9017728(-) GGACAATGTGC >hg38_chr18:9082585-9082595(+) atacaataatt >hg38_chr18:9082602-9082612(+) ctacaatagaa >hg38_chr18:9104908-9104918(-) taacaatggta >hg38_chr18:9136511-9136521(-) CGACAAAGGAG >hg38_chr18:9142094-9142104(+) TTACAATAGAA >hg38_chr18:9143896-9143906(-) GGACAAAGGAA >hg38_chr18:9175975-9175985(-) GTACAATAACT >hg38_chr18:9180886-9180896(-) AAACAATGTCT >hg38_chr18:9180930-9180940(-) ATACAATACAT >hg38_chr18:9183456-9183466(-) taacaatagaa >hg38_chr18:9183498-9183508(+) ctactatggat >hg38_chr18:9202709-9202719(+) aaacagtggta >hg38_chr18:9213041-9213051(-) gtacaataaac >hg38_chr18:9284239-9284249(+) gcacaatagaa >hg38_chr18:9289793-9289803(-) aaacaatggta >hg38_chr18:9299272-9299282(-) GTACAATACAT >hg38_chr18:9299308-9299318(+) AGACAATGGCT >hg38_chr18:9348549-9348559(-) CAACAATGTtt >hg38_chr18:9410259-9410269(-) agacaataaca >hg38_chr18:9434458-9434468(-) AAACAAAGGAA >hg38_chr18:9434480-9434490(-) AGACAAAGGCA >hg38_chr18:9469864-9469874(-) aaacaatgtga >hg38_chr18:9475531-9475541(-) TTACAATGATT >hg38_chr18:9476619-9476629(-) GTATAATGGAA >hg38_chr18:9516594-9516604(-) TTACAATATTA >hg38_chr18:9574900-9574910(+) GAACAATGTGA >hg38_chr18:9576634-9576644(+) GGACAATAAAA >hg38_chr18:9585408-9585418(+) ACACAATAGGA >hg38_chr18:9585465-9585475(-) GAACAATGTAT >hg38_chr18:9605395-9605405(-) tgacaatgggg >hg38_chr18:9627965-9627975(+) ttacgatggca >hg38_chr18:9644103-9644113(-) GAACAATGGGT >hg38_chr18:9658517-9658527(+) CAACAATGGAT >hg38_chr18:9665491-9665501(-) agacaaaggca >hg38_chr18:9675058-9675068(+) GGACAATGCCT >hg38_chr18:9675087-9675097(+) AGACAATGACC >hg38_chr18:9740982-9740992(-) ACACAATAGGG >hg38_chr18:9755817-9755827(+) GGACAATGGAA >hg38_chr18:9764238-9764248(-) TTACAAAGGAA >hg38_chr18:9782399-9782409(+) GTACAATGCCA >hg38_chr18:9797226-9797236(-) TAACAATACAA >hg38_chr18:9822023-9822033(+) atataatggaa >hg38_chr18:9825772-9825782(+) AAACAATGACT >hg38_chr18:9868680-9868690(-) ccacaatgaga >hg38_chr18:9869863-9869873(-) CAACAATGGGT >hg38_chr18:9908508-9908518(+) gaacaatgaga >hg38_chr18:9910780-9910790(+) AAACAATAGAG >hg38_chr18:9916650-9916660(-) ACACAAAGGTT >hg38_chr18:9925555-9925565(-) acacaatgcct >hg38_chr18:9926355-9926365(-) AAACAATGTAG >hg38_chr18:9929077-9929087(-) taacaatagca >hg38_chr18:9932408-9932418(-) TTACAATGAAA >hg38_chr18:9947441-9947451(-) caacaatgatt >hg38_chr18:9960441-9960451(+) CTACAATAGTA >hg38_chr18:9963966-9963976(-) ATATAATGTAT >hg38_chr18:9964215-9964225(+) caacaatgaca >hg38_chr18:9986685-9986695(-) GAACAATGGGG >hg38_chr18:10006414-10006424(-) ATACAATATTT >hg38_chr18:10006431-10006441(+) CTACAATGGGC >hg38_chr18:10044314-10044324(-) aaacaatGCAG >hg38_chr18:10086639-10086649(-) AAACAAAGGAT >hg38_chr18:10101275-10101285(-) GAACAATGGGA >hg38_chr18:10101536-10101546(-) GAACAATGGTC >hg38_chr18:10114724-10114734(+) TAATAATGGTA >hg38_chr18:10131641-10131651(+) CTACAAAGGCG >hg38_chr18:10141014-10141024(+) TGACAGTGGCG >hg38_chr18:10142324-10142334(-) GAACAAAGCGA >hg38_chr18:10226473-10226483(+) ctacaaaggac >hg38_chr18:10288178-10288188(+) GCACAATGTGG >hg38_chr18:10293669-10293679(-) CAACAATGATG >hg38_chr18:10305057-10305067(-) ACACAAAGGAC >hg38_chr18:10330622-10330632(+) TGACAATGGGA >hg38_chr18:10349711-10349721(-) TGACAAAGGAA >hg38_chr18:10379269-10379279(-) ccacaatagtg >hg38_chr18:10385617-10385627(-) AAACAATGCAG >hg38_chr18:10392938-10392948(+) taacaatagag >hg38_chr18:10403661-10403671(-) GGACAATGGAT >hg38_chr18:10404356-10404366(-) GCACAATGGCA >hg38_chr18:10412871-10412881(+) CAACAATGCCC >hg38_chr18:10412895-10412905(+) CAACAATGCCC >hg38_chr18:10443268-10443278(+) ATACAATGTCC >hg38_chr18:10457725-10457735(-) ACATAATGGTT >hg38_chr18:10477588-10477598(+) GGACAATGCAA >hg38_chr18:10533011-10533021(-) AAACAATAGAA >hg38_chr18:10662188-10662198(+) AGATAATGGGG >hg38_chr18:10662217-10662227(+) TGACACTGGTA >hg38_chr18:10733174-10733184(+) CAACAAAGGAC >hg38_chr18:10823656-10823666(+) AAACAAAGGGA >hg38_chr18:10851357-10851367(-) AAACAATGCAG >hg38_chr18:10863304-10863314(-) ACACAATAGCA >hg38_chr18:10876043-10876053(+) AAACAATGATA >hg38_chr18:10930261-10930271(-) agacaatagat >hg38_chr18:10958081-10958091(-) gcataatggct >hg38_chr18:11041440-11041450(+) AGACAAAGGCA >hg38_chr18:11066199-11066209(-) ATACAATGGGC >hg38_chr18:11066260-11066270(+) TAACAAAGGCA >hg38_chr18:11098654-11098664(-) taacaatatga >hg38_chr18:11107252-11107262(+) atacaatagaa >hg38_chr18:11119702-11119712(-) GAACAAAGGGC >hg38_chr18:11229317-11229327(+) TCATAATGGGA >hg38_chr18:11255963-11255973(+) GCACAATGGCG >hg38_chr18:11258447-11258457(-) GAACAATGAAA >hg38_chr18:11339871-11339881(+) agacaatgcag >hg38_chr18:11339913-11339923(+) aaacaataaat >hg38_chr18:11351145-11351155(+) taacaataaca >hg38_chr18:11351153-11351163(+) acacaatgaaa >hg38_chr18:11351196-11351206(+) gcacaatgaat >hg38_chr18:11371725-11371735(-) gtacaatgaaa >hg38_chr18:11392283-11392293(-) gaacaatagga >hg38_chr18:11435461-11435471(-) taactatggcc >hg38_chr18:11553500-11553510(+) AGATAATGGGA >hg38_chr18:11600603-11600613(-) CTACAATAGGG >hg38_chr18:11751489-11751499(+) GAACAAAGGCG >hg38_chr18:11781973-11781983(+) agacaatggat >hg38_chr18:11785403-11785413(+) GAACAATGGGA >hg38_chr18:11790255-11790265(-) CAACAATGGTG >hg38_chr18:11803401-11803411(-) ttacaaaggaa >hg38_chr18:11803424-11803434(-) acacaatggag >hg38_chr18:11803448-11803458(-) ggataatggaa >hg38_chr18:11840625-11840635(-) TAACAAAGGGA >hg38_chr18:11851415-11851425(+) AAACAAAGGAG >hg38_chr18:11858159-11858169(-) TAACAATGCAC >hg38_chr18:11883229-11883239(-) AGACAGTGGAT >hg38_chr18:11907204-11907214(-) ttacaataaga >hg38_chr18:12014195-12014205(+) TAACAATGTTT >hg38_chr18:12092915-12092925(-) GGACAATGCCA >hg38_chr18:12099381-12099391(-) ACATAATGGAA >hg38_chr18:12120656-12120666(-) gcacaatgaca >hg38_chr18:12120687-12120697(-) aaataatagcg >hg38_chr18:12121136-12121146(-) ttacaatgtca >hg38_chr18:12165592-12165602(-) gtacaataaga >hg38_chr18:12245881-12245891(+) GAACAACGACG >hg38_chr18:12321413-12321423(-) TCACAATGAGG >hg38_chr18:12335033-12335043(-) gaacaatagga >hg38_chr18:12417925-12417935(-) TAACAATAGAA >hg38_chr18:12455711-12455721(-) CCACAATGGCC >hg38_chr18:12473373-12473383(+) taataatggta >hg38_chr18:12473417-12473427(+) tcacaatagtg >hg38_chr18:12473435-12473445(+) ttataatggta >hg38_chr18:12516997-12517007(+) GAACAATAGCA >hg38_chr18:12519657-12519667(+) gaacaaaggaa >hg38_chr18:12519724-12519734(+) ttacaataaga >hg38_chr18:12568091-12568101(-) ttacagtggga >hg38_chr18:12578120-12578130(-) ttacaatgtgg >hg38_chr18:12592692-12592702(+) CCACAATGGCA >hg38_chr18:12595751-12595761(+) atacaatgtgt >hg38_chr18:12595982-12595992(+) TTACAATGCAG >hg38_chr18:12596023-12596033(-) CTACAATAGGC >hg38_chr18:12596039-12596049(+) ACACAATGACC >hg38_chr18:12607389-12607399(-) GAACTATGGTT >hg38_chr18:12698909-12698919(-) AAACAATAATA >hg38_chr18:12700419-12700429(-) CAATAATGGAA >hg38_chr18:12700482-12700492(-) CAATAATGGGA >hg38_chr18:12701231-12701241(+) GAACAAAGGTA >hg38_chr18:12702757-12702767(+) AAACAGTGGCG >hg38_chr18:12727187-12727197(+) gaacaaagGGG >hg38_chr18:12732122-12732132(+) gcacaatagct >hg38_chr18:12732160-12732170(+) atacaatgagg >hg38_chr18:12741577-12741587(+) ctacagtggcg >hg38_chr18:12834550-12834560(+) Aaacaatgcct >hg38_chr18:12885671-12885681(+) GAACAgtggtt >hg38_chr18:12904017-12904027(+) atacaataatt >hg38_chr18:12954114-12954124(-) TAACAATGTCA >hg38_chr18:13017541-13017551(+) acacaatggac >hg38_chr18:13019511-13019521(-) AAACAATGAAA >hg38_chr18:13022154-13022164(-) tgacaaaggaa >hg38_chr18:13113249-13113259(+) AGACAATTGCG >hg38_chr18:13121062-13121072(-) ACACAATGCCG >hg38_chr18:13148378-13148388(+) gtacaaaggtt >hg38_chr18:13219936-13219946(-) GTACAAAGGGA >hg38_chr18:13239350-13239360(+) GAACAAAGGGG >hg38_chr18:13280674-13280684(+) AGACAATGCAA >hg38_chr18:13309196-13309206(+) ACACCATGGCG >hg38_chr18:13309949-13309959(+) TAACAATGCTT >hg38_chr18:13440525-13440535(+) TTACAATATAC >hg38_chr18:13447453-13447463(+) AAACAAAGGCG >hg38_chr18:13471418-13471428(-) AAACAATGCTA >hg38_chr18:13472467-13472477(+) AAACAAAGGGG >hg38_chr18:13500677-13500687(+) TAACAATGGAG >hg38_chr18:13554077-13554087(+) AGATAATGGAA >hg38_chr18:13597984-13597994(-) tcacaatagcc >hg38_chr18:13611268-13611278(-) TAACAATAGTT >hg38_chr18:13611317-13611327(+) AAACAAAGGCT >hg38_chr18:13628318-13628328(-) CCACAATGATG >hg38_chr18:13697093-13697103(+) gaacaataggc >hg38_chr18:13697144-13697154(+) tcacaatgaca >hg38_chr18:13792599-13792609(-) tgacaatgcca >hg38_chr18:13809893-13809903(-) ttacaatggcc >hg38_chr18:13852817-13852827(+) gaacaatagaa >hg38_chr18:13879000-13879010(-) gaacaatagag >hg38_chr18:13879012-13879022(+) caacaatgccc >hg38_chr18:14120929-14120939(-) aaacaatacta >hg38_chr18:20961277-20961287(-) GGACAATATAT >hg38_chr18:20972049-20972059(+) ggacaatggca >hg38_chr18:21007506-21007516(+) aaacaatgcta >hg38_chr18:21007514-21007524(+) ctacaatgaat >hg38_chr18:21012944-21012954(-) ttacaataact >hg38_chr18:21012973-21012983(-) agacaatataa >hg38_chr18:21021122-21021132(+) GCACAATGTAT >hg38_chr18:21021166-21021176(-) TCATAATGGTA >hg38_chr18:21049864-21049874(-) ACACAATAAAT >hg38_chr18:21056110-21056120(+) taacactggag >hg38_chr18:21066195-21066205(+) ACACAATGCCA >hg38_chr18:21087901-21087911(-) acacaatgtgg >hg38_chr18:21098307-21098317(-) tgacaatgcca >hg38_chr18:21101838-21101848(+) tgataatggcc >hg38_chr18:21135126-21135136(+) gcacaatgcag >hg38_chr18:21138697-21138707(-) aaacaaaggaa >hg38_chr18:21138737-21138747(-) acataatggta >hg38_chr18:21164545-21164555(+) TAACAATATAC >hg38_chr18:21215640-21215650(+) gcacaatgagc >hg38_chr18:21247106-21247116(-) ACACAATAGGG >hg38_chr18:21260797-21260807(-) ACACAATGGCA >hg38_chr18:21263176-21263186(-) AAACAAAGGGC >hg38_chr18:21263192-21263202(+) AGACAATGGAT >hg38_chr18:21270843-21270853(-) acacaatgctc >hg38_chr18:21270864-21270874(-) atacaatgtac >hg38_chr18:21281244-21281254(-) acacaataaac >hg38_chr18:21316391-21316401(+) GTACAATGTTA >hg38_chr18:21331188-21331198(+) CAACAATAGAT >hg38_chr18:21332205-21332215(-) tgacaatgtat >hg38_chr18:21342525-21342535(+) TTACAATGCAG >hg38_chr18:21363040-21363050(-) TGACAATGTCA >hg38_chr18:21366745-21366755(+) TTACAATGTGC >hg38_chr18:21369373-21369383(-) AAACAATAATA >hg38_chr18:21391319-21391329(+) gtacaatagaa >hg38_chr18:21448529-21448539(-) GAACAAAGGGG >hg38_chr18:21529273-21529283(-) GAATAATGGTG >hg38_chr18:21597827-21597837(-) TTACAATGCTT >hg38_chr18:21693177-21693187(+) ATACAATGGTC >hg38_chr18:21747062-21747072(+) GAACAATGTTT >hg38_chr18:21759630-21759640(-) atacaatggaa >hg38_chr18:21765014-21765024(+) TAACAATAGAA >hg38_chr18:21792566-21792576(+) ctacaatagtg >hg38_chr18:21799008-21799018(-) AAACACTGGAT >hg38_chr18:21799278-21799288(-) CAACAATGAAC >hg38_chr18:21802543-21802553(+) atacaatgtca >hg38_chr18:21826005-21826015(-) AAACAAAGACG >hg38_chr18:21850893-21850903(-) ACACAATGGCA >hg38_chr18:21911085-21911095(-) TTACAAAGGGA >hg38_chr18:21913375-21913385(+) CGACAATACAA >hg38_chr18:21934207-21934217(+) AAACAATGAGG >hg38_chr18:21934232-21934242(+) TGACAAAGGAC >hg38_chr18:21934286-21934296(+) GAACAAAGGCC >hg38_chr18:21970793-21970803(+) tcacaatagcg >hg38_chr18:22011914-22011924(+) gtataatgtaa >hg38_chr18:22093469-22093479(-) AGACAACGGGC >hg38_chr18:22103564-22103574(-) TAACAATGACT >hg38_chr18:22169310-22169320(-) AGACAATGAGA >hg38_chr18:22178578-22178588(+) TCACAATGTAA >hg38_chr18:22259258-22259268(-) GAACAATGACG >hg38_chr18:22282785-22282795(-) GTACAATGGAA >hg38_chr18:22332796-22332806(+) GGACGAtggga >hg38_chr18:22336067-22336077(+) GAACAAAGACG >hg38_chr18:22400097-22400107(+) gaacaatgaag >hg38_chr18:22402352-22402362(-) AGACAATAGAT >hg38_chr18:22437412-22437422(-) ccacaatgaaa >hg38_chr18:22442433-22442443(+) atacaatgcag >hg38_chr18:22456089-22456099(+) AGACAAAGGGA >hg38_chr18:22467287-22467297(+) AGACAATAGTT >hg38_chr18:22470159-22470169(-) ACACAATGGGG >hg38_chr18:22496478-22496488(+) taacaatatca >hg38_chr18:22557711-22557721(+) cgacaatgaga >hg38_chr18:22563545-22563555(+) GAACAATACAC >hg38_chr18:22573173-22573183(-) aaactatggtt >hg38_chr18:22692115-22692125(+) TTACAGTGGAA >hg38_chr18:22710904-22710914(+) TAACAATAAGT >hg38_chr18:22736496-22736506(-) taactatggtc >hg38_chr18:22767846-22767856(+) gaacaatggaa >hg38_chr18:22789695-22789705(+) gaacaataaat >hg38_chr18:22822270-22822280(+) atacaatgaac >hg38_chr18:22836584-22836594(+) taacaatataa >hg38_chr18:22855371-22855381(+) CAACAATAGCA >hg38_chr18:22856954-22856964(+) CCACAATGAAA >hg38_chr18:22857593-22857603(-) ATACCATGGAA >hg38_chr18:22868989-22868999(+) ATATAATGGAA >hg38_chr18:22884008-22884018(-) ACACAATGCCC >hg38_chr18:22944068-22944078(-) CAACAATGTAG >hg38_chr18:22952207-22952217(+) taacaAAGGGA >hg38_chr18:22958352-22958362(-) aaacaatgtat >hg38_chr18:22978440-22978450(+) TGACAAAGGAA >hg38_chr18:22998443-22998453(+) GAACAATAGGA >hg38_chr18:23032191-23032201(-) CAACAATAGCT >hg38_chr18:23032206-23032216(+) ATACAAAGGGC >hg38_chr18:23032254-23032264(-) ACACAATGTTG >hg38_chr18:23053941-23053951(+) taacaatgaca >hg38_chr18:23114217-23114227(-) TAACAATAGAA >hg38_chr18:23122691-23122701(-) TGACTATGGAC >hg38_chr18:23177226-23177236(-) TGACAATGGCC >hg38_chr18:23215649-23215659(+) ggacaaaggca >hg38_chr18:23338186-23338196(-) TCACAATGTTT >hg38_chr18:23357023-23357033(+) aaacaatggag >hg38_chr18:23396278-23396288(+) TAACAATACAT >hg38_chr18:23408340-23408350(+) gaacaatgcct >hg38_chr18:23472873-23472883(-) GGACAATGGGT >hg38_chr18:23473147-23473157(-) ATACAATGAAG >hg38_chr18:23495052-23495062(-) gaacaaaggcc >hg38_chr18:23495241-23495251(+) acaCAATGTAA >hg38_chr18:23542386-23542396(+) ctacaatgacc >hg38_chr18:23542397-23542407(+) ttaCAATGGCC >hg38_chr18:23546637-23546647(+) acacaatgtgg >hg38_chr18:23546655-23546665(+) atacaatggaa >hg38_chr18:23619070-23619080(+) ACACAAAGGCA >hg38_chr18:23627216-23627226(+) GCACAATGGGG >hg38_chr18:23651528-23651538(+) AGACAATGTAA >hg38_chr18:23668858-23668868(-) GAACAATAGAA >hg38_chr18:23673927-23673937(-) CCACAATAGCA >hg38_chr18:23683704-23683714(-) TTACAACGGCA >hg38_chr18:23721729-23721739(-) CTACAATAGTG >hg38_chr18:23753019-23753029(-) TGACAATAGGC >hg38_chr18:23764414-23764424(+) AAACACTGGAC >hg38_chr18:23785865-23785875(+) ATACAAAGATA >hg38_chr18:23838582-23838592(+) AAACAATAAAA >hg38_chr18:23901170-23901180(+) GAACAATGGGC >hg38_chr18:23921854-23921864(+) TAACAATGCTG >hg38_chr18:23950793-23950803(-) CTACAATAGAA >hg38_chr18:23969315-23969325(+) AGACAATGTGA >hg38_chr18:23993080-23993090(-) ATACAATGATT >hg38_chr18:24015511-24015521(-) GCACAATGTTG >hg38_chr18:24015534-24015544(-) AAACAATAAAA >hg38_chr18:24029684-24029694(-) tgacaatagac >hg38_chr18:24029690-24029700(-) taacaatgaca >hg38_chr18:24029701-24029711(-) acacaaaggca >hg38_chr18:24077585-24077595(+) GGACAATGTTT >hg38_chr18:24080345-24080355(+) gaacaatgagc >hg38_chr18:24138914-24138924(-) CGACAAAGGCC >hg38_chr18:24147357-24147367(-) gtacaatgggt >hg38_chr18:24185111-24185121(+) aaactatggag >hg38_chr18:24189584-24189594(-) GCACAATGAAT >hg38_chr18:24190165-24190175(+) AAACAATGGAA >hg38_chr18:24199562-24199572(+) ggacaatggct >hg38_chr18:24208440-24208450(-) TAACAATGGAA >hg38_chr18:24208810-24208820(-) ATACTATGGAA >hg38_chr18:24208832-24208842(-) AAACAATGGCC >hg38_chr18:24220489-24220499(-) AGACAATGGGA >hg38_chr18:24220505-24220515(-) GAACAGTGGTT >hg38_chr18:24225253-24225263(+) TAACAATGGAT >hg38_chr18:24397239-24397249(+) GAACAATGCGT >hg38_chr18:24410623-24410633(+) GGATAATGGAG >hg38_chr18:24443670-24443680(+) ggacagtggac >hg38_chr18:24443718-24443728(-) gcacaaaggaa >hg38_chr18:24445728-24445738(-) atacaagggta >hg38_chr18:24445773-24445783(-) taacaatatga >hg38_chr18:24527244-24527254(-) taacaaaggta >hg38_chr18:24553292-24553302(-) ATACAATAGCC >hg38_chr18:24567256-24567266(-) TAACAATAGCT >hg38_chr18:24584985-24584995(-) TGACAATAGAA >hg38_chr18:24595921-24595931(-) GGACAAAGGGA >hg38_chr18:24626232-24626242(+) CTACAATGGAC >hg38_chr18:24626239-24626249(+) GGACACTGGAC >hg38_chr18:24628487-24628497(+) GCACAATGGAT >hg38_chr18:24678290-24678300(+) ggacaaaggag >hg38_chr18:24707086-24707096(+) atacaatgtga >hg38_chr18:24707136-24707146(-) ggacaatgtta >hg38_chr18:24718800-24718810(+) atacaatggaa >hg38_chr18:24720077-24720087(-) agacaataaca >hg38_chr18:24720836-24720846(-) aaacaatgtaa >hg38_chr18:24733004-24733014(-) GAACAAAGGTA >hg38_chr18:24734369-24734379(+) AGACAATGCAC >hg38_chr18:24754520-24754530(+) CCACAATGGTG >hg38_chr18:24756590-24756600(+) taacaatgttt >hg38_chr18:24780472-24780482(-) taacaatgcac >hg38_chr18:24817660-24817670(+) TAACAAAGGCA >hg38_chr18:24826777-24826787(+) tgataaTGGAA >hg38_chr18:24861435-24861445(-) AAACAAAGGAG >hg38_chr18:24861922-24861932(-) AGATAATGGAA >hg38_chr18:24867462-24867472(-) gcacaatagag >hg38_chr18:24869499-24869509(-) caacaatgtat >hg38_chr18:24892955-24892965(+) gcacaatagta >hg38_chr18:24909668-24909678(+) GGACAATGGCC >hg38_chr18:24909679-24909689(-) GTACAATAAAA >hg38_chr18:24940090-24940100(-) ATACAATGAGG >hg38_chr18:24987333-24987343(+) agacaaaggaa >hg38_chr18:25001989-25001999(-) aaacaatgaag >hg38_chr18:25012502-25012512(+) TAACTATGGCT >hg38_chr18:25013594-25013604(+) acacagtggaa >hg38_chr18:25106510-25106520(+) TCACAATAGCA >hg38_chr18:25106554-25106564(-) TTACAATCGCA >hg38_chr18:25107052-25107062(+) aaacaaaggct >hg38_chr18:25112008-25112018(+) GGACAAAGGGT >hg38_chr18:25145184-25145194(-) GGACAATGGCC >hg38_chr18:25206845-25206855(+) ACACAATGCTG >hg38_chr18:25262034-25262044(+) GCACAATGGAC >hg38_chr18:25262041-25262051(+) GGACAATAGCT >hg38_chr18:25287904-25287914(+) CTACAATGGAC >hg38_chr18:25287944-25287954(+) TCACAATGCTG >hg38_chr18:25288758-25288768(+) GTACAATAACT >hg38_chr18:25309476-25309486(+) GAACAAAGGAG >hg38_chr18:25325497-25325507(-) GAACAATGATC >hg38_chr18:25325514-25325524(-) CAACAATGGAG >hg38_chr18:25349809-25349819(-) GGACAAAGGGC >hg38_chr18:25352146-25352156(-) TGACAATGATT >hg38_chr18:25356475-25356485(-) TGACAATGTGA >hg38_chr18:25372909-25372919(-) GGACAATGTTC >hg38_chr18:25454974-25454984(-) TGACAATGCTA >hg38_chr18:25457505-25457515(-) GGACAAAGGAA >hg38_chr18:25465671-25465681(+) ACACAAAGGAA >hg38_chr18:25465686-25465696(+) GAACAATATAT >hg38_chr18:25491863-25491873(-) TAACAATAGAG >hg38_chr18:25495442-25495452(-) ATACAATGGGA >hg38_chr18:25554038-25554048(+) aaataatggaa >hg38_chr18:25554082-25554092(+) ctacaatgcat >hg38_chr18:25556966-25556976(-) ATATAATGGCC >hg38_chr18:25593772-25593782(-) AGACAATAGGC >hg38_chr18:25618046-25618056(+) CAACAATGCAA >hg38_chr18:25624702-25624712(-) tgacaatagtg >hg38_chr18:25668734-25668744(+) GCACAATAGTT >hg38_chr18:25668747-25668757(+) TAACAATGAAG >hg38_chr18:25675647-25675657(+) GAACAATGCCT >hg38_chr18:25678451-25678461(+) gaacaatggag >hg38_chr18:25682869-25682879(-) GCACAAAGGAT >hg38_chr18:25682882-25682892(+) GCACAATGCTT >hg38_chr18:25688414-25688424(+) CAACAATGGAC >hg38_chr18:25696821-25696831(-) ACATAATGGAA >hg38_chr18:25696836-25696846(+) GTACAATAGCT >hg38_chr18:25706842-25706852(-) GAACAAAGGAA >hg38_chr18:25734595-25734605(-) TAACAATGTTC >hg38_chr18:25737759-25737769(-) TCACAATGCCC >hg38_chr18:25749215-25749225(+) gaacaatggca >hg38_chr18:25785174-25785184(+) aaataatggca >hg38_chr18:25820200-25820210(+) ACACAAAGGAC >hg38_chr18:25824553-25824563(-) acacaatgtaa >hg38_chr18:25825142-25825152(-) AAACAATGTGA >hg38_chr18:25839237-25839247(+) CTACAATAGCC >hg38_chr18:25840357-25840367(-) CAACAATAGCT >hg38_chr18:25853745-25853755(+) ACACAATGCTC >hg38_chr18:25854132-25854142(+) GGACAATAGGG >hg38_chr18:25903938-25903948(+) tcacaatgatg >hg38_chr18:25906063-25906073(-) tcacaatagcc >hg38_chr18:25947230-25947240(+) TAACAAAGGCC >hg38_chr18:25966677-25966687(+) agacaatagta >hg38_chr18:25992799-25992809(+) aaacaaaggcc >hg38_chr18:26017755-26017765(+) GAACAGTGGAC >hg38_chr18:26036264-26036274(+) GAACAAAGGAA >hg38_chr18:26086387-26086397(+) acacaataggt >hg38_chr18:26089662-26089672(-) ACACAAAGGTT >hg38_chr18:26206849-26206859(-) aaacaatgcca >hg38_chr18:26273887-26273897(-) GAACAATGCAC >hg38_chr18:26278707-26278717(-) caacaatgggt >hg38_chr18:26282794-26282804(-) aaacaatggca >hg38_chr18:26289864-26289874(-) GAACAATGTAT >hg38_chr18:26301141-26301151(-) CTACAATAGTT >hg38_chr18:26312417-26312427(+) TAACCATGGTA >hg38_chr18:26324471-26324481(+) TTACAATGGAA >hg38_chr18:26324522-26324532(-) ACACAATAGAG >hg38_chr18:26373805-26373815(-) TAACACTGGGT >hg38_chr18:26373820-26373830(+) GTACAATGGCT >hg38_chr18:26375226-26375236(-) ctacaatagag >hg38_chr18:26379672-26379682(-) atacaaaggac >hg38_chr18:26386276-26386286(-) GAACAAAGGGG >hg38_chr18:26386746-26386756(-) ataccatggaa >hg38_chr18:26386769-26386779(-) gtacaataaat >hg38_chr18:26441788-26441798(+) AAACAAAGGCT >hg38_chr18:26449448-26449458(+) GCACAATGACG >hg38_chr18:26460859-26460869(+) GAACAATGTTA >hg38_chr18:26462646-26462656(-) ACACAAAGGAG >hg38_chr18:26469816-26469826(+) ACACAATGTCC >hg38_chr18:26478255-26478265(-) agataatggat >hg38_chr18:26478976-26478986(-) AAACAATGCAC >hg38_chr18:26526287-26526297(+) GCACAATGAAC >hg38_chr18:26531907-26531917(-) TAACAAAGGCA >hg38_chr18:26553719-26553729(-) agacaatgtgt >hg38_chr18:26554437-26554447(+) AAACACTGGTA >hg38_chr18:26575077-26575087(-) AGACAATGGCA >hg38_chr18:26646871-26646881(+) ACACAAAGGTT >hg38_chr18:26646943-26646953(-) AGACAAAGGAA >hg38_chr18:26654570-26654580(-) GCACAATATAT >hg38_chr18:26654981-26654991(-) GGATAATGGGC >hg38_chr18:26664537-26664547(+) AGATAATGGTA >hg38_chr18:26665885-26665895(-) GTACAATGAGA >hg38_chr18:26682964-26682974(-) tcacaatgtgc >hg38_chr18:26685644-26685654(+) AAACAAAGGAC >hg38_chr18:26724408-26724418(-) ttacaaaggac >hg38_chr18:26751223-26751233(+) tcacaatggta >hg38_chr18:26813045-26813055(-) GGACAATGTCA >hg38_chr18:26813304-26813314(+) ATACAATGGAG >hg38_chr18:26821320-26821330(+) AAACAATGgct >hg38_chr18:26827058-26827068(-) ACACAATAGAG >hg38_chr18:26874775-26874785(-) TTACAATGTTC >hg38_chr18:26910231-26910241(+) AAACAATGAAA >hg38_chr18:26967414-26967424(+) ttacaatatac >hg38_chr18:26967427-26967437(-) ttacaatatac >hg38_chr18:26988719-26988729(+) caacaatagga >hg38_chr18:27009253-27009263(+) CTACAATGGTT >hg38_chr18:27178124-27178134(+) GAACAATACAC >hg38_chr18:27226630-27226640(-) AGACAATAACA >hg38_chr18:27226630-27226640(-) AGACAATAACA >hg38_chr18:27244422-27244432(-) TAACAATAACT >hg38_chr18:27315236-27315246(+) AAACAATAACA >hg38_chr18:27332360-27332370(-) GAACAAAGGAG >hg38_chr18:27332368-27332378(-) GAACAATAGAA >hg38_chr18:27332403-27332413(-) GAACAATAACA >hg38_chr18:27368428-27368438(+) ACACAAAGGCA >hg38_chr18:27387768-27387778(+) AGACAAAGGAT >hg38_chr18:27403489-27403499(+) TCACAATGAAT >hg38_chr18:27413578-27413588(+) GAACAATGGGA >hg38_chr18:27423469-27423479(+) TAACAATGCCA >hg38_chr18:27423539-27423549(-) AGACAAAGGGA >hg38_chr18:27483559-27483569(+) ttacaatggca >hg38_chr18:27496393-27496403(+) agataatggtg >hg38_chr18:27500682-27500692(-) GAACAATGGCA >hg38_chr18:27539570-27539580(-) CCACAATAGTT >hg38_chr18:27545379-27545389(-) AAATAATGGAC >hg38_chr18:27557448-27557458(-) TCACAATAGAT >hg38_chr18:27561729-27561739(+) TGACAATGATC >hg38_chr18:27575933-27575943(+) aaacaaaggta >hg38_chr18:27615104-27615114(-) TAACAATGAGC >hg38_chr18:27616557-27616567(-) gaacaaaggtt >hg38_chr18:27634917-27634927(+) CCACAATgatt >hg38_chr18:27636960-27636970(+) CAACAATGTAT >hg38_chr18:27649699-27649709(-) aaacaatgttt >hg38_chr18:27661924-27661934(-) AGACAATAGAC >hg38_chr18:27713882-27713892(+) ATACAATGTAG >hg38_chr18:27718003-27718013(-) TGACAATGACA >hg38_chr18:27750081-27750091(-) TAACAAAGGAA >hg38_chr18:27750299-27750309(-) TGACAATGGTG >hg38_chr18:27779042-27779052(-) TTACAATGGCT >hg38_chr18:27810634-27810644(-) gcacaataata >hg38_chr18:27823177-27823187(-) TGACAATAGCC >hg38_chr18:27825562-27825572(+) ggataatggtc >hg38_chr18:27843898-27843908(+) TAACAATGTAA >hg38_chr18:27869908-27869918(+) GGACAATAGAT >hg38_chr18:27900149-27900159(-) GCACAATGTCA >hg38_chr18:27921308-27921318(-) TAACAAAGGTC >hg38_chr18:27922953-27922963(+) AAATAATGGTA >hg38_chr18:27922973-27922983(+) GGACAATAAAA >hg38_chr18:27922981-27922991(-) ACACAATGTTT >hg38_chr18:27923881-27923891(+) AAACAATGCAT >hg38_chr18:27935768-27935778(-) GAAcaatagag >hg38_chr18:27936278-27936288(-) taacaatgtct >hg38_chr18:27936292-27936302(-) gtacaatgagc >hg38_chr18:27942946-27942956(-) ACATAATGGTT >hg38_chr18:27964963-27964973(+) AGACAAAGGGA >hg38_chr18:27968203-27968213(-) gaacaataaca >hg38_chr18:27984816-27984826(+) AAACAATGCTC >hg38_chr18:28044336-28044346(-) AAACAATGTAA >hg38_chr18:28045436-28045446(+) CAACAATGTGG >hg38_chr18:28045953-28045963(+) CGACAATGATA >hg38_chr18:28048598-28048608(+) CTACAATGCTA >hg38_chr18:28065589-28065599(-) TGACAATGACT >hg38_chr18:28116726-28116736(+) ATACAATGAAT >hg38_chr18:28122347-28122357(+) GTACTATGGTA >hg38_chr18:28137188-28137198(-) TAACAATGCAT >hg38_chr18:28147897-28147907(-) AAACAAAGGGG >hg38_chr18:28155323-28155333(+) atacaaagggc >hg38_chr18:28155339-28155349(+) aaacaatacgt >hg38_chr18:28176431-28176441(+) AGACAATAGGA >hg38_chr18:28181969-28181979(+) ACACAATAGAG >hg38_chr18:28197989-28197999(+) ACACAATGAAA >hg38_chr18:28198340-28198350(-) CTACAATGATT >hg38_chr18:28232681-28232691(+) agacaatgggt >hg38_chr18:28232761-28232771(+) ccacaatgaga >hg38_chr18:28281262-28281272(-) GCACAATGGAG >hg38_chr18:28334957-28334967(+) TAACAATAGAA >hg38_chr18:28335028-28335038(-) TGACAATGCCT >hg38_chr18:28365843-28365853(+) GGACAATGAAC >hg38_chr18:28380085-28380095(-) ctacaatgaag >hg38_chr18:28441807-28441817(+) ccacaatagcc >hg38_chr18:28445184-28445194(-) GTATAATGGTA >hg38_chr18:28522328-28522338(-) CTACAATGGGC >hg38_chr18:28522584-28522594(+) AAACAATGAAT >hg38_chr18:28522622-28522632(-) ACACAATGACA >hg38_chr18:28523898-28523908(-) CCACAATAGAA >hg38_chr18:28529987-28529997(+) atataatggaa >hg38_chr18:28530018-28530028(-) aaacaatagcc >hg38_chr18:28543069-28543079(+) ATACAATGAAA >hg38_chr18:28552650-28552660(+) TGACAATGCAC >hg38_chr18:28581558-28581568(-) AAACAATGGGA >hg38_chr18:28594243-28594253(+) AAACAATGCAT >hg38_chr18:28639863-28639873(+) tgataatggcc >hg38_chr18:28639897-28639907(-) tcacaatgaga >hg38_chr18:28683152-28683162(+) CAACAATAGAG >hg38_chr18:28684861-28684871(-) CCACAATGACC >hg38_chr18:28689742-28689752(-) ggataatggtc >hg38_chr18:28705244-28705254(+) TAATAATGGCA >hg38_chr18:28705570-28705580(-) TGACAATGGCA >hg38_chr18:28711303-28711313(-) GCACAATGGAG >hg38_chr18:28729021-28729031(+) TGACAATGAAG >hg38_chr18:28734173-28734183(-) gaataatggct >hg38_chr18:28764376-28764386(+) ATACAGTGGAG >hg38_chr18:28764384-28764394(-) GAACAATGCTC >hg38_chr18:28764881-28764891(+) CAACAATGGTG >hg38_chr18:28765716-28765726(+) GAACAATAAAA >hg38_chr18:28765747-28765757(-) GGACAATGTCA >hg38_chr18:28768283-28768293(-) GTACAAAGGAA >hg38_chr18:28786438-28786448(+) taacaatgtat >hg38_chr18:28815349-28815359(+) gaacaataact >hg38_chr18:28827186-28827196(-) GGACAATGCCA >hg38_chr18:28830355-28830365(+) TAACAATGCAG >hg38_chr18:28830411-28830421(+) CAACAATGATG >hg38_chr18:28863586-28863596(-) AAACAATAAGA >hg38_chr18:28866590-28866600(+) GTACAATGCTG >hg38_chr18:28893037-28893047(+) GCATAATGGCT >hg38_chr18:28893376-28893386(-) ACACAATGTCC >hg38_chr18:28909228-28909238(-) CAATAATGGGA >hg38_chr18:28915934-28915944(+) agactatggta >hg38_chr18:28963180-28963190(+) atacaatgaac >hg38_chr18:28963462-28963472(-) caacaatgtgg >hg38_chr18:28971914-28971924(+) ATACAATGTGC >hg38_chr18:28972710-28972720(-) AAACAATGGCA >hg38_chr18:28972737-28972747(-) AAACAAAGGCC >hg38_chr18:29019219-29019229(+) AAACAATGGTG >hg38_chr18:29037129-29037139(+) taacaatgaat >hg38_chr18:29042741-29042751(-) ccacaatgcaa >hg38_chr18:29062398-29062408(+) TAACAATAACC >hg38_chr18:29070091-29070101(+) TGACAATGCTT >hg38_chr18:29080861-29080871(-) AAACAATGAGA >hg38_chr18:29080876-29080886(-) GAACAATGGGC >hg38_chr18:29089509-29089519(+) AAACAATGGTC >hg38_chr18:29145921-29145931(+) AAACAATGGCT >hg38_chr18:29154887-29154897(-) agacaaTGACT >hg38_chr18:29156413-29156423(+) TGACAATGCCA >hg38_chr18:29181046-29181056(-) ACACAATATAA >hg38_chr18:29188224-29188234(-) GGACAATGCAG >hg38_chr18:29188284-29188294(-) GAACAATGCTA >hg38_chr18:29199103-29199113(-) gtacaatgatc >hg38_chr18:29248357-29248367(-) AGATAATGGGA >hg38_chr18:29248974-29248984(-) GAACAATGCCT >hg38_chr18:29248997-29249007(-) AAACAAAGGGA >hg38_chr18:29250206-29250216(-) ACACAAAGGAA >hg38_chr18:29250993-29251003(-) TGACAATAGCT >hg38_chr18:29268052-29268062(+) AGACAATGGGG >hg38_chr18:29271505-29271515(-) TGACAATGCAC >hg38_chr18:29295876-29295886(+) GAACAATAAAA >hg38_chr18:29322753-29322763(+) AGACAAAGGTT >hg38_chr18:29326324-29326334(+) ttacaataggt >hg38_chr18:29353841-29353851(+) gcacaatgctg >hg38_chr18:29369479-29369489(+) gtacaatgtaa >hg38_chr18:29417894-29417904(-) AGACAATGTAA >hg38_chr18:29417918-29417928(-) AGACAATGTAC >hg38_chr18:29434315-29434325(+) ATATAATGGGC >hg38_chr18:29479854-29479864(-) ATACAATATGA >hg38_chr18:29495579-29495589(-) GTACAATACAT >hg38_chr18:29496800-29496810(-) GTACAATACAT >hg38_chr18:29528174-29528184(-) GAACAATAGAA >hg38_chr18:29542441-29542451(-) TAACAATAGAA >hg38_chr18:29542456-29542466(+) TTACAATAGAT >hg38_chr18:29547773-29547783(+) GGACAATGGTA >hg38_chr18:29593005-29593015(+) aaacaatgaaa >hg38_chr18:29623932-29623942(-) CCACAATGGAG >hg38_chr18:29644503-29644513(-) ggacaatgtct >hg38_chr18:29693643-29693653(-) agacaatgaga >hg38_chr18:29693664-29693674(-) agacaatgggg >hg38_chr18:29736235-29736245(-) atacaatggca >hg38_chr18:29757907-29757917(+) GAACAATGAGG >hg38_chr18:29801287-29801297(-) AGACAATGTTA >hg38_chr18:29823413-29823423(+) aaacaacggct >hg38_chr18:29824263-29824273(+) CCACAATAGGC >hg38_chr18:29834586-29834596(-) aaataatggcc >hg38_chr18:29837736-29837746(+) aaacaatggtc >hg38_chr18:29842611-29842621(-) aaacaatggag >hg38_chr18:29842630-29842640(-) tgacaaaggac >hg38_chr18:29843269-29843279(-) TTACAATGATG >hg38_chr18:29861199-29861209(+) GCATAATGGGA >hg38_chr18:29870196-29870206(+) tgacaatgtag >hg38_chr18:29885463-29885473(-) CTACAATAGCT >hg38_chr18:29903610-29903620(+) tgacaatagca >hg38_chr18:29928308-29928318(+) TCACACTGGCG >hg38_chr18:29953104-29953114(-) AGACAAAGGAG >hg38_chr18:29961513-29961523(-) GAACAATAAAG >hg38_chr18:30217521-30217531(-) ccacaatgcaa >hg38_chr18:30358644-30358654(-) ATACAATGAAC >hg38_chr18:30520128-30520138(-) CAACAATAGTG >hg38_chr18:30582375-30582385(-) acacaaaggag >hg38_chr18:30596118-30596128(+) CAACAATGTAT >hg38_chr18:30680297-30680307(+) GTACAATGAAT >hg38_chr18:30680348-30680358(+) AAACTATGGCA >hg38_chr18:30682808-30682818(-) GAACAAAGGGA >hg38_chr18:30775914-30775924(-) acacaaaggga >hg38_chr18:30787574-30787584(+) AGACAATGTAC >hg38_chr18:30787586-30787596(+) TCACAATGCAA >hg38_chr18:30787596-30787606(-) CAACAATGCTT >hg38_chr18:31061356-31061366(+) ATACAAAGGTA >hg38_chr18:31064224-31064234(-) acacaatgcct >hg38_chr18:31065576-31065586(+) ttactatgACG >hg38_chr18:31078307-31078317(+) ACACAATGACT >hg38_chr18:31171306-31171316(+) acacaatgaaa >hg38_chr18:31198418-31198428(+) CCACAATGGAG >hg38_chr18:31207906-31207916(-) TAACAATGGAG >hg38_chr18:31230668-31230678(+) TAACAATGCTT >hg38_chr18:31258098-31258108(-) gaacaatagaa >hg38_chr18:31274352-31274362(+) TAACAATGCTT >hg38_chr18:31320584-31320594(-) GAACAATGGAA >hg38_chr18:31407278-31407288(+) GTACAATGGCA >hg38_chr18:31482174-31482184(+) AGACAATAACA >hg38_chr18:31484564-31484574(+) GTACAATGATA >hg38_chr18:31539041-31539051(+) TTACTATGGTA >hg38_chr18:31555103-31555113(-) gaacaatgcgg >hg38_chr18:31572091-31572101(+) CCACAATGAGA >hg38_chr18:31693235-31693245(-) CCACAATGTGT >hg38_chr18:31693269-31693279(-) AAACAAAGGCC >hg38_chr18:31697489-31697499(-) ggacaaaggac >hg38_chr18:31697522-31697532(-) taacaatgtta >hg38_chr18:31697532-31697542(-) taacaataagt >hg38_chr18:31699716-31699726(+) gaataatggtg >hg38_chr18:31699765-31699775(+) gaacaaTGAGG >hg38_chr18:31699774-31699784(-) GTACAATAACC >hg38_chr18:31722506-31722516(+) TTACAATGAGT >hg38_chr18:31775203-31775213(+) CGACAATGGAC >hg38_chr18:31850491-31850501(+) AAACAATGAAA >hg38_chr18:31896110-31896120(-) atacaatgctg >hg38_chr18:31946815-31946825(-) agacaatagat >hg38_chr18:32021940-32021950(-) GAACAATGGAC >hg38_chr18:32040647-32040657(+) GCACAATGAAC >hg38_chr18:32057921-32057931(+) tgacaatgctg >hg38_chr18:32130817-32130827(-) GAACAAAGGCG >hg38_chr18:32133086-32133096(+) gaacaataacc >hg38_chr18:32151425-32151435(-) aaacaataata >hg38_chr18:32152909-32152919(+) tcacaatgctt >hg38_chr18:32175409-32175419(-) gaacaatgctg >hg38_chr18:32180121-32180131(+) AAACAATGCCA >hg38_chr18:32180154-32180164(-) CTACAATGTGT >hg38_chr18:32210673-32210683(+) AGACAATGTGG >hg38_chr18:32210717-32210727(+) GTACAATGTCA >hg38_chr18:32220255-32220265(+) CGACAATATGG >hg38_chr18:32243549-32243559(+) TAACAATAGCC >hg38_chr18:32251720-32251730(+) AAACAATGACA >hg38_chr18:32278441-32278451(+) TGACAATGATT >hg38_chr18:32294712-32294722(-) AGACACTGGAC >hg38_chr18:32310799-32310809(+) ATATAATGGAT >hg38_chr18:32372555-32372565(+) TGACAATATAC >hg38_chr18:32421309-32421319(-) GAACAATGACA >hg38_chr18:32421322-32421332(-) GGACAATGAGT >hg38_chr18:32425404-32425414(-) CCACAATGTTT >hg38_chr18:32425427-32425437(-) AGACTATGGAG >hg38_chr18:32453918-32453928(+) acacaatgatg >hg38_chr18:32462583-32462593(-) tgacaaaggaa >hg38_chr18:32469933-32469943(+) GCACAATGATA >hg38_chr18:32546483-32546493(+) caacaatggat >hg38_chr18:32548931-32548941(+) AGACAAAGGGC >hg38_chr18:32584474-32584484(-) caataatggaa >hg38_chr18:32584509-32584519(+) caacaatgatt >hg38_chr18:32590124-32590134(+) agacaatgaaa >hg38_chr18:32627437-32627447(-) GAACAatggaa >hg38_chr18:32657379-32657389(-) TTACAATGCAC >hg38_chr18:32697749-32697759(-) TAACAATAGGG >hg38_chr18:32729001-32729011(-) aaacaatggaa >hg38_chr18:32730022-32730032(-) AAACAATAGAC >hg38_chr18:32745729-32745739(+) TTACAATGTGC >hg38_chr18:32745751-32745761(+) TGACAATGACA >hg38_chr18:32745757-32745767(+) TGACAATAACG >hg38_chr18:32755007-32755017(+) AGACAATGAGG >hg38_chr18:32789253-32789263(-) TAACAATGATC >hg38_chr18:32804497-32804507(+) AGACAATGGAC >hg38_chr18:32817918-32817928(+) ggacaaaggtg >hg38_chr18:32817939-32817949(+) aaacaaaggta >hg38_chr18:32879716-32879726(-) GAACAATGCAG >hg38_chr18:32931861-32931871(+) CCACAATGGCC >hg38_chr18:32937831-32937841(-) TAACAATGAAA >hg38_chr18:33274152-33274162(+) agactatggaa >hg38_chr18:33274176-33274186(+) ccacaatgaga >hg38_chr18:33366733-33366743(-) GAACAATGCTT >hg38_chr18:33374144-33374154(+) ggacagtggaa >hg38_chr18:33550675-33550685(-) gaacaatagaa >hg38_chr18:33557589-33557599(+) tcataatggag >hg38_chr18:33572567-33572577(+) CCACAATGGGC >hg38_chr18:33577710-33577720(-) AAACAATGCAG >hg38_chr18:33577739-33577749(-) ACACAATGTTG >hg38_chr18:33577760-33577770(-) TAATAATGTAC >hg38_chr18:33606790-33606800(+) GCACAATAGGG >hg38_chr18:33609723-33609733(-) TAACAAAGGAC >hg38_chr18:33614075-33614085(+) caacaatgaag >hg38_chr18:33623059-33623069(+) TGACAATGCTG >hg38_chr18:33645008-33645018(-) GAACAATGCAA >hg38_chr18:33673992-33674002(+) atataatggaa >hg38_chr18:33680440-33680450(+) gtacaatgccc >hg38_chr18:33756362-33756372(-) TGACAATGCAG >hg38_chr18:33918821-33918831(+) GAACAATAGGA >hg38_chr18:33919542-33919552(+) AAACAATGGGG >hg38_chr18:34019680-34019690(-) atataatggga >hg38_chr18:34019705-34019715(+) atacaatatgt >hg38_chr18:34019746-34019756(-) taacaataact >hg38_chr18:34026110-34026120(+) ACACAATGGTC >hg38_chr18:34041292-34041302(+) GAACAATGCCT >hg38_chr18:34073819-34073829(+) aaacaatagaa >hg38_chr18:34081756-34081766(-) GGACAATAGGA >hg38_chr18:34081772-34081782(+) AGACAAAGGAG >hg38_chr18:34098303-34098313(+) atacaataaag >hg38_chr18:34128560-34128570(-) TGATAATGGCC >hg38_chr18:34196519-34196529(-) tgacaatagct >hg38_chr18:34199680-34199690(-) CAACAATGAGC >hg38_chr18:34258440-34258450(+) acacaatgatt >hg38_chr18:34297424-34297434(-) aaacaatggac >hg38_chr18:34313237-34313247(-) ggacaatgccc >hg38_chr18:34340969-34340979(-) tgacaatagcc >hg38_chr18:34433460-34433470(-) aaaccatggta >hg38_chr18:34433476-34433486(+) taacaattgcg >hg38_chr18:34457976-34457986(+) agacaatggac >hg38_chr18:34463748-34463758(-) acacaatgggg >hg38_chr18:34463759-34463769(+) tgacaatgaac >hg38_chr18:34473975-34473985(-) taacaaaggtc >hg38_chr18:34474008-34474018(+) gcacaatgatt >hg38_chr18:34509139-34509149(+) ATACAATGTTT >hg38_chr18:34531330-34531340(-) aaacaatgact >hg38_chr18:34548799-34548809(+) GCATAATGGCA >hg38_chr18:34549583-34549593(-) TAACTATGGAA >hg38_chr18:34571020-34571030(-) ttacaaaggct >hg38_chr18:34594239-34594249(-) CGACAATAGCA >hg38_chr18:34598387-34598397(+) GCACAATGGGA >hg38_chr18:34632887-34632897(-) TAACAAAGGAT >hg38_chr18:34640464-34640474(-) TGACAATGCAG >hg38_chr18:34644812-34644822(+) GCACAATGAAT >hg38_chr18:34644821-34644831(-) TAATAATGGAT >hg38_chr18:34644829-34644839(+) TTACAATAAAT >hg38_chr18:34645051-34645061(+) TAACACTGGAA >hg38_chr18:34647463-34647473(-) gaacaaaggtg >hg38_chr18:34661595-34661605(+) AGACAAAGGAT >hg38_chr18:34691621-34691631(+) GTACAATGGAC >hg38_chr18:34698029-34698039(+) ACACAATGCGT >hg38_chr18:34704267-34704277(+) TTACAAAGGAA >hg38_chr18:34710920-34710930(-) ATACAATAAAC >hg38_chr18:34722910-34722920(-) aaacaataaat >hg38_chr18:34742922-34742932(+) GGACAATGCAG >hg38_chr18:34743361-34743371(-) ATACAATGAAA >hg38_chr18:34750196-34750206(-) GGACAATGTTT >hg38_chr18:34755949-34755959(-) TTACAATGTGT >hg38_chr18:34758728-34758738(+) ttacaatgaaa >hg38_chr18:34760574-34760584(-) TGACAATGAGC >hg38_chr18:34786159-34786169(+) AGACAATGCTT >hg38_chr18:34803205-34803215(+) TAACAATAGAT >hg38_chr18:34820565-34820575(+) GCACAATGCCT >hg38_chr18:34846833-34846843(+) ATATAATAGTA >hg38_chr18:34848897-34848907(+) TCACAAAGGAC >hg38_chr18:34901627-34901637(+) aaacaatgcaa >hg38_chr18:34921412-34921422(-) TAACAATACAC >hg38_chr18:34932530-34932540(+) AGACAATAGGA >hg38_chr18:34934164-34934174(+) GCACAATGCCT >hg38_chr18:34947636-34947646(+) AAACAATAGAT >hg38_chr18:34980784-34980794(-) TAATAATGGGT >hg38_chr18:34991614-34991624(-) TCACAATGCTC >hg38_chr18:35000602-35000612(-) CTACAAAGGTC >hg38_chr18:35006221-35006231(-) GAACAATAATA >hg38_chr18:35060819-35060829(+) taacaatgagg >hg38_chr18:35143365-35143375(-) CGACAATAGGT >hg38_chr18:35165953-35165963(+) CAATAATGGAA >hg38_chr18:35171622-35171632(+) TTACaatatta >hg38_chr18:35177238-35177248(+) ccacaatagtg >hg38_chr18:35191841-35191851(-) taacaatgcat >hg38_chr18:35215183-35215193(+) caacaatgggg >hg38_chr18:35215212-35215222(+) caacaatggag >hg38_chr18:35237098-35237108(-) AAACAAAGGCC >hg38_chr18:35237464-35237474(+) acacaatgcta >hg38_chr18:35237491-35237501(+) caacaatggaa >hg38_chr18:35267520-35267530(+) GAACAATGCCC >hg38_chr18:35310487-35310497(-) atacAATATAC >hg38_chr18:35344551-35344561(+) CGACAATGACC >hg38_chr18:35350152-35350162(-) ggacaaaggat >hg38_chr18:35387460-35387470(-) aaacaatgctg >hg38_chr18:35434718-35434728(+) atacaatacac >hg38_chr18:35434723-35434733(+) atacactggat >hg38_chr18:35454809-35454819(+) ccacaatgaga >hg38_chr18:35455135-35455145(+) ggacaatggat >hg38_chr18:35455166-35455176(+) acacaatggaa >hg38_chr18:35460833-35460843(+) AAACAATAGTT >hg38_chr18:35473070-35473080(-) GTACAATGGTT >hg38_chr18:35496356-35496366(-) ggacaataggg >hg38_chr18:35496406-35496416(-) tcacaatagac >hg38_chr18:35529140-35529150(+) gaacaaagggg >hg38_chr18:35529367-35529377(-) tgacaatgaat >hg38_chr18:35571749-35571759(+) aaataatggcC >hg38_chr18:35661715-35661725(-) ggacaaaggga >hg38_chr18:35717180-35717190(-) tcataatggat >hg38_chr18:35728844-35728854(+) taacaatggcc >hg38_chr18:35728851-35728861(-) aaacaaaggcc >hg38_chr18:35731629-35731639(+) atacaatggaa >hg38_chr18:35798505-35798515(-) ACATAATGGCA >hg38_chr18:35798666-35798676(+) GGACAAAGGTG >hg38_chr18:35927849-35927859(-) atacaatgttg >hg38_chr18:35929189-35929199(+) atacaataaag >hg38_chr18:35974315-35974325(-) gaacaaaggac >hg38_chr18:35991988-35991998(+) TAACAATGTGT >hg38_chr18:36012057-36012067(+) ATACAATGTGA >hg38_chr18:36012114-36012124(+) caacaatggac >hg38_chr18:36017657-36017667(-) AAACAATGATG >hg38_chr18:36024704-36024714(+) ACACAATAGAT >hg38_chr18:36025645-36025655(-) TAACGATGTCG >hg38_chr18:36035646-36035656(+) ttacaatgtta >hg38_chr18:36035667-36035677(+) tcacaatagcc >hg38_chr18:36051615-36051625(-) atacaatagac >hg38_chr18:36073609-36073619(-) TGACAATGGCT >hg38_chr18:36092604-36092614(-) TTataatggta >hg38_chr18:36129491-36129501(-) TTACAATGCGC >hg38_chr18:36140967-36140977(-) ATACAATGAAC >hg38_chr18:36144075-36144085(-) GAACAATGTTC >hg38_chr18:36162172-36162182(-) agacaatgttg >hg38_chr18:36192086-36192096(-) gtacaatgttg >hg38_chr18:36196125-36196135(-) CTACAATGCCC >hg38_chr18:36267523-36267533(-) AAACAATGTAG >hg38_chr18:36278294-36278304(+) gaacaatgtga >hg38_chr18:36329870-36329880(+) TTACAAAGggc >hg38_chr18:36364655-36364665(-) CTACAatgtgg >hg38_chr18:36364684-36364694(-) TGACAATGTAT >hg38_chr18:36364713-36364723(-) ttataatggga >hg38_chr18:36397275-36397285(-) aaacaatgaga >hg38_chr18:36432759-36432769(+) TAACAATGGGT >hg38_chr18:36444882-36444892(+) aaacaatgctg >hg38_chr18:36452918-36452928(-) caacaatgggt >hg38_chr18:36452954-36452964(-) ttacaatagcc >hg38_chr18:36452977-36452987(+) ttacaatgtct >hg38_chr18:36452986-36452996(+) ctacaatgtct >hg38_chr18:36559063-36559073(-) CTACAATAGGT >hg38_chr18:36605266-36605276(-) TTACAATGGGG >hg38_chr18:36646798-36646808(+) acacaaaggca >hg38_chr18:36662658-36662668(+) TAATAATGGAT >hg38_chr18:36663272-36663282(-) aaacaaagggt >hg38_chr18:36711486-36711496(-) agacaaaggac >hg38_chr18:36750889-36750899(-) ttacaatatag >hg38_chr18:36753871-36753881(-) ggacaaaggat >hg38_chr18:36771136-36771146(+) agacaatacgt >hg38_chr18:36775607-36775617(-) GAACAATGGCA >hg38_chr18:36855011-36855021(-) ttacaatagaa >hg38_chr18:36855087-36855097(-) acacaatagtc >hg38_chr18:36856289-36856299(-) tcacaaaggaa >hg38_chr18:36856301-36856311(-) atataatggaa >hg38_chr18:36857532-36857542(-) gatcaatggcg >hg38_chr18:36859197-36859207(-) GAACAATGAAG >hg38_chr18:36862919-36862929(-) aaacaatgtcc >hg38_chr18:36863687-36863697(+) ttacaatagct >hg38_chr18:36886131-36886141(+) TAACAATATGT >hg38_chr18:36886150-36886160(-) GCACAAAGGAA >hg38_chr18:36892696-36892706(-) TAACAATGCTC >hg38_chr18:36915910-36915920(+) caacaatgaaa >hg38_chr18:36942816-36942826(-) TCACAATAATA >hg38_chr18:36966094-36966104(+) aaacaatgcag >hg38_chr18:36974807-36974817(-) GAACAATAGAA >hg38_chr18:37008796-37008806(+) taacaaaggaa >hg38_chr18:37021083-37021093(+) TCACAATAGCC >hg38_chr18:37021448-37021458(-) ATACAATAGGT >hg38_chr18:37034825-37034835(-) TCACAATGTTG >hg38_chr18:37122315-37122325(+) agataatgggc >hg38_chr18:37123102-37123112(-) ttacaaaggct >hg38_chr18:37128800-37128810(+) atacaatagaa >hg38_chr18:37161511-37161521(-) AAACAATGGAA >hg38_chr18:37162100-37162110(+) GCACAATGAAT >hg38_chr18:37175915-37175925(-) GAACAAAGGAA >hg38_chr18:37177483-37177493(-) ACACAAAGGCA >hg38_chr18:37181413-37181423(+) GAACAATAGAA >hg38_chr18:37181678-37181688(-) GTACAATATAT >hg38_chr18:37248798-37248808(-) TAACTATGGGG >hg38_chr18:37445549-37445559(+) ACACAATGATT >hg38_chr18:37484518-37484528(+) ATACAATACAA >hg38_chr18:37484523-37484533(+) ATACAAAGGCT >hg38_chr18:37490167-37490177(+) atacaatggag >hg38_chr18:37490191-37490201(-) atataatgggc >hg38_chr18:37577257-37577267(-) AGACAAAGGAA >hg38_chr18:37585469-37585479(+) gaacaatgaga >hg38_chr18:37654243-37654253(-) aaacaaaggac >hg38_chr18:37751715-37751725(+) agacaatggga >hg38_chr18:37836750-37836760(+) tgacaAAGGAA >hg38_chr18:38087764-38087774(+) TGACAATGAGC >hg38_chr18:38087813-38087823(+) TGACAATGCTG >hg38_chr18:38148223-38148233(-) ATACAATGCAC >hg38_chr18:38208284-38208294(-) GAACAAAGGAA >hg38_chr18:38249637-38249647(-) AAACAatatat >hg38_chr18:38249656-38249666(+) GAACAATGAAG >hg38_chr18:38370584-38370594(+) aaacaatgcct >hg38_chr18:38397677-38397687(+) aaacaaaggac >hg38_chr18:38398909-38398919(-) ggacaatggct >hg38_chr18:38398916-38398926(-) acacaatggac >hg38_chr18:38422157-38422167(+) AAACAATGAAG >hg38_chr18:38427472-38427482(-) ATACAATAAAA >hg38_chr18:38448101-38448111(+) GAACAATAATA >hg38_chr18:38448124-38448134(+) GGACAAAGGAA >hg38_chr18:38448147-38448157(-) CTACAATGTCT >hg38_chr18:38453873-38453883(+) AGACAATGAGA >hg38_chr18:38481795-38481805(+) TCACAATGACA >hg38_chr18:38481803-38481813(-) GAACAATGTGT >hg38_chr18:38619753-38619763(-) AAACAATAGCT >hg38_chr18:38623949-38623959(+) AAACAAAGGGG >hg38_chr18:38666236-38666246(-) AGACAATAGTC >hg38_chr18:38693307-38693317(+) GCACAATGGCC >hg38_chr18:38698312-38698322(+) GAACAAAGGCC >hg38_chr18:38783649-38783659(-) agacaatgaga >hg38_chr18:38805176-38805186(+) GGACAATGAAA >hg38_chr18:38809509-38809519(-) TTACAATGAAT >hg38_chr18:38847167-38847177(-) ACACAATGGGA >hg38_chr18:38887265-38887275(-) ATACAATGTGT >hg38_chr18:38931159-38931169(-) TGATAATGGCA >hg38_chr18:38931540-38931550(+) AGACAAAGGGA >hg38_chr18:38931883-38931893(-) CAACAATGGTA >hg38_chr18:39038230-39038240(-) ATATAATGGTA >hg38_chr18:39058659-39058669(+) AAACAATAGAT >hg38_chr18:39103118-39103128(-) CAACAATGACA >hg38_chr18:39157598-39157608(-) ttacaATGCAG >hg38_chr18:39157631-39157641(-) tcacaatgatg >hg38_chr18:39157652-39157662(+) gtacaatgttg >hg38_chr18:39193258-39193268(+) gtacaaaggca >hg38_chr18:39218743-39218753(+) TGACAATGAAG >hg38_chr18:39225593-39225603(+) AAACAATAAGT >hg38_chr18:39230442-39230452(+) tgacaatagga >hg38_chr18:39230471-39230481(+) aaacaatggtt >hg38_chr18:39240636-39240646(+) gcacaatggga >hg38_chr18:39247649-39247659(-) taacaataggt >hg38_chr18:39302976-39302986(+) AGACAATAAAT >hg38_chr18:39338068-39338078(-) GGACAATGCAC >hg38_chr18:39344357-39344367(+) acacaatgttt >hg38_chr18:39347977-39347987(+) gaacaaaggac >hg38_chr18:39354299-39354309(-) AAACAATAGTC >hg38_chr18:39360272-39360282(-) ACACAATGAGT >hg38_chr18:39360289-39360299(-) TTACAATGACT >hg38_chr18:39360306-39360316(+) TCACAATGCAT >hg38_chr18:39368237-39368247(-) aCACAATGTTA >hg38_chr18:39380860-39380870(+) AAACAATGCCA >hg38_chr18:39411429-39411439(-) GTACAATGTCC >hg38_chr18:39442142-39442152(-) ttacaataaga >hg38_chr18:39442198-39442208(+) gaacaatgaac >hg38_chr18:39442536-39442546(+) agacaatgtct >hg38_chr18:39442848-39442858(-) gcataatggca >hg38_chr18:39448521-39448531(+) ccacaatgatg >hg38_chr18:39448967-39448977(+) caacaatgata >hg38_chr18:39453962-39453972(+) ggacaatgaac >hg38_chr18:39454013-39454023(+) aaacaatgcac >hg38_chr18:39501407-39501417(-) aaacaatatat >hg38_chr18:39522003-39522013(-) GTATAATGAAA >hg38_chr18:39572968-39572978(-) GAACAATGGAG >hg38_chr18:39597002-39597012(+) ACACAATAAAA >hg38_chr18:39606822-39606832(+) GTACAAAGGCT >hg38_chr18:39636127-39636137(+) AAACAATGACA >hg38_chr18:39658727-39658737(-) gaactatggag >hg38_chr18:39685444-39685454(+) taacaaaggat >hg38_chr18:39711871-39711881(-) gaacaaagggt >hg38_chr18:39752083-39752093(-) AGACAATGTCA >hg38_chr18:39760544-39760554(+) GAACAATGAGT >hg38_chr18:39760556-39760566(+) TTACAATGAAG >hg38_chr18:39762387-39762397(+) taacaatgaaa >hg38_chr18:39775333-39775343(-) ACACAATGCTT >hg38_chr18:39788196-39788206(+) AGATAATGGAA >hg38_chr18:39788214-39788224(+) AGACAATGGAA >hg38_chr18:39793513-39793523(+) CTACAATGTGT >hg38_chr18:39793708-39793718(+) ACACAATGGGG >hg38_chr18:39817235-39817245(+) ttacaatgcag >hg38_chr18:39817280-39817290(-) atacaatatct >hg38_chr18:39834953-39834963(-) acacaatggaa >hg38_chr18:39835477-39835487(-) ACACAAAGGAG >hg38_chr18:39842359-39842369(+) GAACAATGGGC >hg38_chr18:39855307-39855317(-) taacaataaca >hg38_chr18:39863964-39863974(-) GGACAATAGGG >hg38_chr18:39863993-39864003(+) TGACAATGTTT >hg38_chr18:39874369-39874379(-) GCACAATGACA >hg38_chr18:39878434-39878444(+) GGACAATGAAA >hg38_chr18:39886124-39886134(+) aaacaaaggtc >hg38_chr18:39903368-39903378(+) tgacaatgcta >hg38_chr18:39903858-39903868(-) gaacaatgggg >hg38_chr18:39922460-39922470(+) caacaatgaat >hg38_chr18:39970091-39970101(-) AGACAATGATG >hg38_chr18:39970312-39970322(+) ATACAATAGCC >hg38_chr18:39989359-39989369(-) tcacaatagcc >hg38_chr18:39989639-39989649(-) ccacaatgaga >hg38_chr18:40069697-40069707(+) GAACAATGAAT >hg38_chr18:40075308-40075318(+) agacaatggcc >hg38_chr18:40075976-40075986(+) TAACAATGCCT >hg38_chr18:40097851-40097861(+) CGACAATGTCA >hg38_chr18:40130650-40130660(+) caacaatagag >hg38_chr18:40152949-40152959(+) AAACAAAGGAG >hg38_chr18:40164152-40164162(-) gaacaaagacg >hg38_chr18:40166009-40166019(+) AAACAATGTAT >hg38_chr18:40199067-40199077(-) GAACAATGAAC >hg38_chr18:40199081-40199091(-) CAACAATGGAT >hg38_chr18:40213664-40213674(-) GAACAATATAA >hg38_chr18:40223285-40223295(+) taacactggga >hg38_chr18:40223828-40223838(-) TAACAATGCCA >hg38_chr18:40243692-40243702(-) atacaatggaa >hg38_chr18:40275921-40275931(-) AAACAATAGCT >hg38_chr18:40302656-40302666(-) agacaataata >hg38_chr18:40302696-40302706(-) aaacaataact >hg38_chr18:40310045-40310055(+) TAATAATGGCT >hg38_chr18:40433530-40433540(+) TAACAATACAA >hg38_chr18:40433535-40433545(+) ATACAATGGAA >hg38_chr18:40455435-40455445(+) atacaaagGAA >hg38_chr18:40559135-40559145(+) CCACAATAGGG >hg38_chr18:40569825-40569835(+) GAACAATAAAG >hg38_chr18:40576772-40576782(+) TGACAATGAAA >hg38_chr18:40578581-40578591(+) ACACAATGCTT >hg38_chr18:40589834-40589844(+) GTACTATGGTC >hg38_chr18:40589878-40589888(+) AGACAATGCAG >hg38_chr18:40608356-40608366(+) GAACAATAAGT >hg38_chr18:40614723-40614733(+) TCACAATAGAG >hg38_chr18:40674657-40674667(+) CGACAAAGGGA >hg38_chr18:40674669-40674679(+) AAATAATGGAG >hg38_chr18:40738239-40738249(+) CTACAATGTCA >hg38_chr18:40738272-40738282(+) TAACAATGCAA >hg38_chr18:40807613-40807623(-) GAACAATGAGG >hg38_chr18:40868591-40868601(+) taacaatggtg >hg38_chr18:41016442-41016452(+) atataatgata >hg38_chr18:41025348-41025358(-) ACACAATGTGT >hg38_chr18:41025812-41025822(+) CTACAATGCCC >hg38_chr18:41033035-41033045(+) GTACAATAATG >hg38_chr18:41033038-41033048(+) CAATAATGGTA >hg38_chr18:41096678-41096688(-) AGACAAAGGGC >hg38_chr18:41113857-41113867(+) TGACAATGTGC >hg38_chr18:41113895-41113905(+) GAACAATGGAT >hg38_chr18:41146575-41146585(+) AGACAATGAGT >hg38_chr18:41188756-41188766(-) GAACAATGTCT >hg38_chr18:41256548-41256558(+) atacaatgctg >hg38_chr18:41320928-41320938(+) ctataATGGTT >hg38_chr18:41320953-41320963(-) GGACAATGTGA >hg38_chr18:41321069-41321079(+) GAACAATGAGA >hg38_chr18:41321077-41321087(+) AGACAATAGGC >hg38_chr18:41372423-41372433(+) TGACAATAGCC >hg38_chr18:41373806-41373816(+) AGACAATAGGT >hg38_chr18:41373833-41373843(-) GCACAATGAAT >hg38_chr18:41391924-41391934(+) AGACAATAAAA >hg38_chr18:41464505-41464515(-) ctacaatagca >hg38_chr18:41483386-41483396(+) AGATAATGGCA >hg38_chr18:41508275-41508285(+) caacaatgaca >hg38_chr18:41508304-41508314(+) acataatggaa >hg38_chr18:41576835-41576845(+) AGACAAAGGAG >hg38_chr18:41580737-41580747(+) CTACAATGGCA >hg38_chr18:41580745-41580755(+) GCACAATGCCA >hg38_chr18:41597301-41597311(-) acacaatggaa >hg38_chr18:41627011-41627021(-) ggacaatcgtg >hg38_chr18:41659927-41659937(+) GAACAATGCAA >hg38_chr18:41679762-41679772(+) caacAATGCCC >hg38_chr18:41714708-41714718(+) TAACAATGGTT >hg38_chr18:41728599-41728609(-) gaacaatgtct >hg38_chr18:41750573-41750583(+) GTACTATGGCG >hg38_chr18:41750588-41750598(+) ACACAATAGTA >hg38_chr18:41786324-41786334(+) ATACAATTGTA >hg38_chr18:41786325-41786335(-) GTACAATTGTA >hg38_chr18:41786363-41786373(+) CTACAATGTTC >hg38_chr18:41804645-41804655(-) CCACAATGCAT >hg38_chr18:41845670-41845680(+) AAACAAAGGCA >hg38_chr18:41850207-41850217(+) TAACAATAAAA >hg38_chr18:41853455-41853465(+) acacaatgcca >hg38_chr18:41859627-41859637(-) acacaatgcct >hg38_chr18:41869928-41869938(+) GAACAATATGA >hg38_chr18:41871496-41871506(+) GCACAATGTAT >hg38_chr18:41892948-41892958(-) ATACAAAGGCA >hg38_chr18:41892956-41892966(-) GAACAATGATA >hg38_chr18:41897715-41897725(-) caacaatgcta >hg38_chr18:41911387-41911397(+) gaacaataaat >hg38_chr18:41911401-41911411(-) ggacaatgaac >hg38_chr18:41911428-41911438(-) taacaatgtaa >hg38_chr18:41964982-41964992(-) TTACAATGTTT >hg38_chr18:41969491-41969501(+) AGACAAAGGTT >hg38_chr18:41969502-41969512(-) AAACAATATAT >hg38_chr18:41971900-41971910(-) AAACAATGTAG >hg38_chr18:41974129-41974139(+) GGATAATGGAC >hg38_chr18:41976327-41976337(-) TGACAATGGTG >hg38_chr18:41983463-41983473(-) ACATAATGGAA >hg38_chr18:42007821-42007831(+) taataatggtg >hg38_chr18:42007867-42007877(-) ccacaatgagc >hg38_chr18:42018486-42018496(+) ATATAATGGCT >hg38_chr18:42033617-42033627(-) AAACAATCGCT >hg38_chr18:42048466-42048476(+) gaacaatacta >hg38_chr18:42050724-42050734(+) aaacaatatat >hg38_chr18:42053412-42053422(-) ATACAATCGCT >hg38_chr18:42055323-42055333(-) GCACAATGATG >hg38_chr18:42055364-42055374(-) TTACAATATAC >hg38_chr18:42071286-42071296(+) GGACAATAACA >hg38_chr18:42105667-42105677(-) AGACAATGAGC >hg38_chr18:42107463-42107473(-) ACACAATAGCA >hg38_chr18:42113912-42113922(+) AGACAAAGGAG >hg38_chr18:42137687-42137697(-) AGACAATGGAG >hg38_chr18:42155203-42155213(-) GAACAATGCCA >hg38_chr18:42159929-42159939(+) AAACAATGAGG >hg38_chr18:42208462-42208472(+) AAACAACGGAA >hg38_chr18:42218912-42218922(-) aaacaatagaa >hg38_chr18:42218963-42218973(+) gcacaatgagt >hg38_chr18:42221584-42221594(-) taacaataggc >hg38_chr18:42222760-42222770(+) tcacaatagtt >hg38_chr18:42230927-42230937(-) AGACAATAAAA >hg38_chr18:42231916-42231926(+) tgacaaaggaa >hg38_chr18:42233684-42233694(+) AAACAATAAAT >hg38_chr18:42242432-42242442(-) GCACAAAGGTC >hg38_chr18:42242480-42242490(+) ACACAATGGAA >hg38_chr18:42275225-42275235(+) GGACAATGGTG >hg38_chr18:42357387-42357397(+) GGACAAAGGAG >hg38_chr18:42375067-42375077(+) GGACAAAGGGT >hg38_chr18:42386782-42386792(-) ATACTATGGCA >hg38_chr18:42413086-42413096(-) AGACAATGGAT >hg38_chr18:42418073-42418083(-) ACACAATGGAG >hg38_chr18:42564972-42564982(-) GAACAATGGCA >hg38_chr18:42580670-42580680(-) taacaatagaa >hg38_chr18:42583492-42583502(-) CAACAATGGTG >hg38_chr18:42583503-42583513(+) AGACAATGTAC >hg38_chr18:42640372-42640382(-) CAACAATGGTG >hg38_chr18:42649912-42649922(+) agacaatggtg >hg38_chr18:42730423-42730433(-) aaacagtggga >hg38_chr18:42801894-42801904(+) gaacaatgtac >hg38_chr18:42817554-42817564(+) TTATAATGGAA >hg38_chr18:42821713-42821723(+) TTACAATGCAG >hg38_chr18:42907307-42907317(-) AAACAATCGCC >hg38_chr18:43097635-43097645(+) AAACAATAACT >hg38_chr18:43098599-43098609(+) TTACAATAACA >hg38_chr18:43098605-43098615(+) TAACAATAGCA >hg38_chr18:43228275-43228285(+) TTACAATGGCA >hg38_chr18:43242559-43242569(-) CTACAATGAGA >hg38_chr18:43242579-43242589(-) CGACAATAATG >hg38_chr18:43253523-43253533(-) ATACAATACTC >hg38_chr18:43382437-43382447(+) TGACAATGGGG >hg38_chr18:43396523-43396533(-) AAACAATGTCT >hg38_chr18:43571218-43571228(+) AAACAATGTGA >hg38_chr18:43599418-43599428(+) atacagtggta >hg38_chr18:43609609-43609619(+) GAACAAAGGAA >hg38_chr18:43611548-43611558(+) CAACAATGAAC >hg38_chr18:43628291-43628301(-) AAACAATGGCT >hg38_chr18:43662822-43662832(-) gaacaataaag >hg38_chr18:43669874-43669884(+) agacaatatta >hg38_chr18:43774701-43774711(-) gaacactggga >hg38_chr18:43774718-43774728(-) ggacaaagggc >hg38_chr18:43792850-43792860(-) TAACAATGGGG >hg38_chr18:43794057-43794067(+) CTACAATGGCC >hg38_chr18:43794085-43794095(-) TGACAATGAAG >hg38_chr18:43812087-43812097(-) ggacaatgggg >hg38_chr18:43812102-43812112(+) agacaatgaaa >hg38_chr18:43826075-43826085(+) GAACAATCGCA >hg38_chr18:43853101-43853111(-) CCACAATGAGG >hg38_chr18:43853140-43853150(-) AGACAATGGGG >hg38_chr18:43887557-43887567(-) ttacaatgaca >hg38_chr18:43902210-43902220(-) ctacaatgtgg >hg38_chr18:43934296-43934306(-) ATACAATAGTT >hg38_chr18:43955972-43955982(-) AGACAATGCTC >hg38_chr18:43956017-43956027(-) AAATAATGGTG >hg38_chr18:43956774-43956784(-) ACACAATAGTA >hg38_chr18:43956783-43956793(-) GAACAATAGAC >hg38_chr18:43964118-43964128(-) ACACAATGCCT >hg38_chr18:43966759-43966769(+) TTACAATGCCA >hg38_chr18:44011571-44011581(-) atacaatggaa >hg38_chr18:44036667-44036677(+) TCATAATGGAG >hg38_chr18:44036684-44036694(+) TAACAATGCGG >hg38_chr18:44049046-44049056(+) tcacaatggga >hg38_chr18:44149914-44149924(+) GAACAATGTAG >hg38_chr18:44149940-44149950(+) AGACAATGATA >hg38_chr18:44150182-44150192(-) AAACAATAACA >hg38_chr18:44152296-44152306(-) ggacaatggga >hg38_chr18:44171047-44171057(+) ACACAATGAAC >hg38_chr18:44200685-44200695(+) taacaatgatg >hg38_chr18:44393075-44393085(-) CCACAATGGTT >hg38_chr18:44404989-44404999(-) ggacaatggca >hg38_chr18:44436698-44436708(-) aaactatggaa >hg38_chr18:44437145-44437155(-) acataatggga >hg38_chr18:44449790-44449800(+) GGATAATGGCT >hg38_chr18:44482587-44482597(-) ACACAATATAT >hg38_chr18:44484756-44484766(+) taacactggag >hg38_chr18:44489832-44489842(-) taataatggga >hg38_chr18:44492474-44492484(+) tgacaatgccc >hg38_chr18:44492510-44492520(-) agacaataaaa >hg38_chr18:44547949-44547959(-) AAACAATGGAA >hg38_chr18:44548367-44548377(+) GTACAGTGGTT >hg38_chr18:44548420-44548430(+) ttacaatagcc >hg38_chr18:44565125-44565135(+) AAACaatgtct >hg38_chr18:44566318-44566328(+) AAACAATGAAT >hg38_chr18:44569806-44569816(+) ccacaatgaag >hg38_chr18:44579286-44579296(+) TTACAATAGGG >hg38_chr18:44582311-44582321(+) ACACAAAGGTT >hg38_chr18:44606677-44606687(-) TAACAAAGGGG >hg38_chr18:44638005-44638015(+) TAACAATGCTG >hg38_chr18:44638047-44638057(-) TTACAATGGTG >hg38_chr18:44642014-44642024(-) TAACAATGAAA >hg38_chr18:44648172-44648182(+) ACACAATGGCT >hg38_chr18:44673675-44673685(-) CAACAATGACT >hg38_chr18:44673712-44673722(-) GCACAATGTAA >hg38_chr18:44681172-44681182(-) ACACAATGCGT >hg38_chr18:44697066-44697076(-) ACACAATGGAC >hg38_chr18:44716096-44716106(-) GAACAAAGGGA >hg38_chr18:44728143-44728153(-) TCACAATGGAG >hg38_chr18:44742922-44742932(-) ACACAATGAGG >hg38_chr18:44743809-44743819(-) AGACAATGGCA >hg38_chr18:44751549-44751559(-) taacaataaaa >hg38_chr18:44767306-44767316(+) AAATAATGGCA >hg38_chr18:44784311-44784321(+) GGACAAAGGAT >hg38_chr18:44796645-44796655(-) AGACAATGCTG >hg38_chr18:44816000-44816010(+) GAACAATAGTG >hg38_chr18:44828116-44828126(-) gaacaatggca >hg38_chr18:44848708-44848718(+) TGACAATGCAT >hg38_chr18:44848734-44848744(-) TGACAATGCTC >hg38_chr18:44851686-44851696(-) GGACAATGAGA >hg38_chr18:44867920-44867930(-) TAACAATGTTT >hg38_chr18:44893067-44893077(-) taacaatggtt >hg38_chr18:44901298-44901308(-) GAACAAAGGAC >hg38_chr18:44946278-44946288(+) GAACAATGGGA >hg38_chr18:44991852-44991862(+) gaacaataata >hg38_chr18:44993039-44993049(+) gaacaaaggaa >hg38_chr18:45017030-45017040(-) AAACAAAGGAC >hg38_chr18:45051135-45051145(-) TTACAATGCGT >hg38_chr18:45127566-45127576(-) CCACAATAGAA >hg38_chr18:45197722-45197732(+) GAACAATGCGT >hg38_chr18:45211745-45211755(+) acaCAATGTAC >hg38_chr18:45237861-45237871(-) CCACAATGGAA >hg38_chr18:45237885-45237895(+) TGATAATGGTA >hg38_chr18:45326037-45326047(+) aaacaatggca >hg38_chr18:45363463-45363473(+) AGACAATGCCA >hg38_chr18:45370903-45370913(-) TGACAATGCAA >hg38_chr18:45382390-45382400(+) GGACAATGGGA >hg38_chr18:45382633-45382643(-) TAACAATAGCC >hg38_chr18:45402350-45402360(+) CGATAATAGAC >hg38_chr18:45415824-45415834(-) AGACAATGATG >hg38_chr18:45416602-45416612(-) CAACAATGATC >hg38_chr18:45416611-45416621(-) TAACAATAACA >hg38_chr18:45432076-45432086(+) AGACAATGCCT >hg38_chr18:45446210-45446220(-) taacaATGATC >hg38_chr18:45482195-45482205(+) gtacaataata >hg38_chr18:45482463-45482473(+) ctacaatgaag >hg38_chr18:45482804-45482814(+) atacaatggtg >hg38_chr18:45515456-45515466(+) gCACAAAGGAA >hg38_chr18:45515513-45515523(+) ACACAATGCTC >hg38_chr18:45541783-45541793(-) AGACAATGCAG >hg38_chr18:45571447-45571457(+) TGACAATGAGC >hg38_chr18:45686077-45686087(-) TAACAAAGGCT >hg38_chr18:45740928-45740938(-) GAACACTGGGA >hg38_chr18:45805764-45805774(+) tcacaatgatt >hg38_chr18:45817955-45817965(-) aaacaatgaaa >hg38_chr18:45876281-45876291(+) GCACAATGCTT >hg38_chr18:45885872-45885882(+) gtacaaaggac >hg38_chr18:45903822-45903832(-) ACACAATGGTG >hg38_chr18:45910488-45910498(+) CAACAATGTAG >hg38_chr18:45931416-45931426(+) TCACAAAGGAT >hg38_chr18:45993618-45993628(-) CAACAATGTGT >hg38_chr18:46005431-46005441(-) GGATAATGGTG >hg38_chr18:46005448-46005458(+) AGACAATAAAA >hg38_chr18:46042618-46042628(-) GCACAATAGGC >hg38_chr18:46042652-46042662(+) GAACAATGAAA >hg38_chr18:46053910-46053920(+) caacaatgggg >hg38_chr18:46104868-46104878(+) TAATAATGCGT >hg38_chr18:46104879-46104889(-) TAATAATGCGA >hg38_chr18:46115071-46115081(+) aaacaatagcc >hg38_chr18:46166795-46166805(+) taacaaaggac >hg38_chr18:46199685-46199695(-) caacaatgtat >hg38_chr18:46224623-46224633(-) GAACAATAAGA >hg38_chr18:46238795-46238805(+) ACACAATGGAT >hg38_chr18:46261878-46261888(-) TAACAATGGAC >hg38_chr18:46266650-46266660(-) ATACAATGGCT >hg38_chr18:46334030-46334040(-) ACACAAAGgcg >hg38_chr18:46335875-46335885(-) ACACAATGAAG >hg38_chr18:46337498-46337508(-) GTACAATGAGC >hg38_chr18:46338507-46338517(-) AGACAATGGCT >hg38_chr18:46363086-46363096(-) agacaatgggg >hg38_chr18:46373944-46373954(+) CGACAAAGGGG >hg38_chr18:46431397-46431407(+) atacagtggcc >hg38_chr18:46433699-46433709(-) AAACAATAGCT >hg38_chr18:46443632-46443642(-) aaacaataggt >hg38_chr18:46458368-46458378(-) GCACAAAGGAA >hg38_chr18:46475160-46475170(+) ccacaatagca >hg38_chr18:46497282-46497292(+) CAACAATAGTA >hg38_chr18:46565419-46565429(-) ggataatgcga >hg38_chr18:46565430-46565440(-) agacaataata >hg38_chr18:46676703-46676713(+) GCACAAAGGAA >hg38_chr18:46761243-46761253(-) AAACAATGATG >hg38_chr18:46774139-46774149(-) tcacaaaggaa >hg38_chr18:46775795-46775805(+) tatcaatggta >hg38_chr18:46810642-46810652(+) AGACAATGTAG >hg38_chr18:46817254-46817264(+) TGACAATGGTG >hg38_chr18:46866326-46866336(+) gaacaatgcaa >hg38_chr18:46883270-46883280(+) GAACAATGAAG >hg38_chr18:46899389-46899399(+) agacaaaggca >hg38_chr18:46917930-46917940(-) GAACAATAGCT >hg38_chr18:46943989-46943999(-) agacaataggc >hg38_chr18:47037307-47037317(+) TGATAATGGAG >hg38_chr18:47037329-47037339(+) GCACAATAGCC >hg38_chr18:47074128-47074138(-) ATACAATGGAG >hg38_chr18:47074144-47074154(-) TCACAATGCCT >hg38_chr18:47074170-47074180(-) GAACAATGGCA >hg38_chr18:47074863-47074873(-) GAACAATGGGA >hg38_chr18:47096056-47096066(-) TAACAATGACC >hg38_chr18:47136047-47136057(-) CTACAATGTGG >hg38_chr18:47155291-47155301(+) CCACAATGTGC >hg38_chr18:47162483-47162493(-) ctacaatggct >hg38_chr18:47168605-47168615(-) taacaatgaaa >hg38_chr18:47168623-47168633(-) atataatggaa >hg38_chr18:47169771-47169781(-) ttacaaaggaa >hg38_chr18:47187426-47187436(+) TAACAAAGGGA >hg38_chr18:47195671-47195681(+) ggacaaaggag >hg38_chr18:47200907-47200917(-) aaacaatgttt >hg38_chr18:47253246-47253256(-) TGACAATGGCG >hg38_chr18:47354406-47354416(+) aaacaatgggt >hg38_chr18:47366614-47366624(-) atacactggtt >hg38_chr18:47369017-47369027(-) acacaatgtct >hg38_chr18:47387697-47387707(+) taacaatgtag >hg38_chr18:47404945-47404955(-) ACACAAAGGAC >hg38_chr18:47404978-47404988(+) TTACAATGCAG >hg38_chr18:47409761-47409771(+) AAAcaatggtt >hg38_chr18:47436116-47436126(-) gcacagtggta >hg38_chr18:47436146-47436156(+) gaacaatgtcg >hg38_chr18:47568206-47568216(+) TAACAATATCA >hg38_chr18:47568215-47568225(-) GAACAATAGTG >hg38_chr18:47577594-47577604(+) GGACAATAAGA >hg38_chr18:47618121-47618131(+) AGACAAAGGAG >hg38_chr18:47680144-47680154(+) gtacaatggct >hg38_chr18:47741070-47741080(+) taacaatgatg >hg38_chr18:47741284-47741294(+) taacaatatct >hg38_chr18:47788525-47788535(+) aaacactggcg >hg38_chr18:47831655-47831665(-) GAACAATAGAC >hg38_chr18:47875093-47875103(+) ACACAATGTCC >hg38_chr18:47899002-47899012(-) ctacaaAGGAT >hg38_chr18:47926711-47926721(-) agacaatgaac >hg38_chr18:47926738-47926748(+) TTACAATAGGT >hg38_chr18:47928429-47928439(+) TTACAATGTGA >hg38_chr18:47935481-47935491(+) GAACAATATAC >hg38_chr18:47942386-47942396(-) TAACAATGTGG >hg38_chr18:47975272-47975282(-) gaacaataGag >hg38_chr18:47975292-47975302(-) taacaatgtaa >hg38_chr18:47996264-47996274(+) TCACAATAGAA >hg38_chr18:47996307-47996317(+) GAACAAAGGAT >hg38_chr18:47996318-47996328(+) GGACAATAGCA >hg38_chr18:48013448-48013458(-) agacaaagggc >hg38_chr18:48013821-48013831(+) agacaatgttt >hg38_chr18:48023422-48023432(-) TAACAATAGGA >hg38_chr18:48073480-48073490(+) AAACAATGCAA >hg38_chr18:48080448-48080458(+) AAACAATGCTC >hg38_chr18:48110122-48110132(-) TGACAATGGTC >hg38_chr18:48110135-48110145(-) GCACAATGGGT >hg38_chr18:48186443-48186453(+) ACACAATGGTA >hg38_chr18:48259326-48259336(+) aaacaatgaga >hg38_chr18:48267415-48267425(+) GCACAAAGGGA >hg38_chr18:48285060-48285070(-) taacaatgaac >hg38_chr18:48285419-48285429(-) taacaatgtag >hg38_chr18:48307119-48307129(+) CAACAATAGGC >hg38_chr18:48308318-48308328(-) GAACAATAGCT >hg38_chr18:48314884-48314894(-) AAACAATGCCT >hg38_chr18:48318672-48318682(-) GAACAATCGCC >hg38_chr18:48318691-48318701(-) AAACAATAGAT >hg38_chr18:48340911-48340921(-) AAAGAATGGCG >hg38_chr18:48363054-48363064(+) aaacaatgggg >hg38_chr18:48393945-48393955(+) ACACAATGAAG >hg38_chr18:48410413-48410423(+) CAACAATGCCA >hg38_chr18:48445635-48445645(-) ACACAATAGCA >hg38_chr18:48475965-48475975(-) GCACAATGAGG >hg38_chr18:48503133-48503143(-) gtacaatgatg >hg38_chr18:48503152-48503162(+) agataatggct >hg38_chr18:48504235-48504245(-) acataatggct >hg38_chr18:48540508-48540518(+) AGACAATCGGG >hg38_chr18:48545825-48545835(+) AGACAATGAGA >hg38_chr18:48545849-48545859(-) TGACAATGGGA >hg38_chr18:48545864-48545874(-) TGACAAAGGAT >hg38_chr18:48607341-48607351(-) ACACAATGCCT >hg38_chr18:48614505-48614515(-) tcacaatagta >hg38_chr18:48655801-48655811(+) CAACAATAGAG >hg38_chr18:48669350-48669360(+) TAATaatagta >hg38_chr18:48680822-48680832(-) GAATAATGGAT >hg38_chr18:48687510-48687520(-) GGACAATAGAG >hg38_chr18:48709822-48709832(-) CCACAATAGGC >hg38_chr18:48808991-48809001(+) tcacaatgctg >hg38_chr18:48825443-48825453(+) CAACAATGAGA >hg38_chr18:48825497-48825507(-) AAACAATGTGA >hg38_chr18:48827199-48827209(+) GAACAAAGGAG >hg38_chr18:48827230-48827240(+) AAACAATGAGA >hg38_chr18:48842668-48842678(+) ttactatggga >hg38_chr18:48848880-48848890(+) AGACAATAGGA >hg38_chr18:48851023-48851033(+) GCACAATGTGG >hg38_chr18:48860067-48860077(+) GGACAAAGGCA >hg38_chr18:48929197-48929207(-) TAACAGTGGGA >hg38_chr18:48960661-48960671(+) AAACAATGTAA >hg38_chr18:48961088-48961098(+) gcacaatggta >hg38_chr18:48968583-48968593(+) TCACAATATAC >hg38_chr18:48983911-48983921(-) TGACAAtggtg >hg38_chr18:49071411-49071421(+) ATACAATTGAA >hg38_chr18:49071429-49071439(+) ACACAATGCTC >hg38_chr18:49082818-49082828(+) gcacaatgctg >hg38_chr18:49083795-49083805(-) atacaatatta >hg38_chr18:49098570-49098580(+) GAACAAAGGCA >hg38_chr18:49117809-49117819(-) agacaaaggag >hg38_chr18:49141120-49141130(-) gaacaatggga >hg38_chr18:49158015-49158025(+) tgacaatgaca >hg38_chr18:49158862-49158872(+) taacaataatt >hg38_chr18:49158870-49158880(-) gtacaataaat >hg38_chr18:49159114-49159124(+) GTACAAAGGGG >hg38_chr18:49159153-49159163(-) GTACAATGTTT >hg38_chr18:49165153-49165163(+) gaacaatatta >hg38_chr18:49182512-49182522(-) taacaatgaag >hg38_chr18:49190594-49190604(+) AAATAATGGTA >hg38_chr18:49200955-49200965(-) AAAGAATGGCG >hg38_chr18:49200969-49200979(-) ATACAATGCAA >hg38_chr18:49230977-49230987(-) AAATAATGGAC >hg38_chr18:49251091-49251101(-) tgacaatacgt >hg38_chr18:49322047-49322057(+) TCACAATGAAT >hg38_chr18:49346269-49346279(+) agacaatggga >hg38_chr18:49364082-49364092(-) tgacaatggtg >hg38_chr18:49400877-49400887(+) GCACAATGCTG >hg38_chr18:49412104-49412114(+) AGACAATCGTA >hg38_chr18:49431614-49431624(-) GTATAATGTAT >hg38_chr18:49431641-49431651(+) TCACAATGAAA >hg38_chr18:49481536-49481546(+) caacaatagta >hg38_chr18:49487296-49487306(+) CGACAAAGGCG >hg38_chr18:49500716-49500726(+) GTACAATGCTG >hg38_chr18:49516010-49516020(+) TGACAATGGGA >hg38_chr18:49521178-49521188(+) ATACAATGTGA >hg38_chr18:49521191-49521201(-) GCACAATATAT >hg38_chr18:49524695-49524705(-) agACAATGGGA >hg38_chr18:49548267-49548277(+) GTACAATTGAA >hg38_chr18:49565707-49565717(+) ATACAACGGGC >hg38_chr18:49573154-49573164(-) GAACAAAGGTC >hg38_chr18:49619286-49619296(-) AAACAATAAAA >hg38_chr18:49621831-49621841(-) TTACAAAGTCG >hg38_chr18:49669071-49669081(-) GCACAATAGGT >hg38_chr18:49669372-49669382(+) AAACAAAGGAC >hg38_chr18:49669384-49669394(-) TGACAATGGTG >hg38_chr18:49698326-49698336(+) AGACAAAGGAT >hg38_chr18:49723936-49723946(-) GAACAATGCTC >hg38_chr18:49754374-49754384(+) atacaataaca >hg38_chr18:49755068-49755078(-) AAACAAAGGCT >hg38_chr18:49788679-49788689(+) GGACAATGTTC >hg38_chr18:49788691-49788701(-) GTACAGTGGTC >hg38_chr18:49795978-49795988(-) GTACAATATTT >hg38_chr18:49796351-49796361(-) AAACAGTGGAA >hg38_chr18:49892191-49892201(+) ACACAATGCAC >hg38_chr18:49921487-49921497(+) TAACAATGGAC >hg38_chr18:50079901-50079911(+) GCACAATGGTG >hg38_chr18:50081509-50081519(-) TCACAATGAAG >hg38_chr18:50092406-50092416(+) GCATAATGGAG >hg38_chr18:50158955-50158965(-) TAATAATGATA >hg38_chr18:50190337-50190347(-) AGACAATGTGG >hg38_chr18:50215097-50215107(+) acacaatgaaa >hg38_chr18:50239606-50239616(+) aaacaatataa >hg38_chr18:50279390-50279400(-) ttacaatgctg >hg38_chr18:50580949-50580959(-) GGACAATGGAA >hg38_chr18:50620027-50620037(+) CAACAATAGGC >hg38_chr18:50644982-50644992(+) GAATAATGGAT >hg38_chr18:50690513-50690523(+) TGACAAAGGGA >hg38_chr18:50706681-50706691(-) TGACAATGGAC >hg38_chr18:50780534-50780544(-) gaacagtgggt >hg38_chr18:50801471-50801481(+) AAACAAAGGCC >hg38_chr18:50801523-50801533(+) AAACAATAAGA >hg38_chr18:50809457-50809467(-) CAACAATGTTT >hg38_chr18:50809467-50809477(+) GTACAATGCAT >hg38_chr18:50809472-50809482(-) GCACAATGCAT >hg38_chr18:50820551-50820561(-) TAACAATAAGT >hg38_chr18:50907701-50907711(+) aaacaatgcta >hg38_chr18:50908372-50908382(-) TTACAATAGTG >hg38_chr18:50914502-50914512(-) AAACAATATAC >hg38_chr18:50914518-50914528(-) GCACAATGCAT >hg38_chr18:50921991-50922001(+) ACACAATGCTG >hg38_chr18:50922028-50922038(+) ATATAATGGTA >hg38_chr18:50968033-50968043(-) CTACAATGCGC >hg38_chr18:50992607-50992617(-) tgacaaaggac >hg38_chr18:51007668-51007678(-) gtacaattgaa >hg38_chr18:51007675-51007685(+) gtacaaaggat >hg38_chr18:51010982-51010992(-) TAATAATGTAA >hg38_chr18:51017306-51017316(-) AAACAAAGGCC >hg38_chr18:51052886-51052896(+) gtacaatagtg >hg38_chr18:51061619-51061629(-) gtacaatgggg >hg38_chr18:51064388-51064398(-) taacaatgaac >hg38_chr18:51065652-51065662(-) AGACAATGTAC >hg38_chr18:51065794-51065804(+) ATATAATGATA >hg38_chr18:51071691-51071701(-) gcacaatgttg >hg38_chr18:51083188-51083198(-) AGACAACGGTA >hg38_chr18:51101018-51101028(+) GAACAAAGGCT >hg38_chr18:51161800-51161810(+) ATACAATAAGC >hg38_chr18:51165047-51165057(+) GAACAATGGAA >hg38_chr18:51168266-51168276(-) acacaatgaga >hg38_chr18:51193813-51193823(+) AAACACTGGAA >hg38_chr18:51195599-51195609(-) TGACAATGTGG >hg38_chr18:51195763-51195773(-) AGACAAAGGAC >hg38_chr18:51195774-51195784(+) GAACAATGTGG >hg38_chr18:51198170-51198180(-) AAACAATGCTC >hg38_chr18:51216627-51216637(+) CTACAATGCAT >hg38_chr18:51216632-51216642(-) AAACAATGCAT >hg38_chr18:51236308-51236318(+) AGACAATGGGC >hg38_chr18:51275023-51275033(+) ttacagtggga >hg38_chr18:51288091-51288101(-) GGACAAAGGAG >hg38_chr18:51406304-51406314(-) TGACAATAGCT >hg38_chr18:51453735-51453745(+) atacaatgtta >hg38_chr18:51569398-51569408(-) ATACAAAGGCT >hg38_chr18:51592176-51592186(-) ttacaatgtca >hg38_chr18:51605896-51605906(-) tcacaatgaaa >hg38_chr18:51621257-51621267(+) CAACAATGAAC >hg38_chr18:51654963-51654973(-) TCACAATGGGG >hg38_chr18:51655015-51655025(-) AAACAATGAAA >hg38_chr18:51979157-51979167(+) atacaatgttg >hg38_chr18:52056019-52056029(-) tgacaatgcag >hg38_chr18:52109145-52109155(-) AAACAATGCTA >hg38_chr18:52188991-52189001(-) GTACAATGATC >hg38_chr18:52189056-52189066(-) GCACAATAGAT >hg38_chr18:52190795-52190805(+) CCACAATAGGA >hg38_chr18:52190804-52190814(+) GAACAATGAAT >hg38_chr18:52200149-52200159(-) gaacaatatat >hg38_chr18:52200620-52200630(-) ACACAATGACT >hg38_chr18:52207294-52207304(-) ACACAATGGCT >hg38_chr18:52252715-52252725(-) TAACAATAGAC >hg38_chr18:52312442-52312452(-) CTACAATAGAG >hg38_chr18:52319716-52319726(+) GCACAATAGAG >hg38_chr18:52343379-52343389(+) TAACAAAGGAT >hg38_chr18:52343401-52343411(+) GCACAATAGCA >hg38_chr18:52452698-52452708(+) GTACAATAAGC >hg38_chr18:52454841-52454851(-) AAACTATGGAA >hg38_chr18:52454848-52454858(-) AGACAATAAAC >hg38_chr18:52454863-52454873(-) TTACAATGGAA >hg38_chr18:52465489-52465499(+) GCACAATAGCC >hg38_chr18:52465562-52465572(+) TCACAATAGAT >hg38_chr18:52503729-52503739(-) TTATAATGGAG >hg38_chr18:52504754-52504764(-) GCACAAAGGTA >hg38_chr18:52537656-52537666(+) AAACAATGACG >hg38_chr18:52667816-52667826(+) AGACAAAGGAG >hg38_chr18:52667878-52667888(+) GAACAATGGAA >hg38_chr18:52667903-52667913(+) GAACAATGCCA >hg38_chr18:52671197-52671207(+) AGACAATGGAT >hg38_chr18:52677502-52677512(-) aaacaataata >hg38_chr18:52677511-52677521(-) taacaatagaa >hg38_chr18:52678059-52678069(+) TAACAATAATG >hg38_chr18:52678062-52678072(+) CAATAATGGAG >hg38_chr18:52682422-52682432(+) gaacaatggga >hg38_chr18:52687851-52687861(+) TAACAATGGAT >hg38_chr18:52713242-52713252(+) GGACAATGTTT >hg38_chr18:52713272-52713282(+) TCACAATGCAG >hg38_chr18:52728222-52728232(-) tcacaatagtt >hg38_chr18:52748507-52748517(-) acacaaaggag >hg38_chr18:52955150-52955160(-) ctataatggat >hg38_chr18:52955176-52955186(-) agacaatgaaa >hg38_chr18:52955192-52955202(-) gcacaaaggat >hg38_chr18:53071127-53071137(+) AGACAATGACC >hg38_chr18:53126443-53126453(-) TCACAATGAAA >hg38_chr18:53148432-53148442(+) AAACAATGACT >hg38_chr18:53268596-53268606(-) TAACAAAGGGA >hg38_chr18:53288287-53288297(-) TAACAATAGAT >hg38_chr18:53288318-53288328(-) GAACAATAGTT >hg38_chr18:53288333-53288343(+) GGACAATGGCA >hg38_chr18:53362877-53362887(+) GAACAATGGAA >hg38_chr18:53362903-53362913(+) TAATAATGGTA >hg38_chr18:53442088-53442098(-) TAACAATGAGA >hg38_chr18:53460042-53460052(+) ATACAAAGGGA >hg38_chr18:53472187-53472197(-) TAACAATAGTG >hg38_chr18:53472202-53472212(-) TAACAATGAGA >hg38_chr18:53521176-53521186(+) AGACAATGTCT >hg38_chr18:53579968-53579978(-) AGACAAAGGTC >hg38_chr18:53704713-53704723(-) aaataatggct >hg38_chr18:53705398-53705408(-) AAACAAAGGAA >hg38_chr18:53716492-53716502(+) GCACAATGAAA >hg38_chr18:53759500-53759510(-) AGACAAAGGAC >hg38_chr18:53786121-53786131(+) ggacaatagtc >hg38_chr18:53793075-53793085(-) gtacagtggac >hg38_chr18:53935835-53935845(-) agacaatgagt >hg38_chr18:53952746-53952756(+) agacaatgtaa >hg38_chr18:54016621-54016631(+) GAACAATGTTT >hg38_chr18:54017802-54017812(+) ATACAATATGT >hg38_chr18:54019364-54019374(+) aaacaatagcc >hg38_chr18:54023523-54023533(-) GGACAAAGGAT >hg38_chr18:54031286-54031296(+) acacaatggat >hg38_chr18:54076059-54076069(+) atacaataatc >hg38_chr18:54127996-54128006(+) TCACAATGTGC >hg38_chr18:54130895-54130905(-) GAACAATAGTA >hg38_chr18:54141509-54141519(+) ACACAATGGTT >hg38_chr18:54145414-54145424(-) tcacaatgagg >hg38_chr18:54185589-54185599(-) TAACAATGCCT >hg38_chr18:54220963-54220973(+) AGACAATGCTC >hg38_chr18:54224905-54224915(-) ACATAATGGTG >hg38_chr18:54247174-54247184(-) CCACAATAGCC >hg38_chr18:54274915-54274925(+) taacaaaggac >hg38_chr18:54274922-54274932(+) ggacaaaggat >hg38_chr18:54275462-54275472(+) gtataatggat >hg38_chr18:54320983-54320993(-) aaataatggca >hg38_chr18:54321049-54321059(-) acacaataaaa >hg38_chr18:54339796-54339806(+) taacaatagta >hg38_chr18:54360045-54360055(-) GAACAATGGAG >hg38_chr18:54360848-54360858(+) AAACAATGGTA >hg38_chr18:54360919-54360929(-) TTACAATACTA >hg38_chr18:54382937-54382947(+) ggacaaaggag >hg38_chr18:54395750-54395760(-) acacaatgatt >hg38_chr18:54457462-54457472(-) CTACAAAGGTC >hg38_chr18:54468516-54468526(+) GTACAATGTAG >hg38_chr18:54492830-54492840(-) ttacaatataa >hg38_chr18:54492852-54492862(+) agacaataaac >hg38_chr18:54529664-54529674(+) gaacaatgaag >hg38_chr18:54562079-54562089(+) ATACAATGCAT >hg38_chr18:54567427-54567437(+) CTATAATGGAT >hg38_chr18:54671444-54671454(-) TGACAATGACT >hg38_chr18:54703663-54703673(+) aaacaatgagc >hg38_chr18:54703683-54703693(-) aaacaatggct >hg38_chr18:54703711-54703721(-) ttacaaagggg >hg38_chr18:54706087-54706097(-) agacaatgaag >hg38_chr18:54740284-54740294(-) GGACAATGTCT >hg38_chr18:54750446-54750456(-) TGACAATGAAa >hg38_chr18:54760775-54760785(+) CAACAATGAGG >hg38_chr18:54774788-54774798(-) ggataatggga >hg38_chr18:54782181-54782191(+) GCACAATGTGC >hg38_chr18:54814047-54814057(-) GCACAATGCAT >hg38_chr18:54814086-54814096(+) TTACAATAACA >hg38_chr18:54839379-54839389(-) GCACAAAGGTC >hg38_chr18:54845063-54845073(+) TCACAATGCCC >hg38_chr18:54853685-54853695(+) ATACAGTGGGG >hg38_chr18:54880923-54880933(+) AAACAATGCCT >hg38_chr18:54937667-54937677(+) acacaatgtta >hg38_chr18:54957602-54957612(+) AAACAATGTac >hg38_chr18:55001762-55001772(-) GAACAATGCAT >hg38_chr18:55027240-55027250(+) AGACAATATTA >hg38_chr18:55027258-55027268(+) GAATAATGGCC >hg38_chr18:55027501-55027511(-) agacaatggac >hg38_chr18:55027535-55027545(-) GCACAATGCTG >hg38_chr18:55040565-55040575(+) gaacaaagggc >hg38_chr18:55054802-55054812(-) GCATAATGGAG >hg38_chr18:55084387-55084397(+) CTATAATGGCA >hg38_chr18:55189234-55189244(-) agacaatgcaa >hg38_chr18:55189247-55189257(-) tgacaaaggtc >hg38_chr18:55189277-55189287(+) tgacaatgccc >hg38_chr18:55192723-55192733(+) TCACAATGTTA >hg38_chr18:55224400-55224410(-) ACACAATGGGG >hg38_chr18:55225210-55225220(+) CAACAATAGAG >hg38_chr18:55225259-55225269(+) GAACAATAAAT >hg38_chr18:55247520-55247530(-) taacaatatcc >hg38_chr18:55262213-55262223(+) AAACACTGGTA >hg38_chr18:55272431-55272441(+) CTACAAAGGAC >hg38_chr18:55273434-55273444(-) AAATAATGGTA >hg38_chr18:55275394-55275404(+) ACACAATAAAC >hg38_chr18:55275409-55275419(+) GAACAATGCCA >hg38_chr18:55275438-55275448(+) TTACAAAGGTG >hg38_chr18:55301984-55301994(+) TCACAATGGTA >hg38_chr18:55314214-55314224(+) ACACAAAGGAA >hg38_chr18:55314959-55314969(-) TAACAATGGAG >hg38_chr18:55314996-55315006(+) CTACAATGGAA >hg38_chr18:55321675-55321685(-) CTATAATGGGA >hg38_chr18:55322363-55322373(-) GTACAATGCTG >hg38_chr18:55350855-55350865(+) AAACAAAGGAA >hg38_chr18:55353634-55353644(+) GAACAATGACC >hg38_chr18:55353648-55353658(-) AGACAATAGTA >hg38_chr18:55365535-55365545(+) CAACAATGGAC >hg38_chr18:55402825-55402835(-) ATACAAAGGTA >hg38_chr18:55407416-55407426(+) AGACAAAGGAG >hg38_chr18:55413957-55413967(+) caacaatgcac >hg38_chr18:55414015-55414025(+) acacaatgcta >hg38_chr18:55414040-55414050(+) atataatggga >hg38_chr18:55427623-55427633(-) caacaatagca >hg38_chr18:55459059-55459069(+) GAACAGTGGGT >hg38_chr18:55461551-55461561(+) AAACAATAACA >hg38_chr18:55465200-55465210(-) ACACAATAAAT >hg38_chr18:55488764-55488774(-) GAACAATAACA >hg38_chr18:55494597-55494607(+) GGACAATAATA >hg38_chr18:55494784-55494794(-) GTACAATGTTC >hg38_chr18:55513438-55513448(+) CCACAATGACT >hg38_chr18:55524852-55524862(+) gaacaatggtc >hg38_chr18:55540777-55540787(-) AAACAATAGTT >hg38_chr18:55541164-55541174(-) GTATAATGAAA >hg38_chr18:55547524-55547534(-) ACACAATGAGT >hg38_chr18:55567800-55567810(+) acacaatggaa >hg38_chr18:55578781-55578791(+) CAACAATGGAT >hg38_chr18:55584587-55584597(-) TCACAAAGGAA >hg38_chr18:55589840-55589850(+) AGACAATGACT >hg38_chr18:55608646-55608656(+) gaataatggtg >hg38_chr18:55630965-55630975(+) gcacaatgcaa >hg38_chr18:55634686-55634696(+) tgacaatggtg >hg38_chr18:55642424-55642434(+) caacaatgagc >hg38_chr18:55684423-55684433(+) TAACAATGGGT >hg38_chr18:55707086-55707096(+) caacaatgtat >hg38_chr18:55707742-55707752(-) tgacaaaggta >hg38_chr18:55732420-55732430(+) AGACAATAGCA >hg38_chr18:55732430-55732440(+) AAACAATAATA >hg38_chr18:55735598-55735608(-) aaacaatagta >hg38_chr18:55735651-55735661(+) gaacaatgctg >hg38_chr18:55764003-55764013(-) GGACAATGCAA >hg38_chr18:55776946-55776956(+) ttacaatagca >hg38_chr18:55776979-55776989(+) caacaatagag >hg38_chr18:55776994-55777004(-) aaacaatagag >hg38_chr18:55779401-55779411(+) ATACAATGAGC >hg38_chr18:55790436-55790446(+) GAACAAAGGCT >hg38_chr18:55822451-55822461(+) CAACAATAGGC >hg38_chr18:55829468-55829478(+) ttacaatgaat >hg38_chr18:55845818-55845828(-) GGACAATAGCT >hg38_chr18:55854820-55854830(-) GCACAATGGTG >hg38_chr18:55861510-55861520(-) AAACAATAGTT >hg38_chr18:55908998-55909008(-) GTACAATGCTA >hg38_chr18:55921712-55921722(-) CAACAATGTGC >hg38_chr18:55940552-55940562(-) CCACAATGATG >hg38_chr18:55964453-55964463(+) GTACAATGACC >hg38_chr18:55964461-55964471(-) AGACAATAGGT >hg38_chr18:55999648-55999658(+) AAACAATGGGC >hg38_chr18:55999670-55999680(+) TGACAATAGAA >hg38_chr18:56000282-56000292(+) caacaatgggt >hg38_chr18:56003191-56003201(+) AGACAATGAAG >hg38_chr18:56004349-56004359(-) GTACAATATGC >hg38_chr18:56004383-56004393(-) CAACAATGGTT >hg38_chr18:56017351-56017361(+) ATATAATGGGG >hg38_chr18:56038780-56038790(-) CAACAATGGTT >hg38_chr18:56043739-56043749(+) aaacaatgggg >hg38_chr18:56059336-56059346(-) TAACAATAATA >hg38_chr18:56061217-56061227(-) GAACTATGGTG >hg38_chr18:56061293-56061303(+) agacaatggaa >hg38_chr18:56068794-56068804(-) ACACAATAGCA >hg38_chr18:56084091-56084101(+) GAACAAAGGCC >hg38_chr18:56100597-56100607(+) TGACAAAGGGA >hg38_chr18:56113565-56113575(-) GGATAATGGTG >hg38_chr18:56153471-56153481(-) TCATAATGGAA >hg38_chr18:56189152-56189162(-) GGACAATGACT >hg38_chr18:56252714-56252724(+) gaacaatgaga >hg38_chr18:56272157-56272167(-) agacaatgact >hg38_chr18:56276893-56276903(-) AAACAATGCAA >hg38_chr18:56407970-56407980(-) TTACAATAACA >hg38_chr18:56413984-56413994(+) aaacaataggg >hg38_chr18:56422337-56422347(-) TGACAATAGAA >hg38_chr18:56423939-56423949(-) AGACAATGCAA >hg38_chr18:56436885-56436895(+) GAACAATGCTG >hg38_chr18:56449348-56449358(-) TAACAGTGGGA >hg38_chr18:56484492-56484502(-) gtacaatagag >hg38_chr18:56498040-56498050(-) TAACAAAGGAG >hg38_chr18:56498753-56498763(-) gaacaataaaa >hg38_chr18:56499715-56499725(-) AAACAATGTTG >hg38_chr18:56541925-56541935(+) GAACAATGTGG >hg38_chr18:56628641-56628651(+) agacaatggtt >hg38_chr18:56651230-56651240(-) TTACGATGGAG >hg38_chr18:56711983-56711993(+) AGACAATAGAA >hg38_chr18:56715977-56715987(-) ATACAATGGAT >hg38_chr18:56723038-56723048(-) ACACTATGGAA >hg38_chr18:56727086-56727096(+) AGACAATGGAT >hg38_chr18:56727111-56727121(+) AGACAATGGAC >hg38_chr18:56727148-56727158(-) aaacaatgctt >hg38_chr18:56733039-56733049(-) ACATAATGGAC >hg38_chr18:56737796-56737806(-) ACACAATGTCA >hg38_chr18:56749761-56749771(-) AAACAATGGCA >hg38_chr18:56775847-56775857(+) AGACAATGCTT >hg38_chr18:56787536-56787546(-) GAACAAAGGAT >hg38_chr18:56797832-56797842(-) GAACAATGCTG >hg38_chr18:56809714-56809724(-) ATACAATGCTA >hg38_chr18:56827336-56827346(+) tcacaatggag >hg38_chr18:56838189-56838199(-) CAACAATGACA >hg38_chr18:56869346-56869356(-) ACACAATAGGT >hg38_chr18:56874005-56874015(-) TAACAATAGTT >hg38_chr18:56909992-56910002(-) AAACAATGGGA >hg38_chr18:56919454-56919464(-) AAACAATGAGT >hg38_chr18:56935644-56935654(-) CAACAATGGGC >hg38_chr18:56935658-56935668(-) ATATAATGGAC >hg38_chr18:56959039-56959049(+) aaataatgggt >hg38_chr18:56972604-56972614(+) AGACAATGGCT >hg38_chr18:56983739-56983749(+) AAACAATATAG >hg38_chr18:56986976-56986986(-) TAACAATAGGT >hg38_chr18:56986990-56987000(-) GAACAATGTTT >hg38_chr18:56995855-56995865(-) TGACAATGCCT >hg38_chr18:56995904-56995914(-) GCACAATGGAC >hg38_chr18:57017178-57017188(+) AGACAATGGCA >hg38_chr18:57031178-57031188(-) TTACAATGCCA >hg38_chr18:57063273-57063283(-) AAACAATGGAG >hg38_chr18:57075920-57075930(+) TAACAATGCTC >hg38_chr18:57085526-57085536(+) cgataatgatc >hg38_chr18:57107302-57107312(+) GTACCATGGAC >hg38_chr18:57110497-57110507(-) CTACAATGACA >hg38_chr18:57147034-57147044(+) tcACAATGCAA >hg38_chr18:57154394-57154404(+) gcataatggga >hg38_chr18:57221050-57221060(-) CAACAATCGAT >hg38_chr18:57234141-57234151(-) CTACAATGCCA >hg38_chr18:57249238-57249248(+) ACACAAAGGAG >hg38_chr18:57257246-57257256(-) GAACAATGGGT >hg38_chr18:57257258-57257268(+) AAACaaaggca >hg38_chr18:57277144-57277154(-) GTACAATGCCA >hg38_chr18:57317797-57317807(-) ccacaatgaaa >hg38_chr18:57318063-57318073(-) atacaataata >hg38_chr18:57318068-57318078(-) taacaatacaa >hg38_chr18:57429749-57429759(+) GAACAAAGGGA >hg38_chr18:57438052-57438062(-) GAACAATAGAG >hg38_chr18:57454176-57454186(+) taacaataggt >hg38_chr18:57454185-57454195(-) ttacaatgtac >hg38_chr18:57498558-57498568(-) CAACAATGGCA >hg38_chr18:57505721-57505731(-) TAACAATATTA >hg38_chr18:57520886-57520896(+) aaacaataaac >hg38_chr18:57561639-57561649(-) AAACGATGGAA >hg38_chr18:57585522-57585532(+) AAACAATGCCC >hg38_chr18:57585529-57585539(-) GGACAAAGGGC >hg38_chr18:57585562-57585572(-) GTACAATGAGG >hg38_chr18:57585569-57585579(+) GTACACTGGGT >hg38_chr18:57635292-57635302(-) ACACAAAGGAC >hg38_chr18:57646798-57646808(-) TCACAATGTGT >hg38_chr18:57663556-57663566(+) acacaaaggtt >hg38_chr18:57663571-57663581(+) caacaatgatt >hg38_chr18:57663588-57663598(-) acacaatagaa >hg38_chr18:57743059-57743069(+) GGacaaaggat >hg38_chr18:57744607-57744617(+) GGACAATGGCC >hg38_chr18:57755162-57755172(+) CAACAATGTGT >hg38_chr18:57771393-57771403(-) TAACAATAGGA >hg38_chr18:57856491-57856501(+) GAACAATGCAT >hg38_chr18:57882655-57882665(-) gcacaataacg >hg38_chr18:57942719-57942729(+) GAACAATGAAA >hg38_chr18:57977027-57977037(-) taataatggag >hg38_chr18:57977054-57977064(-) cgacaatgcga >hg38_chr18:57981420-57981430(+) ACACAATGGAT >hg38_chr18:57982647-57982657(+) ttacaatgaga >hg38_chr18:57986990-57987000(+) TGACAATGACT >hg38_chr18:58054673-58054683(-) ACACAATGCAT >hg38_chr18:58070123-58070133(-) gcacaatgtga >hg38_chr18:58073515-58073525(+) atacaatggga >hg38_chr18:58086825-58086835(-) acacaatgaat >hg38_chr18:58110883-58110893(-) GGATAATGGTA >hg38_chr18:58201970-58201980(-) aaacaatggac >hg38_chr18:58247369-58247379(-) GAACAATGGCC >hg38_chr18:58252421-58252431(-) GTACTATGGTC >hg38_chr18:58260507-58260517(-) CCACAATGGGA >hg38_chr18:58287728-58287738(+) AGACAATGGAA >hg38_chr18:58287737-58287747(-) ACACAATGATT >hg38_chr18:58287763-58287773(-) GGACAATGACC >hg38_chr18:58335303-58335313(-) GCACAAAGGAA >hg38_chr18:58342887-58342897(-) TAACAATGAGG >hg38_chr18:58355639-58355649(+) TCACAATAGCA >hg38_chr18:58360466-58360476(-) acacaatgaac >hg38_chr18:58383280-58383290(+) AAATAATGGTC >hg38_chr18:58391508-58391518(+) TTACAATAGAG >hg38_chr18:58392655-58392665(-) GGACAATGACT >hg38_chr18:58443914-58443924(+) GGACAACGGGG >hg38_chr18:58454241-58454251(+) GTACAATGTGT >hg38_chr18:58454284-58454294(-) GAACACTGGAC >hg38_chr18:58454914-58454924(-) AGACAATGCTA >hg38_chr18:58512319-58512329(+) AGACAATGTGA >hg38_chr18:58544454-58544464(+) TAACAatagaa >hg38_chr18:58563726-58563736(-) gtacaatattc >hg38_chr18:58563757-58563767(-) taacaatggag >hg38_chr18:58563802-58563812(+) ctacaatgaaa >hg38_chr18:58572931-58572941(-) aaacaatggta >hg38_chr18:58581559-58581569(-) GAACAATGATG >hg38_chr18:58603390-58603400(-) GGACAATGACC >hg38_chr18:58615553-58615563(+) tcataatggta >hg38_chr18:58635851-58635861(+) acacaataaaa >hg38_chr18:58679135-58679145(-) GAACAATGATA >hg38_chr18:58762295-58762305(+) TCACAATGGGA >hg38_chr18:58768058-58768068(-) ATACAAAGGAC >hg38_chr18:58784996-58785006(+) GCACAATGCAG >hg38_chr18:58789559-58789569(-) GAACAAAGGAG >hg38_chr18:58790082-58790092(-) CAACAATGCAA >hg38_chr18:58805648-58805658(-) AGACAAAGGAG >hg38_chr18:58838679-58838689(+) GGACAATACGT >hg38_chr18:58844335-58844345(+) CAACAATGGGT >hg38_chr18:58861068-58861078(-) CAACAATAGAA >hg38_chr18:58864851-58864861(+) ACACAATGCAG >hg38_chr18:58867473-58867483(+) TAACAATGTAG >hg38_chr18:58958484-58958494(-) AAACAATAATA >hg38_chr18:59020494-59020504(+) TAACAATAAGA >hg38_chr18:59046278-59046288(-) Taataatgata >hg38_chr18:59050348-59050358(-) GAACAATGGCC >hg38_chr18:59208611-59208621(-) taacaatgcct >hg38_chr18:59276255-59276265(-) CCACAATGGTA >hg38_chr18:59276300-59276310(-) TAACAATGACC >hg38_chr18:59324245-59324255(-) aaacaatgttt >hg38_chr18:59340840-59340850(+) gaacaatatga >hg38_chr18:59341123-59341133(+) atataatgata >hg38_chr18:59354528-59354538(+) TCATAATGGAT >hg38_chr18:59354541-59354551(-) CAACAATGGAC >hg38_chr18:59477135-59477145(-) gaacaataact >hg38_chr18:59502567-59502577(+) AAACAAAGGTG >hg38_chr18:59547722-59547732(+) AGACAAAGGAA >hg38_chr18:59566226-59566236(+) ACACAATGTCT >hg38_chr18:59576543-59576553(+) GGACAAAGGCA >hg38_chr18:59579594-59579604(+) TGACAACGGTT >hg38_chr18:59620025-59620035(-) TTACAATGGTG >hg38_chr18:59634196-59634206(+) aaacaatggct >hg38_chr18:59637540-59637550(+) gtacaaaggaa >hg38_chr18:59651898-59651908(-) tgataatggac >hg38_chr18:59651911-59651921(+) atacaatacat >hg38_chr18:59690409-59690419(+) CAACAATGCCT >hg38_chr18:59823471-59823481(-) AAACAATAGCC >hg38_chr18:59834896-59834906(-) TTACAATGAGA >hg38_chr18:59864343-59864353(+) TAACAATGCAC >hg38_chr18:59900602-59900612(-) CGACAATGACT >hg38_chr18:59902311-59902321(+) TTACAATGTTC >hg38_chr18:59906856-59906866(-) GAACAATAGAG >hg38_chr18:59954676-59954686(-) GAACAATAACA >hg38_chr18:59989145-59989155(+) ACATAATGGAA >hg38_chr18:60044577-60044587(-) TCACAATGTTT >hg38_chr18:60067545-60067555(-) TAACAAAGGCA >hg38_chr18:60120343-60120353(-) caacaatagca >hg38_chr18:60120560-60120570(-) AGACAATAGCC >hg38_chr18:60120602-60120612(-) CCACAATGGTT >hg38_chr18:60176550-60176560(-) gaacaataggt >hg38_chr18:60176561-60176571(-) ccacaatagtg >hg38_chr18:60176582-60176592(+) taacaatgtat >hg38_chr18:60176587-60176597(-) atacaatacat >hg38_chr18:60182007-60182017(-) taacaataatg >hg38_chr18:60184525-60184535(+) ACACAATGTCA >hg38_chr18:60192145-60192155(+) AAACAATAGTG >hg38_chr18:60192160-60192170(+) TGACAACGGAA >hg38_chr18:60202337-60202347(-) CAACAATGGTA >hg38_chr18:60223033-60223043(-) caacaatggtt >hg38_chr18:60244105-60244115(-) GGACAATATTA >hg38_chr18:60257888-60257898(-) gaacaatgcag >hg38_chr18:60257925-60257935(+) ggataatggac >hg38_chr18:60318891-60318901(-) aaacaatacta >hg38_chr18:60319236-60319246(-) ccacaatggca >hg38_chr18:60358763-60358773(-) atacagtgggt >hg38_chr18:60427417-60427427(+) aaacagtggaa >hg38_chr18:60448710-60448720(-) aaacaatggga >hg38_chr18:60463752-60463762(-) AAACAATAGTT >hg38_chr18:60466905-60466915(-) ttacaatgtaa >hg38_chr18:60471590-60471600(-) AAACAATGTAC >hg38_chr18:60502080-60502090(+) TAACAATGCCa >hg38_chr18:60525010-60525020(+) ATACAATGACA >hg38_chr18:60534034-60534044(+) TAACAATAAAT >hg38_chr18:60542668-60542678(+) TGACaataacg >hg38_chr18:60549533-60549543(+) ATACAATAGCG >hg38_chr18:60585856-60585866(-) agacaataaca >hg38_chr18:60593405-60593415(+) gcacaatgcag >hg38_chr18:60603542-60603552(+) TTACAATGAGA >hg38_chr18:60603554-60603564(+) GAACAATGAAA >hg38_chr18:60627968-60627978(-) AAACAATAGGA >hg38_chr18:60642651-60642661(+) AAACAAAGGTG >hg38_chr18:60681353-60681363(-) aaacaaaggaa >hg38_chr18:60693396-60693406(+) taacaatgaca >hg38_chr18:60715401-60715411(+) aaataatggat >hg38_chr18:60739327-60739337(-) tgacaatggca >hg38_chr18:60861647-60861657(+) gtacaataagt >hg38_chr18:60861678-60861688(-) gtacaatgttg >hg38_chr18:60866015-60866025(+) TTACAAAGGGC >hg38_chr18:60866049-60866059(+) AGACAATGTGG >hg38_chr18:60980718-60980728(-) CTACAATAGTG >hg38_chr18:61049345-61049355(-) TAACAATAAGA >hg38_chr18:61123429-61123439(+) GTACAATGGCT >hg38_chr18:61128262-61128272(-) GGACAAAGGGC >hg38_chr18:61129179-61129189(-) ttactatggcc >hg38_chr18:61168302-61168312(-) TCACAATGAAA >hg38_chr18:61222393-61222403(+) AGACAATGAAC >hg38_chr18:61308921-61308931(+) ACACAATGCCT >hg38_chr18:61325973-61325983(-) TCACAATGCGT >hg38_chr18:61337014-61337024(-) CCACAATGAAA >hg38_chr18:61347631-61347641(-) aaacaatgcat >hg38_chr18:61352240-61352250(-) TTACAATGAAA >hg38_chr18:61353281-61353291(-) GAACAAAGGAA >hg38_chr18:61376900-61376910(+) AGACAATGAGG >hg38_chr18:61413589-61413599(-) GAACAATGCAG >hg38_chr18:61428430-61428440(-) ACACAATGAAC >hg38_chr18:61429285-61429295(-) GTACAATGGTC >hg38_chr18:61478642-61478652(+) AAACAGTGGAT >hg38_chr18:61579671-61579681(-) TAACAATGCCT >hg38_chr18:61579733-61579743(+) ACACAATGATA >hg38_chr18:61598983-61598993(+) gaacaatgagg >hg38_chr18:61647326-61647336(+) gtacaatagct >hg38_chr18:61647371-61647381(+) agacaatgcat >hg38_chr18:61653899-61653909(+) GAACAATAGGC >hg38_chr18:61663171-61663181(-) TTACTATGGTA >hg38_chr18:61682732-61682742(-) AAACAATGAGG >hg38_chr18:61682751-61682761(-) GGACAAAGGAG >hg38_chr18:61727517-61727527(+) AGACAATGTGT >hg38_chr18:61736693-61736703(-) TCATAATGGGT >hg38_chr18:61748157-61748167(-) TAACAATGACC >hg38_chr18:61748193-61748203(+) GAACAATAAGA >hg38_chr18:61770417-61770427(+) gaacagtggaa >hg38_chr18:61841914-61841924(-) ttacagtggta >hg38_chr18:61841939-61841949(+) tgacaatacgt >hg38_chr18:61852863-61852873(+) TCACAATGAAG >hg38_chr18:61852941-61852951(-) GAACAATAAAA >hg38_chr18:61896762-61896772(-) AAACAATAGTT >hg38_chr18:61934380-61934390(+) aaacaatgtaa >hg38_chr18:61934622-61934632(+) ttacaatggat >hg38_chr18:61934703-61934713(+) acacaatagtt >hg38_chr18:61978077-61978087(-) aaacaatgggt >hg38_chr18:62001606-62001616(-) agacaatggga >hg38_chr18:62028708-62028718(-) GAACAATGCCA >hg38_chr18:62065077-62065087(+) GGACAATAAAA >hg38_chr18:62092431-62092441(+) GAACAAAGGAA >hg38_chr18:62121178-62121188(+) GCACAATAGAA >hg38_chr18:62139374-62139384(+) ttacaatggta >hg38_chr18:62153076-62153086(-) gcataatggat >hg38_chr18:62177321-62177331(-) gtacaataatt >hg38_chr18:62182458-62182468(-) gaacaatgcct >hg38_chr18:62200334-62200344(-) gtacaaagata >hg38_chr18:62201657-62201667(+) TAATAATGTAT >hg38_chr18:62206639-62206649(+) TGACAATAGCC >hg38_chr18:62207303-62207313(-) caacaatggat >hg38_chr18:62207357-62207367(-) gtacaatagaa >hg38_chr18:62210666-62210676(-) acataatggta >hg38_chr18:62246711-62246721(-) AAATAATGGCT >hg38_chr18:62253219-62253229(+) gaacaaaggcc >hg38_chr18:62288289-62288299(+) gaacaaaggaa >hg38_chr18:62289308-62289318(-) GAACAATGTGA >hg38_chr18:62289331-62289341(-) atacaatggaa >hg38_chr18:62322449-62322459(+) TGACTATGGTA >hg38_chr18:62322460-62322470(+) GCACAAAGGGA >hg38_chr18:62322695-62322705(+) gcacaatggta >hg38_chr18:62323717-62323727(+) ATACAAAGGAG >hg38_chr18:62336610-62336620(+) TGACAATGTAA >hg38_chr18:62341693-62341703(-) ACACAAAGGTT >hg38_chr18:62374635-62374645(-) AGACAATGCAT >hg38_chr18:62455090-62455100(-) gtacaatgtag >hg38_chr18:62499689-62499699(+) ACACAATGGCC >hg38_chr18:62584417-62584427(-) AAACAATGAAG >hg38_chr18:62605859-62605869(+) GGACAAAGGAG >hg38_chr18:62631351-62631361(+) ACACAAAGGCA >hg38_chr18:62669594-62669604(-) agacaatagga >hg38_chr18:62670602-62670612(-) taacaatagga >hg38_chr18:62682713-62682723(-) TAACAATGTCA >hg38_chr18:62717749-62717759(-) AAACAAAGGCA >hg38_chr18:62741917-62741927(+) aTATAATGGGT >hg38_chr18:62742080-62742090(+) AGATAATGGGA >hg38_chr18:62750402-62750412(+) ACACAATAGGG >hg38_chr18:62750893-62750903(+) GTACAATAGAG >hg38_chr18:62754122-62754132(-) GTACAATGGCA >hg38_chr18:62768727-62768737(-) ACATAATGGTT >hg38_chr18:62768767-62768777(+) TAACAATGAAA >hg38_chr18:62782005-62782015(-) AAACAATGACA >hg38_chr18:62782060-62782070(-) TTACAATAGGA >hg38_chr18:62788789-62788799(+) TCACAATGCCT >hg38_chr18:62806636-62806646(-) ACACAATATAC >hg38_chr18:62827596-62827606(+) AGACAATAGGG >hg38_chr18:62840007-62840017(+) TTACAATGGAA >hg38_chr18:62878040-62878050(-) ATACAATAACT >hg38_chr18:62904959-62904969(+) TAACAATAAGA >hg38_chr18:62917365-62917375(-) TAACAATAGAG >hg38_chr18:62954451-62954461(-) TCATAATGGTT >hg38_chr18:62961664-62961674(+) AAATAATGGAG >hg38_chr18:62965240-62965250(-) TGACAATGcaa >hg38_chr18:62969994-62970004(-) aaacaatagta >hg38_chr18:62972691-62972701(-) TGACAATGAAT >hg38_chr18:63007408-63007418(-) gtataatgaac >hg38_chr18:63014417-63014427(-) ttacaatggtt >hg38_chr18:63031023-63031033(-) ATACAATAAGT >hg38_chr18:63037640-63037650(+) ACACAATAGGT >hg38_chr18:63037664-63037674(+) TTACAATGATA >hg38_chr18:63107600-63107610(+) ACACAATGGCA >hg38_chr18:63143435-63143445(-) GTACAGTGGGC >hg38_chr18:63143455-63143465(-) TGACAATGCTG >hg38_chr18:63186728-63186738(+) AAACAAAGGTT >hg38_chr18:63203710-63203720(+) acaTaatgggg >hg38_chr18:63230405-63230415(+) caacaatgtaa >hg38_chr18:63230422-63230432(+) aaacaatagaa >hg38_chr18:63230821-63230831(-) ctacaatgtag >hg38_chr18:63238881-63238891(-) TCACAATAGAC >hg38_chr18:63240481-63240491(-) TAACTATGGGG >hg38_chr18:63254194-63254204(+) TAACAATGGCC >hg38_chr18:63279639-63279649(+) gtacaataatg >hg38_chr18:63288177-63288187(+) CTACAATGAAA >hg38_chr18:63300033-63300043(-) GTACAATAAAA >hg38_chr18:63300040-63300050(+) GTACAGTGGTT >hg38_chr18:63307150-63307160(+) TAACAATGAGC >hg38_chr18:63381116-63381126(-) taacaatggca >hg38_chr18:63395732-63395742(-) TAACAATGAAC >hg38_chr18:63453793-63453803(-) TGACaataggt >hg38_chr18:63505672-63505682(+) CTATAATGGAA >hg38_chr18:63506254-63506264(-) tgacaatgaaa >hg38_chr18:63533537-63533547(+) agataatggaa >hg38_chr18:63548114-63548124(-) ATACAATAACC >hg38_chr18:63548123-63548133(+) ATACAGTGGTG >hg38_chr18:63696924-63696934(-) gtacaatggaa >hg38_chr18:63697486-63697496(-) taacaatgtgt >hg38_chr18:63705913-63705923(+) AAACAAAGGGC >hg38_chr18:63725933-63725943(-) ggacaatagga >hg38_chr18:63729445-63729455(+) agacaatataa >hg38_chr18:63763994-63764004(+) ATACAATTGTA >hg38_chr18:63763995-63764005(-) ATACAATTGTA >hg38_chr18:63765079-63765089(-) AAACAAAGGAA >hg38_chr18:63772913-63772923(+) GGACAATATTA >hg38_chr18:63777135-63777145(+) ATACAATGTTC >hg38_chr18:63779048-63779058(+) AGACAATGAAA >hg38_chr18:63783543-63783553(-) TGACAATGTGA >hg38_chr18:63813132-63813142(-) AAACAATGGCC >hg38_chr18:63813165-63813175(+) TCACAATGTAT >hg38_chr18:63813198-63813208(-) GTACAACGGGG >hg38_chr18:63821370-63821380(-) AGACAATGTTA >hg38_chr18:63821396-63821406(+) TGACAAAGGGA >hg38_chr18:63844587-63844597(-) acacaatgggc >hg38_chr18:63898297-63898307(-) tgacaatggat >hg38_chr18:63925147-63925157(+) ctacaatgaac >hg38_chr18:63971892-63971902(-) AGACAAAGGGC >hg38_chr18:63976588-63976598(+) ttacaatgaca >hg38_chr18:63987543-63987553(-) AAACAATGGGC >hg38_chr18:64018696-64018706(+) AAACAAAGGGA >hg38_chr18:64025456-64025466(+) agacaatgagt >hg38_chr18:64031655-64031665(+) TGACAATGTCC >hg38_chr18:64055185-64055195(+) AGACAATAGGC >hg38_chr18:64057075-64057085(-) AAACAAAGGTA >hg38_chr18:64090001-64090011(+) ACACAATAGGC >hg38_chr18:64090785-64090795(-) gtacaatataa >hg38_chr18:64090792-64090802(+) gtacaatgcca >hg38_chr18:64097415-64097425(+) AGACAATGGAT >hg38_chr18:64097426-64097436(-) AGACAATAAGA >hg38_chr18:64098691-64098701(+) ACACAATGAAA >hg38_chr18:64116966-64116976(+) ACACAACGGCA >hg38_chr18:64116996-64117006(+) TAACAATGAGT >hg38_chr18:64167603-64167613(-) ggacaatgaat >hg38_chr18:64183868-64183878(+) AGATAATGGGG >hg38_chr18:64183893-64183903(+) AAACAATAGAC >hg38_chr18:64201504-64201514(-) tgacaatgtct >hg38_chr18:64210151-64210161(+) TTACAATGTGA >hg38_chr18:64244683-64244693(+) ACACAATGGTG >hg38_chr18:64250853-64250863(+) GGACAATGCAG >hg38_chr18:64250880-64250890(+) ACACAATGTAG >hg38_chr18:64250913-64250923(-) taacaataaCA >hg38_chr18:64333668-64333678(+) TGACAATGGCA >hg38_chr18:64338492-64338502(-) GAACAATGAGT >hg38_chr18:64410925-64410935(-) aaacaaaggaa >hg38_chr18:64477928-64477938(-) ATACAGTGGAA >hg38_chr18:64477947-64477957(+) ACACAAAGGca >hg38_chr18:64505001-64505011(+) agacaatgggg >hg38_chr18:64668684-64668694(+) TAACAATGGGA >hg38_chr18:64771509-64771519(+) CTACAATGCAA >hg38_chr18:64806911-64806921(-) GAACAATAGAT >hg38_chr18:64840114-64840124(-) GAACAATGGCT >hg38_chr18:64917669-64917679(+) gaacaatgttt >hg38_chr18:65010253-65010263(-) AAACAAAGGAG >hg38_chr18:65257520-65257530(-) atacaatAAAA >hg38_chr18:65269580-65269590(+) GGACAATGTCA >hg38_chr18:65280075-65280085(-) GAACAATGGCC >hg38_chr18:65298533-65298543(-) TAACAATGGAA >hg38_chr18:65298570-65298580(+) GGACAATGAGG >hg38_chr18:65340144-65340154(-) ctacaatgctt >hg38_chr18:65365762-65365772(+) ttacaatgaca >hg38_chr18:65373126-65373136(+) GAACAATGGTG >hg38_chr18:65402179-65402189(-) tcacaatgtga >hg38_chr18:65402215-65402225(-) taacaatagga >hg38_chr18:65470054-65470064(-) gaacactggaa >hg38_chr18:65470066-65470076(+) ttataatggtt >hg38_chr18:65502050-65502060(-) AGACAATAGCC >hg38_chr18:65514287-65514297(+) ACACAGTGGAT >hg38_chr18:65552173-65552183(+) agacaatgtgg >hg38_chr18:65583434-65583444(+) gcacaatgccc >hg38_chr18:65725645-65725655(-) TTACAAAGGAT >hg38_chr18:65734737-65734747(+) tgacaatggct >hg38_chr18:65750725-65750735(-) CGACGATGGTG >hg38_chr18:65755720-65755730(+) CAACAATGAAT >hg38_chr18:65831738-65831748(-) AGACAATGCCA >hg38_chr18:65876542-65876552(-) GTACAGTGACG >hg38_chr18:65901472-65901482(-) AAATAATGGTG >hg38_chr18:65906935-65906945(+) taacaatgaca >hg38_chr18:65946555-65946565(+) CAACAATAGAG >hg38_chr18:66068360-66068370(+) GAACAATGAGC >hg38_chr18:66105263-66105273(+) TCACAATGTTG >hg38_chr18:66136258-66136268(+) ATACAAAGGAG >hg38_chr18:66136267-66136277(+) AGACAATGCAA >hg38_chr18:66179786-66179796(+) gcacaatgact >hg38_chr18:66179801-66179811(+) taacaatgcac >hg38_chr18:66228153-66228163(+) gaataatggat >hg38_chr18:66235459-66235469(+) tcacaatggag >hg38_chr18:66279936-66279946(+) agacaatggac >hg38_chr18:66429031-66429041(-) GCACAATAGCA >hg38_chr18:66433045-66433055(-) TAACAATAGAA >hg38_chr18:66510142-66510152(+) AGACAATAGCA >hg38_chr18:66530887-66530897(-) tgacaatgtct >hg38_chr18:66534215-66534225(-) TTACAATGGAA >hg38_chr18:66548881-66548891(+) aaacaataata >hg38_chr18:66622950-66622960(-) atacaataagc >hg38_chr18:66622966-66622976(-) atacaatgaac >hg38_chr18:66646174-66646184(-) taacaatGCAA >hg38_chr18:66668886-66668896(-) GGACAATGGTG >hg38_chr18:66749260-66749270(+) CAACAATGCCA >hg38_chr18:66862942-66862952(-) ACACAAAGGTT >hg38_chr18:66909596-66909606(-) TAACACTGGGA >hg38_chr18:66941570-66941580(+) TCACAATAGGA >hg38_chr18:67086967-67086977(-) ACACAATAGTA >hg38_chr18:67151620-67151630(+) gcacaaaggga >hg38_chr18:67181731-67181741(-) GCACAATGTTG >hg38_chr18:67216674-67216684(+) aaacaatggca >hg38_chr18:67232552-67232562(+) AGACAATGGGA >hg38_chr18:67255141-67255151(-) TCACAATGCAA >hg38_chr18:67255180-67255190(+) TGACAATGTGC >hg38_chr18:67267103-67267113(+) TAACAATGAAT >hg38_chr18:67282617-67282627(+) AGACAATGCAG >hg38_chr18:67282652-67282662(+) AGACAATGTGG >hg38_chr18:67308734-67308744(+) GTACAATGTTC >hg38_chr18:67308766-67308776(+) TAACAATGTTA >hg38_chr18:67331236-67331246(+) CAACAATAGCA >hg38_chr18:67331282-67331292(-) AAACAATGAGT >hg38_chr18:67361134-67361144(+) ATACAATATAA >hg38_chr18:67390723-67390733(-) tcacaatgcag >hg38_chr18:67391473-67391483(+) tgacaatgaca >hg38_chr18:67410643-67410653(-) GTACAATATAC >hg38_chr18:67410653-67410663(-) GTACAATGCAG >hg38_chr18:67567537-67567547(-) AGATAATGGGA >hg38_chr18:67567564-67567574(-) AAACAATAAAA >hg38_chr18:67609931-67609941(-) CAACAATGGGA >hg38_chr18:67612680-67612690(+) tcacaatagca >hg38_chr18:67612719-67612729(+) caacaatggat >hg38_chr18:67613713-67613723(-) TCACAATGTGA >hg38_chr18:67631952-67631962(-) CCACAATAGTG >hg38_chr18:67634208-67634218(+) GGACAATAAAA >hg38_chr18:67640268-67640278(-) GTACAATGCTG >hg38_chr18:67668785-67668795(-) ccaCAATGTGT >hg38_chr18:67678480-67678490(-) TGACAATAGTT >hg38_chr18:67710069-67710079(+) agacaatgagG >hg38_chr18:67731935-67731945(-) ACACAATGGGA >hg38_chr18:67731950-67731960(+) TTACAATGTAA >hg38_chr18:67767101-67767111(+) ctacaatgtca >hg38_chr18:67783641-67783651(+) TTATAATGGCA >hg38_chr18:67802107-67802117(+) CAACAATAGTA >hg38_chr18:67802115-67802125(+) GTACAATACAA >hg38_chr18:67876103-67876113(+) aaactatggca >hg38_chr18:67877583-67877593(+) caacaatgatt >hg38_chr18:67877624-67877634(+) TAACAATAACC >hg38_chr18:67893892-67893902(+) GAACAATGGAA >hg38_chr18:67899531-67899541(-) TGATAATGGAG >hg38_chr18:67903866-67903876(+) gtacaatagga >hg38_chr18:67916204-67916214(-) ATACAATATAT >hg38_chr18:67916257-67916267(-) GTACAATGAGA >hg38_chr18:67936852-67936862(-) TAATAATGGTG >hg38_chr18:67939115-67939125(-) ACACAATAATA >hg38_chr18:67939136-67939146(+) ATACAATAATA >hg38_chr18:67939628-67939638(-) GAACAATGTTG >hg38_chr18:67958450-67958460(+) gaataatggaa >hg38_chr18:68084635-68084645(-) AGACAATAGGA >hg38_chr18:68113164-68113174(+) GGACAAAGGAC >hg38_chr18:68122039-68122049(-) TGACAATGCTA >hg38_chr18:68154415-68154425(-) ATACAATAGTT >hg38_chr18:68194694-68194704(+) TTACAATGCTT >hg38_chr18:68194709-68194719(-) CTACTATGGTA >hg38_chr18:68221538-68221548(+) TCACAATAGAA >hg38_chr18:68224261-68224271(-) TAACAATGGCA >hg38_chr18:68263100-68263110(+) TAACAATATAA >hg38_chr18:68302124-68302134(+) TTATAATGGAG >hg38_chr18:68313200-68313210(+) AAACAATGGAG >hg38_chr18:68313899-68313909(+) ggacaatggat >hg38_chr18:68334784-68334794(-) CTACAATGGCT >hg38_chr18:68340769-68340779(-) AGACAATAAGA >hg38_chr18:68342410-68342420(+) AGACAAAGGTG >hg38_chr18:68377488-68377498(+) AAATAATGGGA >hg38_chr18:68409060-68409070(+) GAACAATGTAA >hg38_chr18:68427101-68427111(-) gaacaaaggtc >hg38_chr18:68428029-68428039(-) ACACAATGCAA >hg38_chr18:68448532-68448542(+) gtacaatacat >hg38_chr18:68448832-68448842(-) acacaatgaaa >hg38_chr18:68468014-68468024(+) GGACAATGGCC >hg38_chr18:68469158-68469168(-) agacaatgaaa >hg38_chr18:68489529-68489539(+) tgacaaaggga >hg38_chr18:68495853-68495863(-) TAACAAAGGGC >hg38_chr18:68518921-68518931(+) ccacaatgaaa >hg38_chr18:68520547-68520557(+) ACACAATGCCT >hg38_chr18:68542467-68542477(-) AAACAATGGAA >hg38_chr18:68542502-68542512(+) AAACAATGTGC >hg38_chr18:68569327-68569337(+) ttataatggtt >hg38_chr18:68569340-68569350(+) ttataatggga >hg38_chr18:68588691-68588701(+) AAACAAAGGGA >hg38_chr18:68594467-68594477(+) AAACAATATAA >hg38_chr18:68597232-68597242(+) atacaatggat >hg38_chr18:68603207-68603217(+) TAACAATGAGG >hg38_chr18:68646403-68646413(+) GTACAATACAA >hg38_chr18:68646421-68646431(-) GCATAATGGTG >hg38_chr18:68651882-68651892(+) gcacaatggaa >hg38_chr18:68665667-68665677(+) GTACAATTGAT >hg38_chr18:68708452-68708462(-) aaACAATAATA >hg38_chr18:68708770-68708780(+) TAACAATGTGG >hg38_chr18:68708808-68708818(-) gcacaatggct >hg38_chr18:68710573-68710583(-) TTACAATAGAA >hg38_chr18:68722297-68722307(-) CGACAAGGGAA >hg38_chr18:68759486-68759496(+) atacaaaggaa >hg38_chr18:68759548-68759558(+) agacaatggaa >hg38_chr18:68759556-68759566(+) gaacaatgtct >hg38_chr18:68797594-68797604(-) AAACAATAACT >hg38_chr18:68799930-68799940(+) ATACAAAGGGG >hg38_chr18:68816970-68816980(-) GAACAATGGAG >hg38_chr18:68816980-68816990(-) TCACAATGCAG >hg38_chr18:68819043-68819053(-) aaataatagcg >hg38_chr18:68832000-68832010(+) TCATAATGGTT >hg38_chr18:68832017-68832027(-) GCACAATGCTA >hg38_chr18:68847105-68847115(+) AAATAATGGGC >hg38_chr18:68848603-68848613(+) AGACAATGCAT >hg38_chr18:68864714-68864724(-) agacaatgata >hg38_chr18:68886461-68886471(-) CAACAATGGGT >hg38_chr18:68933227-68933237(+) taACAATGACT >hg38_chr18:68933574-68933584(-) GAACAATAATA >hg38_chr18:68933581-68933591(-) CCACAATGAAC >hg38_chr18:68943362-68943372(+) AAACAATGGTT >hg38_chr18:68954947-68954957(+) CAACAATGACA >hg38_chr18:68999212-68999222(-) ctacaatagct >hg38_chr18:69002180-69002190(+) caacaatagac >hg38_chr18:69022624-69022634(-) GAACAATGAAT >hg38_chr18:69044340-69044350(-) gcacaatggtc >hg38_chr18:69044403-69044413(-) AGAcaataaat >hg38_chr18:69097512-69097522(-) tgacaatgcac >hg38_chr18:69192603-69192613(-) gAACAGTGGGA >hg38_chr18:69245985-69245995(-) gtacaatagtc >hg38_chr18:69261523-69261533(-) AGACAATGAAG >hg38_chr18:69412988-69412998(+) AAACAATGCAA >hg38_chr18:69413007-69413017(-) AGACAATAGAT >hg38_chr18:69419755-69419765(+) ATACAATGATA >hg38_chr18:69435132-69435142(+) ccacaatgagg >hg38_chr18:69621635-69621645(+) tcacaatggtt >hg38_chr18:69622325-69622335(-) CCACAATACGA >hg38_chr18:69655933-69655943(+) aaacaatggtg >hg38_chr18:69715561-69715571(-) TAACAATGCAC >hg38_chr18:69753071-69753081(-) AAACAATGAGT >hg38_chr18:69769360-69769370(-) AGACAATGGCT >hg38_chr18:69775251-69775261(+) aaataatggat >hg38_chr18:69800409-69800419(-) GCATAATGGGT >hg38_chr18:69810115-69810125(-) ttacaatagtg >hg38_chr18:69810765-69810775(+) tcacaatggtt >hg38_chr18:69846100-69846110(-) CTACAATAGTC >hg38_chr18:69862149-69862159(+) TGACAATGGTA >hg38_chr18:69862999-69863009(-) TAACAATGAAA >hg38_chr18:69863027-69863037(+) AAACAATATTA >hg38_chr18:69871020-69871030(+) GGACAATGTAT >hg38_chr18:69916954-69916964(-) GCACAAAGGAA >hg38_chr18:69935361-69935371(+) GAACAATGCCC >hg38_chr18:69948570-69948580(+) CAACAATGCAA >hg38_chr18:69995328-69995338(-) ctacaataggt >hg38_chr18:69996392-69996402(+) ATACAATGAGA >hg38_chr18:69996412-69996422(-) TCACAATAGGG >hg38_chr18:70006662-70006672(-) AAACAATGTTT >hg38_chr18:70040142-70040152(+) ggactatggac >hg38_chr18:70040386-70040396(+) atataatgata >hg38_chr18:70040419-70040429(+) atacaatgatt >hg38_chr18:70044177-70044187(+) ACACAATGGGA >hg38_chr18:70090438-70090448(-) atacaataaga >hg38_chr18:70090481-70090491(+) atacaatgatt >hg38_chr18:70148160-70148170(-) TCACAATGGAT >hg38_chr18:70178419-70178429(-) aaacaataacc >hg38_chr18:70178479-70178489(+) tgataatggtt >hg38_chr18:70178493-70178503(+) caacaatgtCA >hg38_chr18:70189847-70189857(-) TAACAATATGA >hg38_chr18:70189865-70189875(-) GAACAATGGTA >hg38_chr18:70189894-70189904(-) ATACACTGGTA >hg38_chr18:70243656-70243666(-) GAACGATGTCG >hg38_chr18:70259200-70259210(-) AGATAATGGAC >hg38_chr18:70303212-70303222(+) gaacaatatga >hg38_chr18:70309098-70309108(+) taacaatgcaa >hg38_chr18:70384302-70384312(-) taacaataacc >hg38_chr18:70397415-70397425(+) ATACAATGCAT >hg38_chr18:70397466-70397476(-) ATACAATAGAA >hg38_chr18:70451438-70451448(-) ATAAAATGGCG >hg38_chr18:70451495-70451505(-) GGACAATGAGC >hg38_chr18:70454188-70454198(-) AAACAAAGGTT >hg38_chr18:70455998-70456008(-) GTACAATAGAT >hg38_chr18:70463287-70463297(-) GGACAATAGCA >hg38_chr18:70463296-70463306(-) GAACAATGAGG >hg38_chr18:70491172-70491182(+) TGACAATGTGG >hg38_chr18:70491186-70491196(-) TAACAAAGGGG >hg38_chr18:70813811-70813821(-) aaacaatgttg >hg38_chr18:71118884-71118894(+) ccacaatgtcc >hg38_chr18:71185269-71185279(+) AGACAATAACG >hg38_chr18:71185503-71185513(+) ACATAATGGTA >hg38_chr18:71221602-71221612(+) TGACAATAGTA >hg38_chr18:71221611-71221621(+) TAACAATGTAA >hg38_chr18:71405640-71405650(+) CCACAATGACA >hg38_chr18:71442264-71442274(+) TGACTATGGTC >hg38_chr18:71536002-71536012(-) aaacaataaac >hg38_chr18:71671574-71671584(+) TAACAATGCTC >hg38_chr18:71913804-71913814(-) agacaaaggag >hg38_chr18:72107838-72107848(-) GAACAATAGAC >hg38_chr18:72109458-72109468(+) ggacaatgtta >hg38_chr18:72128743-72128753(-) tcacaatagtg >hg38_chr18:72235679-72235689(-) aaacaatggct >hg38_chr18:72399383-72399393(-) CCACAATGGTT >hg38_chr18:72540088-72540098(-) TAACAATGGAA >hg38_chr18:72575196-72575206(+) agacaatggag >hg38_chr18:72575211-72575221(-) caacaatgcca >hg38_chr18:72757045-72757055(-) ATACAAAGGTA >hg38_chr18:72762703-72762713(-) TAATAATGGGA >hg38_chr18:72766835-72766845(-) AAATAATGGAT >hg38_chr18:72766880-72766890(-) ACATAATGGAA >hg38_chr18:72766907-72766917(+) CCACAATGATC >hg38_chr18:72791014-72791024(-) TAACAAAGGAG >hg38_chr18:72878278-72878288(+) aaacaatgact >hg38_chr18:72903197-72903207(-) atacaatgtat >hg38_chr18:73109714-73109724(-) tatcaatggta >hg38_chr18:73111539-73111549(-) TGACAATGCCT >hg38_chr18:73180139-73180149(-) ctacaatggat >hg38_chr18:73198908-73198918(-) TCACAATGTCC >hg38_chr18:73236108-73236118(-) CTACAATAGTA >hg38_chr18:73245442-73245452(-) GAACAATGCCT >hg38_chr18:73270733-73270743(+) ATACAAAGGGA >hg38_chr18:73270775-73270785(-) GGACAATGAAT >hg38_chr18:73400819-73400829(-) AAACAAAGGTT >hg38_chr18:73401269-73401279(-) GAACAAAGGTG >hg38_chr18:73424303-73424313(+) atacaaaggca >hg38_chr18:73431787-73431797(+) GAATAATGGCA >hg38_chr18:73484135-73484145(+) aaacaatgcag >hg38_chr18:73509918-73509928(+) ccacaatgaca >hg38_chr18:73522949-73522959(+) TTACAATGTCT >hg38_chr18:73527377-73527387(-) CAACAATGTAC >hg38_chr18:73544524-73544534(-) ATACAATGAAA >hg38_chr18:73544539-73544549(-) CTACAATGACC >hg38_chr18:73544569-73544579(+) ATACAATGAAA >hg38_chr18:73545669-73545679(-) ATACAATGGGG >hg38_chr18:73545725-73545735(+) TGACAATGTTA >hg38_chr18:73554066-73554076(+) aaacaatagta >hg38_chr18:73576404-73576414(+) taacaatatta >hg38_chr18:73577497-73577507(+) CAACAAAGGAC >hg38_chr18:73605289-73605299(-) acactatggta >hg38_chr18:73620177-73620187(+) ACACAATGTGT >hg38_chr18:73639279-73639289(-) AAACAATAAGA >hg38_chr18:73680886-73680896(-) TTACAATGGCT >hg38_chr18:73689050-73689060(+) GCACAATGTAA >hg38_chr18:73690425-73690435(-) aaacaatgggc >hg38_chr18:73723337-73723347(+) GGACAATAGAT >hg38_chr18:73727055-73727065(-) ATACAAAGTCG >hg38_chr18:73727069-73727079(-) AGACAATGAGC >hg38_chr18:73739301-73739311(-) GAACAATGGAA >hg38_chr18:73741460-73741470(-) agacaatgtgg >hg38_chr18:73742927-73742937(+) GAACAAAGGCA >hg38_chr18:73746509-73746519(+) atacaATAGGT >hg38_chr18:73780396-73780406(+) GGACAAAGGGT >hg38_chr18:73791221-73791231(-) TTACAATGGCT >hg38_chr18:73791738-73791748(+) ATACAATGGCA >hg38_chr18:73818894-73818904(+) GCATAATGGGT >hg38_chr18:73824761-73824771(+) ccacaatgaaa >hg38_chr18:73842467-73842477(+) GAACAATGCTA >hg38_chr18:73901713-73901723(-) GGACAAAGGGA >hg38_chr18:73978475-73978485(+) gcacaatgcag >hg38_chr18:74040305-74040315(-) tcacaatggca >hg38_chr18:74184598-74184608(-) AAACAAAGGAA >hg38_chr18:74185118-74185128(-) agacaatgacg >hg38_chr18:74185145-74185155(-) agacaatgaac >hg38_chr18:74196851-74196861(+) agacaatgagg >hg38_chr18:74234674-74234684(-) ACACAATGATA >hg38_chr18:74284565-74284575(+) AAACAATAGCA >hg38_chr18:74299833-74299843(-) gaacaaaggga >hg38_chr18:74342653-74342663(+) ATACAATTGAA >hg38_chr18:74343493-74343503(-) GAACAATGTCT >hg38_chr18:74343506-74343516(-) GAACAATGTTA >hg38_chr18:74370530-74370540(+) ACACAATGATT >hg38_chr18:74384232-74384242(-) ttacaaaggtg >hg38_chr18:74412566-74412576(+) CAACAATGGAC >hg38_chr18:74500460-74500470(-) CGACAATGGCC >hg38_chr18:74641244-74641254(-) TAACAATGGAA >hg38_chr18:74688172-74688182(-) AAACAATGACT >hg38_chr18:74689399-74689409(-) TAACAATAACA >hg38_chr18:74689425-74689435(-) TGACAATGCAA >hg38_chr18:74689441-74689451(-) CCACAATGCAA >hg38_chr18:74705887-74705897(-) ATACAATGTAG >hg38_chr18:74706089-74706099(-) TGACAATGACT >hg38_chr18:74748863-74748873(-) ACACAACGGCT >hg38_chr18:74750464-74750474(+) atacaatatgt >hg38_chr18:74757405-74757415(-) atacagtgggt >hg38_chr18:74757617-74757627(-) acacaataaaa >hg38_chr18:74762804-74762814(-) aaacaatgtaa >hg38_chr18:74762820-74762830(-) aaacaaaggga >hg38_chr18:74790374-74790384(-) CAACAATGTAT >hg38_chr18:74790661-74790671(+) GAACAAAGGCC >hg38_chr18:74800263-74800273(-) CTACAATAGAA >hg38_chr18:74819905-74819915(-) gcacaatgaac >hg38_chr18:74850065-74850075(-) AAACAATGTAC >hg38_chr18:74855978-74855988(+) TAACAATAAGA >hg38_chr18:74895778-74895788(+) ATACAATGGGA >hg38_chr18:74895788-74895798(-) GAACAATGAAT >hg38_chr18:74921643-74921653(-) tcacaatgacc >hg38_chr18:74948198-74948208(-) GTACAAAGGAT >hg38_chr18:74948209-74948219(-) AGACAATCGCT >hg38_chr18:74964163-74964173(-) CGACAGTGGGA >hg38_chr18:74968914-74968924(-) ggacaatgaca >hg38_chr18:74991053-74991063(-) AGACAATGGTT >hg38_chr18:75013660-75013670(+) GTACAAAGGCA >hg38_chr18:75057410-75057420(+) ACACAATGTGA >hg38_chr18:75057424-75057434(-) TGACAATAGAA >hg38_chr18:75095370-75095380(-) gaacaaaggag >hg38_chr18:75095793-75095803(-) aaacaatggag >hg38_chr18:75199358-75199368(+) TTACAAAGGGA >hg38_chr18:75210562-75210572(+) TTACAATAACA >hg38_chr18:75252183-75252193(-) caacaatggtt >hg38_chr18:75254524-75254534(-) gaacaataaaa >hg38_chr18:75255741-75255751(+) ACACAATGGAA >hg38_chr18:75263976-75263986(-) GGACAATGGAA >hg38_chr18:75280411-75280421(+) ACATAATGGCT >hg38_chr18:75475768-75475778(-) CCACAATGTTA >hg38_chr18:75475824-75475834(-) TTATAATGTCG >hg38_chr18:75479124-75479134(-) GGACAATGGTG >hg38_chr18:75479441-75479451(+) TAATAATGGTG >hg38_chr18:75479478-75479488(-) AAACAATAACA >hg38_chr18:75601122-75601132(+) aaacaataaga >hg38_chr18:75601146-75601156(+) acacaatatat >hg38_chr18:75612762-75612772(+) TTACAATGCAC >hg38_chr18:75625378-75625388(-) AGACAATGGCT >hg38_chr18:75656393-75656403(+) CAACAATGGAA >hg38_chr18:75656405-75656415(+) TGACAATGTTT >hg38_chr18:75689623-75689633(+) AAACAAAGGTA >hg38_chr18:75695172-75695182(+) TGACAATAGCA >hg38_chr18:75767816-75767826(+) gcacaatggat >hg38_chr18:75798489-75798499(+) gcacaatgttg >hg38_chr18:75977561-75977571(-) AAATAATGGTA >hg38_chr18:75977622-75977632(+) TAACAATAAGA >hg38_chr18:76090293-76090303(-) AAACAATAACT >hg38_chr18:76160731-76160741(-) TCACAATAGGT >hg38_chr18:76209805-76209815(-) AGACAATAGTC >hg38_chr18:76234936-76234946(-) CAACAACGGAC >hg38_chr18:76261218-76261228(+) TCACAATGCCT >hg38_chr18:76284578-76284588(+) gtacaatgtga >hg38_chr18:76291397-76291407(-) gaacaatggaa >hg38_chr18:76291423-76291433(-) gaacaatggaa >hg38_chr18:76302295-76302305(+) aaacaatgaca >hg38_chr18:76361311-76361321(-) TAACAAAGGAT >hg38_chr18:76427520-76427530(+) ACACAATGTGG >hg38_chr18:76451002-76451012(+) CAACAATCGAA >hg38_chr18:76451063-76451073(+) GAACAAAGGAG >hg38_chr18:76452341-76452351(+) AAACAAAGGTT >hg38_chr18:76453476-76453486(+) CTACAATAGTT >hg38_chr18:76454346-76454356(-) GCACAATACGC >hg38_chr18:76454380-76454390(-) GTACAATGATA >hg38_chr18:76468907-76468917(-) ATACAATGGAG >hg38_chr18:76478288-76478298(+) GTACAATAATG >hg38_chr18:76489513-76489523(-) TCACAATGTGA >hg38_chr18:76492387-76492397(-) GCACAATGGGC >hg38_chr18:76493848-76493858(+) CAACAATGCAG >hg38_chr18:76495491-76495501(-) AGACAATAGTG >hg38_chr18:76497207-76497217(-) AGACAATGCCC >hg38_chr18:76497220-76497230(+) CTACAATAATA >hg38_chr18:76498098-76498108(-) AGACAATAGCA >hg38_chr18:76518288-76518298(-) ATACAATAAAG >hg38_chr18:76519896-76519906(-) GAACAATGCTG >hg38_chr18:76565200-76565210(-) AGACAAAGGGA >hg38_chr18:76627556-76627566(+) gcataatggga >hg38_chr18:76634738-76634748(-) ATACAAAGGAA >hg38_chr18:76672299-76672309(-) agacaaaggag >hg38_chr18:76680156-76680166(+) agacaatgagg >hg38_chr18:76691692-76691702(-) AAACAATATAA >hg38_chr18:76692455-76692465(+) TTACAATGTGT >hg38_chr18:76729211-76729221(-) agacaatgagt >hg38_chr18:76729233-76729243(-) atacaataggc >hg38_chr18:76762494-76762504(-) AAACAAAGGAA >hg38_chr18:76771527-76771537(+) GCACAATAGAA >hg38_chr18:76782175-76782185(-) GAACAATGACG >hg38_chr18:76823371-76823381(-) TCACAATGGCG >hg38_chr18:76823440-76823450(-) TCACAATGGTG >hg38_chr18:76831073-76831083(-) taacaatgtat >hg38_chr18:76872877-76872887(-) AAACAATAGCA >hg38_chr18:77017276-77017286(+) CAACAATGAGA >hg38_chr18:77042684-77042694(+) GAACAATGGTG >hg38_chr18:77052459-77052469(-) TTACAATGGCA >hg38_chr18:77052509-77052519(-) CCACAATGGGA >hg38_chr18:77069574-77069584(+) GAACAATGCCG >hg38_chr18:77084566-77084576(+) gaacaatgtat >hg38_chr18:77086829-77086839(+) CAACAATGACA >hg38_chr18:77167567-77167577(-) AAACAATGAAA >hg38_chr18:77177199-77177209(-) caacaatgcat >hg38_chr18:77249047-77249057(+) GCATAATGGAC >hg38_chr18:77337695-77337705(+) CGACACTGGGA >hg38_chr18:77754699-77754709(+) TGATAATGGTG >hg38_chr18:77762768-77762778(-) TTACGATGGGG >hg38_chr18:77825915-77825925(-) GAACAATGTCC >hg38_chr18:77825933-77825943(-) ACACAATAGTG >hg38_chr18:77911738-77911748(+) AGACAATAAAT >hg38_chr18:78007110-78007120(-) ACACAATGAGA >hg38_chr18:78167772-78167782(-) ACATAAtggat >hg38_chr18:78167794-78167804(+) GGACAAAGGAA >hg38_chr18:78167817-78167827(+) GGACAATGCTC >hg38_chr18:78240951-78240961(+) CCACAATGCAC >hg38_chr18:78418972-78418982(-) TGACAATGCAA >hg38_chr18:78701906-78701916(-) TGACAATGAAT >hg38_chr18:78932432-78932442(+) GAACAATGGCC >hg38_chr18:79118178-79118188(-) TAACAATGCTT >hg38_chr18:79118193-79118203(+) GAACAATGTGA >hg38_chr18:79123059-79123069(-) atacaatgttg >hg38_chr18:79204809-79204819(-) CAACAAAGGAC >hg38_chr18:79319558-79319568(-) AAACAATGAGG >hg38_chr18:79323614-79323624(-) caacaatgtga >hg38_chr18:79323666-79323676(-) acacaatggag >hg38_chr18:79332748-79332758(+) GTATAATGAAT >hg38_chr18:79332767-79332777(-) TTACAAAGGCA >hg38_chr18:79390384-79390394(+) aaataatggtt >hg38_chr18:79390396-79390406(+) tcacaatgtat >hg38_chr18:79498613-79498623(+) aaataatggcc >hg38_chr18:79528869-79528879(-) GAACAATAGAT >hg38_chr18:79896443-79896453(-) taacaatgtgt >hg38_chr18:79930615-79930625(-) atacaatgcat >hg38_chr18:79930664-79930674(+) tgacaataata >hg38_chr18:79951686-79951696(+) TCACAATGCCG >hg38_chr18:79958529-79958539(-) GCACAATGGaa >hg38_chr18:80034088-80034098(-) CGACAACGGCG >hg38_chr18:80069760-80069770(+) ATACCATGGTA >hg38_chr18:80069761-80069771(-) TTACCATGGTA >hg38_chr18:80074780-80074790(-) TGACAATGTCC >hg38_chr18:80116224-80116234(-) atacaatagat >hg38_chr18:80122522-80122532(-) acacaatgaaa >hg38_chr18:80126245-80126255(-) gaacaaaggct >hg38_chr18:80129728-80129738(+) atacaatagat >hg38_chr18:80197134-80197144(+) CTACAATGGGG >hg38_chr18:80215444-80215454(+) tgacaatgtta >hg38_chr18:80221429-80221439(+) aaacaatatta >hg38_chr18:80221614-80221624(+) agacaatgatt >hg38_chr19:266729-266739(+) GAACAATGGAA >hg38_chr19:290562-290572(-) AGACAATGGCC >hg38_chr19:290596-290606(-) GAACAAAGGCC >hg38_chr19:384665-384675(-) tgacaatgctg >hg38_chr19:461949-461959(+) GAACAAAGGGA >hg38_chr19:485915-485925(-) GGACAAAGGAC >hg38_chr19:485972-485982(-) GAACAAAGGGG >hg38_chr19:532587-532597(-) CAACAATAGCA >hg38_chr19:571746-571756(+) ggacaaaggaa >hg38_chr19:718361-718371(+) gcacaatggcc >hg38_chr19:770363-770373(+) AGACAATGACT >hg38_chr19:782688-782698(+) AAACAATGTGG >hg38_chr19:799197-799207(+) GGACAATGGTG >hg38_chr19:883998-884008(+) CCACAATGGGC >hg38_chr19:918049-918059(+) GAACAAAGGGC >hg38_chr19:1021703-1021713(-) AGACTATGACG >hg38_chr19:1101925-1101935(+) AGACAATGGGA >hg38_chr19:1104671-1104681(-) GGACAAAGGGC >hg38_chr19:1246649-1246659(-) GAACAAAGGTG >hg38_chr19:1250920-1250930(-) GAACAAAGGGG >hg38_chr19:1252394-1252404(-) GAACAAAGGCC >hg38_chr19:1283702-1283712(-) GAACAAAGGCG >hg38_chr19:1325422-1325432(+) GCACAAAGGTC >hg38_chr19:1325451-1325461(+) AGACAACGGCC >hg38_chr19:1354726-1354736(+) GGACAAAGGGC >hg38_chr19:1358082-1358092(+) TAACAAAGGAG >hg38_chr19:1362205-1362215(+) AGACAATGCAA >hg38_chr19:1381524-1381534(-) GAACAATGAAG >hg38_chr19:1414395-1414405(-) aaacaatagat >hg38_chr19:1426325-1426335(-) AGACAATGGGG >hg38_chr19:1439871-1439881(-) CTACAATGAAC >hg38_chr19:1439885-1439895(+) CTACAATGGAC >hg38_chr19:1452056-1452066(+) AGACAAAGGCG >hg38_chr19:1491098-1491108(-) GGACAAAGGAG >hg38_chr19:1566767-1566777(+) GAACAATGGGG >hg38_chr19:1567304-1567314(+) GGACAAAGGCG >hg38_chr19:1568404-1568414(+) CAACAATGGGC >hg38_chr19:1658247-1658257(-) gaacaatggga >hg38_chr19:1747309-1747319(+) GAACAATGGGA >hg38_chr19:1812735-1812745(+) TTACAAAGGAG >hg38_chr19:1874287-1874297(+) AGACAATGGGG >hg38_chr19:1942395-1942405(-) AAACAATGCCA >hg38_chr19:2028905-2028915(+) TGACAATGGCA >hg38_chr19:2032749-2032759(+) GGACAAAGGAG >hg38_chr19:2042763-2042773(-) TAACAAAGGTA >hg38_chr19:2163929-2163939(-) GCACAATGAGC >hg38_chr19:2275312-2275322(+) AGACAATGACA >hg38_chr19:2329704-2329714(-) ggacaaaggca >hg38_chr19:2468742-2468752(-) acacaATGGAC >hg38_chr19:2475274-2475284(+) CAACAATGGCT >hg38_chr19:2476029-2476039(-) AGACAAGGGCG >hg38_chr19:2543741-2543751(+) CCACAATGAGG >hg38_chr19:2685884-2685894(+) AAACAAAGGGC >hg38_chr19:2887456-2887466(-) GGACAATGACT >hg38_chr19:2915014-2915024(+) ATACAATGTTG >hg38_chr19:2915063-2915073(-) taacaatgtga >hg38_chr19:2950808-2950818(-) CAACAATGCCA >hg38_chr19:2958093-2958103(-) TTACAATGCTT >hg38_chr19:2958106-2958116(+) GGACAATGACA >hg38_chr19:2958165-2958175(+) AGACAATGTAT >hg38_chr19:3003184-3003194(-) AGATAATGGAT >hg38_chr19:3035898-3035908(-) TAATAATGGAG >hg38_chr19:3136828-3136838(-) ACACAGTGGAC >hg38_chr19:3136846-3136856(+) ATACAATAGCA >hg38_chr19:3159789-3159799(+) gtacaatgggc >hg38_chr19:3185503-3185513(-) ACACAAAGGGT >hg38_chr19:3336950-3336960(-) AAACAAAGGGA >hg38_chr19:3362149-3362159(-) TCACAATGACA >hg38_chr19:3518404-3518414(-) CAACAATGGAA >hg38_chr19:3573996-3574006(+) GCACAATGCCA >hg38_chr19:3580281-3580291(-) ggacaatgact >hg38_chr19:3700749-3700759(+) TGACAATAATA >hg38_chr19:3722830-3722840(-) GAACAATGGCA >hg38_chr19:3783853-3783863(-) TCACAATCGAT >hg38_chr19:4139784-4139794(-) ccacaatgtgc >hg38_chr19:4182360-4182370(-) AGACAATGGGA >hg38_chr19:4182557-4182567(+) GAACAATAAAG >hg38_chr19:4279489-4279499(+) CGACAAAGGAT >hg38_chr19:4328673-4328683(-) GCACAACGGGT >hg38_chr19:4343149-4343159(-) GAACAATGCGG >hg38_chr19:4375684-4375694(-) TTACAATGCAT >hg38_chr19:4388499-4388509(-) CCACAATAGGC >hg38_chr19:4400660-4400670(-) AGACAATGTGC >hg38_chr19:4575490-4575500(+) AGACAAAGGCG >hg38_chr19:4598903-4598913(-) GGACAATGGAC >hg38_chr19:4648442-4648452(+) GAACAATGGGT >hg38_chr19:4724831-4724841(-) ATACAATAACA >hg38_chr19:4732771-4732781(+) GAACAATAGTT >hg38_chr19:4764526-4764536(+) GAACAAAGGGG >hg38_chr19:4894936-4894946(-) TTACAATGTAA >hg38_chr19:4909960-4909970(-) CGACAATTGAA >hg38_chr19:4911212-4911222(+) GAACAAAGGGA >hg38_chr19:4976806-4976816(-) AGACAAAGGAA >hg38_chr19:5193457-5193467(-) TCACAAAGGAA >hg38_chr19:5226043-5226053(-) cgacaatggGC >hg38_chr19:5338698-5338708(+) GCACAAAGGAA >hg38_chr19:5340531-5340541(+) GCACAATGGCC >hg38_chr19:5346088-5346098(+) gaacaaaggag >hg38_chr19:5393578-5393588(-) GTACAAAGGGG >hg38_chr19:5393599-5393609(+) ACACAATGGCC >hg38_chr19:5396542-5396552(+) GAACAATGAGG >hg38_chr19:5437229-5437239(+) ggataatgggg >hg38_chr19:5492741-5492751(-) GGACAAAGGCG >hg38_chr19:5494918-5494928(-) TAACAATAGCA >hg38_chr19:5515919-5515929(+) gaacaatagtc >hg38_chr19:5773888-5773898(+) ACACAATGTTT >hg38_chr19:5823697-5823707(-) GGACAAAGGCG >hg38_chr19:5878160-5878170(+) TAACAATAGCA >hg38_chr19:5904110-5904120(+) AAACAATGACT >hg38_chr19:5910130-5910140(+) TAACAATGGGC >hg38_chr19:5910180-5910190(+) GTACAAAGGAA >hg38_chr19:5953742-5953752(+) AAACAATGGTG >hg38_chr19:5978077-5978087(-) GTAAAATGGCG >hg38_chr19:6030601-6030611(+) ggacaatggag >hg38_chr19:6050959-6050969(+) taacaatggca >hg38_chr19:6050976-6050986(+) agacaatggag >hg38_chr19:6051471-6051481(+) taacaatgcac >hg38_chr19:6051478-6051488(-) ttacaatgtgc >hg38_chr19:6051769-6051779(-) atataatggga >hg38_chr19:6053108-6053118(+) aaacaatggag >hg38_chr19:6057303-6057313(+) GCACAAAGGGA >hg38_chr19:6066797-6066807(+) TAACAACGGTT >hg38_chr19:6101857-6101867(+) tcacaatagct >hg38_chr19:6118239-6118249(+) aaacaatgaaa >hg38_chr19:6218807-6218817(-) GAACAATGGGC >hg38_chr19:6241850-6241860(+) ACACAATGTGG >hg38_chr19:6241923-6241933(-) GGACAATCGGC >hg38_chr19:6373294-6373304(+) GGACAAAGGAG >hg38_chr19:6553377-6553387(+) ccacaatagtg >hg38_chr19:6678081-6678091(+) tgacaatggtg >hg38_chr19:6699980-6699990(-) atataatgtat >hg38_chr19:6728821-6728831(-) atacaatagca >hg38_chr19:6767169-6767179(+) AAACAATGGCT >hg38_chr19:6795277-6795287(+) aaacaaaggaa >hg38_chr19:6802628-6802638(-) AGACAATAGAG >hg38_chr19:6810882-6810892(-) CAACaatgggc >hg38_chr19:6863662-6863672(-) GGACAATGCGG >hg38_chr19:6863710-6863720(-) TTACAATGGGC >hg38_chr19:6947936-6947946(+) cgacaatggta >hg38_chr19:7183034-7183044(-) GTACAATATGT >hg38_chr19:7376344-7376354(+) TCACAAAGGAC >hg38_chr19:7376371-7376381(-) CAACAATGGCC >hg38_chr19:7404257-7404267(-) CAACAATGAAC >hg38_chr19:7506719-7506729(-) GGACAAAGGAA >hg38_chr19:7515960-7515970(+) AGACAAAGGCG >hg38_chr19:7521842-7521852(-) tgacaATGCCA >hg38_chr19:7554681-7554691(-) CCACAATGAGG >hg38_chr19:7596250-7596260(+) gaacaatagcg >hg38_chr19:7645501-7645511(+) ACACAATAGGC >hg38_chr19:7800037-7800047(+) caacaatgggc >hg38_chr19:7872274-7872284(-) CGACAATGCAG >hg38_chr19:8017972-8017982(-) acacaaaggag >hg38_chr19:8075875-8075885(+) tgacaatagtg >hg38_chr19:8085577-8085587(+) GAACAATGGGA >hg38_chr19:8085589-8085599(+) AGACAACGGTC >hg38_chr19:8086314-8086324(-) TGACAATGAAT >hg38_chr19:8268814-8268824(-) acacaaaggca >hg38_chr19:8390412-8390422(+) GGACAATGGGG >hg38_chr19:8434518-8434528(-) atacaatgaaT >hg38_chr19:8441872-8441882(+) TCACAAAGGAA >hg38_chr19:8455349-8455359(-) GCACAATGTGA >hg38_chr19:8488572-8488582(-) ATACAATGGCG >hg38_chr19:8505872-8505882(-) ACACAAAGGGT >hg38_chr19:8615924-8615934(-) CAACAATGGAG >hg38_chr19:9055559-9055569(+) TTACTATGGTA >hg38_chr19:9070251-9070261(-) GGACAAAGGGA >hg38_chr19:9070273-9070283(-) AGACAATGGAA >hg38_chr19:9143051-9143061(-) aaacaatagct >hg38_chr19:9143454-9143464(-) ATACAATAAAG >hg38_chr19:9194571-9194581(+) GAACAAAGGTA >hg38_chr19:9207696-9207706(-) AGACAATGGCT >hg38_chr19:9434530-9434540(+) AGACAATATAA >hg38_chr19:9435861-9435871(+) GCACAATGCTC >hg38_chr19:9621941-9621951(+) gaacaaaggaa >hg38_chr19:9622660-9622670(+) gaacaaaggaa >hg38_chr19:9721223-9721233(-) gaacaatatag >hg38_chr19:9763798-9763808(+) AAACAATGAGC >hg38_chr19:9883451-9883461(-) TAACAAAGGTC >hg38_chr19:9934341-9934351(-) GGACAACGGGG >hg38_chr19:10067399-10067409(+) AGACAATGgag >hg38_chr19:10204742-10204752(+) AAACAAAGggc >hg38_chr19:10207644-10207654(-) ccacaataggg >hg38_chr19:10209516-10209526(+) atacaatatca >hg38_chr19:10230005-10230015(+) gaaCAAAGGAG >hg38_chr19:10231480-10231490(-) GGACAAAGGGC >hg38_chr19:10286105-10286115(-) TAACAAAGGTG >hg38_chr19:10286151-10286161(+) TCACAATGACA >hg38_chr19:10492273-10492283(+) CGACAGTGGGA >hg38_chr19:10502271-10502281(+) CAACAATGCCC >hg38_chr19:10541639-10541649(-) TGACAATGCAG >hg38_chr19:10602313-10602323(+) AAACAAAGGTT >hg38_chr19:10654071-10654081(-) TGACAATGGCG >hg38_chr19:10655039-10655049(-) GGACAAAGGAG >hg38_chr19:10684623-10684633(+) AGACAATGGCA >hg38_chr19:10699930-10699940(+) GGACAATAGCG >hg38_chr19:10747615-10747625(-) gaacaatgGGC >hg38_chr19:10814968-10814978(-) GGACAATGAGA >hg38_chr19:10877038-10877048(-) GGACAAAGGGT >hg38_chr19:10879204-10879214(+) ggacaaaggag >hg38_chr19:10891892-10891902(+) ACACAATGGCA >hg38_chr19:10961525-10961535(+) CAACAATAGGC >hg38_chr19:10962266-10962276(-) TTACAATAGTG >hg38_chr19:11089086-11089096(+) GGACAATGGCA >hg38_chr19:11089086-11089096(+) GGACAATGGCA >hg38_chr19:11094927-11094937(-) GAACAATAAAA >hg38_chr19:11095272-11095282(-) TGACAATATCG >hg38_chr19:11137829-11137839(+) AGACAAAGGCA >hg38_chr19:11183092-11183102(-) tatcaatggac >hg38_chr19:11243399-11243409(+) TGACAATGACA >hg38_chr19:11275118-11275128(+) ctacaatggaa >hg38_chr19:11275566-11275576(+) AGACAATAGGG >hg38_chr19:11275580-11275590(-) GCACAATGGGT >hg38_chr19:11280046-11280056(+) CCACAATAGGA >hg38_chr19:11280095-11280105(-) AAACAATGGGC >hg38_chr19:11339414-11339424(-) GAACAAAGGCG >hg38_chr19:11369854-11369864(+) CAACAATGGGA >hg38_chr19:11560643-11560653(+) ttacgatgggg >hg38_chr19:11597476-11597486(-) AAACAAAGGCT >hg38_chr19:11640443-11640453(+) CAACAATGTCA >hg38_chr19:11672764-11672774(-) gtacaatggca >hg38_chr19:11704671-11704681(-) caacaatgtgc >hg38_chr19:11740579-11740589(+) GAACAATGTTC >hg38_chr19:11740622-11740632(+) GCACAATGTAT >hg38_chr19:11742420-11742430(-) gaacaatagcc >hg38_chr19:11755486-11755496(+) CTACAATGACA >hg38_chr19:11781778-11781788(-) GGACAATGGAA >hg38_chr19:11887822-11887832(-) GGACAAAGGTG >hg38_chr19:11965003-11965013(-) AAACAATGGGC >hg38_chr19:11965047-11965057(-) GGACAAAGGTG >hg38_chr19:11978783-11978793(+) AAACAATGTGG >hg38_chr19:11995476-11995486(-) AAATAATGGAA >hg38_chr19:12035778-12035788(+) TGACAATAGAA >hg38_chr19:12113368-12113378(+) ACACACTGGCG >hg38_chr19:12113394-12113404(+) AAACAATGTGG >hg38_chr19:12156978-12156988(-) AGACAACGGTA >hg38_chr19:12157013-12157023(-) AGACAAAGGAG >hg38_chr19:12208929-12208939(+) GAACAATGTGA >hg38_chr19:12261636-12261646(+) taacaataaca >hg38_chr19:12261660-12261670(+) aGACAATGTGT >hg38_chr19:12261672-12261682(+) CAACAATAGTG >hg38_chr19:12325978-12325988(+) aaacaatgaag >hg38_chr19:12495399-12495409(+) GTACAAAGGCG >hg38_chr19:12502730-12502740(+) ATATAATGTAC >hg38_chr19:12502744-12502754(+) GGACAATGAAA >hg38_chr19:12571659-12571669(-) gaacaaaggtc >hg38_chr19:12669696-12669706(+) GAACAATAATA >hg38_chr19:12723044-12723054(+) AGACAAAGGAA >hg38_chr19:12733823-12733833(+) gcacaatgcct >hg38_chr19:12734736-12734746(+) GAACAATGCAT >hg38_chr19:12838981-12838991(+) GAACAATAGCC >hg38_chr19:12913516-12913526(+) AAACAATGTTT >hg38_chr19:12983584-12983594(+) GAACAATGCCC >hg38_chr19:13053954-13053964(+) AAACAAAGGCC >hg38_chr19:13060799-13060809(+) GAACAAAGGGG >hg38_chr19:13069472-13069482(-) GGACAATGGGC >hg38_chr19:13072310-13072320(+) GCACAATGAGC >hg38_chr19:13092200-13092210(+) GAACAATAGAA >hg38_chr19:13092574-13092584(-) GGACAATAGGA >hg38_chr19:13093015-13093025(-) CCACAATGAGC >hg38_chr19:13093069-13093079(-) ccacaatggac >hg38_chr19:13118285-13118295(+) CCACAATGAAT >hg38_chr19:13133705-13133715(-) tcacaatagcc >hg38_chr19:13155156-13155166(+) AGACAATAGTG >hg38_chr19:13155612-13155622(+) AGACAAAGGAG >hg38_chr19:13164646-13164656(+) GAACAATGGGG >hg38_chr19:13261207-13261217(+) TGACAAAGGCG >hg38_chr19:13407611-13407621(-) aaactatggta >hg38_chr19:13409136-13409146(-) TATCAATGGAC >hg38_chr19:13442043-13442053(+) GCACAATACGG >hg38_chr19:13605695-13605705(-) GCACAATGGGA >hg38_chr19:13785193-13785203(-) GCACAAAGGGA >hg38_chr19:13795439-13795449(-) CAACAATGAAG >hg38_chr19:13843761-13843771(-) GAACAATGCAG >hg38_chr19:13847426-13847436(+) AAACAATGGCG >hg38_chr19:14089466-14089476(+) GAACaatacgg >hg38_chr19:14337653-14337663(-) GTACAGTGGGC >hg38_chr19:14353514-14353524(+) GAACAATGggt >hg38_chr19:14423662-14423672(+) acacaatgcta >hg38_chr19:14423715-14423725(+) TTacaatggta >hg38_chr19:14496753-14496763(+) GTACAATGGAC >hg38_chr19:14520091-14520101(+) gcacaatgagg >hg38_chr19:14574363-14574373(-) tcacaatgtga >hg38_chr19:14795252-14795262(+) CAACAATAGAG >hg38_chr19:14840467-14840477(-) GAACAATATAT >hg38_chr19:14868528-14868538(-) tcacaatagcc >hg38_chr19:14868578-14868588(-) tgacaatagtg >hg38_chr19:14868857-14868867(-) atacaatggtc >hg38_chr19:15155462-15155472(-) caacaaaggac >hg38_chr19:15178969-15178979(+) CCACAATGGGG >hg38_chr19:15194466-15194476(-) gaacaatagta >hg38_chr19:15200009-15200019(-) GGACAATGGCC >hg38_chr19:15234862-15234872(+) GAACAATGCAT >hg38_chr19:15264200-15264210(+) CCACAATGGCC >hg38_chr19:15281596-15281606(-) TAACAATGGAT >hg38_chr19:15303590-15303600(+) atacaataaaa >hg38_chr19:15327672-15327682(+) atataatggag >hg38_chr19:15433294-15433304(-) GGACAATCGGA >hg38_chr19:15810596-15810606(+) gaacaatgctg >hg38_chr19:15869177-15869187(-) TAACAATAGGC >hg38_chr19:15888976-15888986(+) ggacaaaggcg >hg38_chr19:15934474-15934484(+) GGACAGTGGAA >hg38_chr19:15971699-15971709(+) aaacaatggaa >hg38_chr19:15991305-15991315(+) agacaatgacg >hg38_chr19:16066192-16066202(+) TAACAATAATA >hg38_chr19:16079009-16079019(-) CTACAAAGGAT >hg38_chr19:16273265-16273275(-) ATACAATGACA >hg38_chr19:16316475-16316485(+) ACACAATAGCT >hg38_chr19:16399048-16399058(+) GAACAATGGCA >hg38_chr19:16496315-16496325(-) TCACAATCGCG >hg38_chr19:16719825-16719835(+) AAACAATCGCT >hg38_chr19:16768746-16768756(+) tcacaaaggaa >hg38_chr19:16779017-16779027(-) ccacaatgggg >hg38_chr19:17001369-17001379(+) GCACACTGGCG >hg38_chr19:17054987-17054997(+) gaacaaTggca >hg38_chr19:17079900-17079910(+) GAACAAAGGGC >hg38_chr19:17135094-17135104(-) tcacaatagcc >hg38_chr19:17160132-17160142(+) TAACAATAGGA >hg38_chr19:17205479-17205489(-) GGACAATGGTG >hg38_chr19:17215401-17215411(+) CGATAATGGCG >hg38_chr19:17220344-17220354(+) CCACAATGGAC >hg38_chr19:17244506-17244516(+) AGACAATGGCC >hg38_chr19:17309406-17309416(+) CCACAATGGGA >hg38_chr19:17348951-17348961(-) GGACAAAGGAA >hg38_chr19:17391079-17391089(+) CAACAATGCCC >hg38_chr19:17450396-17450406(-) acacaatgtgt >hg38_chr19:17475839-17475849(-) GGACAATGAGC >hg38_chr19:17479465-17479475(-) ATACAATGGAT >hg38_chr19:17860539-17860549(-) GAACAATGGGG >hg38_chr19:17932021-17932031(+) GGACAATGGGA >hg38_chr19:17950414-17950424(+) GAACAAAGGGT >hg38_chr19:17950870-17950880(-) GAACAAAGGGG >hg38_chr19:17951650-17951660(+) CAACAATGAAA >hg38_chr19:18015628-18015638(-) gtacaatgtgg >hg38_chr19:18015679-18015689(-) acacaatggaa >hg38_chr19:18053817-18053827(+) acacaatggaa >hg38_chr19:18104852-18104862(+) CAACAATAGTA >hg38_chr19:18185796-18185806(+) aaacaatagca >hg38_chr19:18185817-18185827(-) ctacaatgaat >hg38_chr19:18239509-18239519(+) aaacaatggga >hg38_chr19:18251929-18251939(+) AGACAATGGAG >hg38_chr19:18322366-18322376(+) AAACAATGGGC >hg38_chr19:18323310-18323320(-) TCACAATGCAC >hg38_chr19:18400532-18400542(-) aaacaatgctg >hg38_chr19:18429641-18429651(-) ACACAATGGCC >hg38_chr19:18434135-18434145(-) GGACAATGGGG >hg38_chr19:18490442-18490452(-) ggacaatgtga >hg38_chr19:18522403-18522413(-) ATACTATGGAG >hg38_chr19:18557729-18557739(-) TCACAATGAAT >hg38_chr19:18589964-18589974(+) CAACAATGCTC >hg38_chr19:18593880-18593890(+) AGACAATGCCA >hg38_chr19:18593925-18593935(+) TAACAAAGGAA >hg38_chr19:18604626-18604636(+) AAACAATGGGC >hg38_chr19:18638002-18638012(-) ATACAATGCAT >hg38_chr19:18645161-18645171(+) GCACAAAGGAC >hg38_chr19:18657973-18657983(-) CCACAATGTTT >hg38_chr19:18678168-18678178(+) GCACAATGAGC >hg38_chr19:18757249-18757259(-) GGACAAAGGCA >hg38_chr19:18894861-18894871(-) GGACAATGTGC >hg38_chr19:18919784-18919794(+) GAACAATGTCG >hg38_chr19:18969762-18969772(-) taacaaaggga >hg38_chr19:18985367-18985377(+) GAacaatggcc >hg38_chr19:19032570-19032580(+) TGACAATGAAC >hg38_chr19:19123432-19123442(+) ctacaaaggaa >hg38_chr19:19175166-19175176(+) AGACAATGACC >hg38_chr19:19256501-19256511(-) GGACAACGGGG >hg38_chr19:19377814-19377824(-) GGACAAAGGAA >hg38_chr19:19390834-19390844(-) TTACAATGAAA >hg38_chr19:19438489-19438499(-) AAACAATGCCC >hg38_chr19:19445773-19445783(-) agacaatggaa >hg38_chr19:19445849-19445859(-) ccacaatagcc >hg38_chr19:19628899-19628909(-) GGACAATGGGG >hg38_chr19:19642923-19642933(+) TGACAATGGAA >hg38_chr19:19643370-19643380(+) CCACAATGGAG >hg38_chr19:19733342-19733352(-) tcacaatgtga >hg38_chr19:19733453-19733463(-) agacaatggaa >hg38_chr19:19821744-19821754(+) AGACAATGGGC >hg38_chr19:19865849-19865859(-) AAACAAAGGCC >hg38_chr19:19900939-19900949(-) AGACAAAGGAC >hg38_chr19:19986139-19986149(+) gcacaatgaat >hg38_chr19:20125182-20125192(+) CTACAATACGA >hg38_chr19:20238938-20238948(-) caacaaTAGTA >hg38_chr19:20238951-20238961(+) aaacaatagac >hg38_chr19:20255479-20255489(-) taacaatgcga >hg38_chr19:20422612-20422622(-) AAACAATGAAT >hg38_chr19:21045684-21045694(-) acacaataata >hg38_chr19:21045710-21045720(-) ctacaaaggga >hg38_chr19:21089995-21090005(+) atacaaaggaa >hg38_chr19:21317912-21317922(+) ctacaatggtt >hg38_chr19:21323153-21323163(-) aaacaatgaag >hg38_chr19:21397129-21397139(-) AAACAATGGCC >hg38_chr19:21541639-21541649(+) TTACAATGAAC >hg38_chr19:21600999-21601009(-) TCACAATAGCC >hg38_chr19:22631184-22631194(+) aaataatggaG >hg38_chr19:22631198-22631208(+) GGACAATGTGT >hg38_chr19:22811516-22811526(-) tcacaatggtc >hg38_chr19:23084291-23084301(+) gtacaatgctg >hg38_chr19:23122747-23122757(+) GTATAATAGTA >hg38_chr19:23271715-23271725(+) gaataatggct >hg38_chr19:23817635-23817645(+) gcacaatggat >hg38_chr19:23824158-23824168(+) gaacaaaggca >hg38_chr19:23917920-23917930(+) gaacaaaggaa >hg38_chr19:24046636-24046646(+) AAACAATGAAA >hg38_chr19:24046671-24046681(+) TCACAATGTGA >hg38_chr19:24074109-24074119(-) tcacaatgacc >hg38_chr19:24096766-24096776(-) taacagtggga >hg38_chr19:27702824-27702834(+) tcacaatgggc >hg38_chr19:27713972-27713982(+) aaacaaagggt >hg38_chr19:27715298-27715308(-) gtacaatgaga >hg38_chr19:27715318-27715328(-) aaacaatgttt >hg38_chr19:27723812-27723822(+) gtacaataggg >hg38_chr19:27732878-27732888(-) ccacaatgaaa >hg38_chr19:27757733-27757743(+) ttacaatgcct >hg38_chr19:27793950-27793960(+) ATACAACGGGA >hg38_chr19:27906228-27906238(-) GGACAATAGCC >hg38_chr19:27971250-27971260(-) GAACAAAGACG >hg38_chr19:28026002-28026012(-) tgacaatagca >hg38_chr19:28028236-28028246(-) agacaaagggt >hg38_chr19:28164628-28164638(+) AGACAATAGCA >hg38_chr19:28289866-28289876(+) AAACAATGCAA >hg38_chr19:28407854-28407864(-) aaacaatagct >hg38_chr19:28407930-28407940(+) cgacaatgtga >hg38_chr19:28414728-28414738(-) acacaatggag >hg38_chr19:28456507-28456517(+) GAACAAAGGCT >hg38_chr19:28494170-28494180(+) GGACAATGGGG >hg38_chr19:28509028-28509038(+) agacaaaggag >hg38_chr19:28535969-28535979(+) ttacaatggaa >hg38_chr19:28560212-28560222(-) atacagtggag >hg38_chr19:28571229-28571239(+) tgacaatagca >hg38_chr19:28705235-28705245(+) ATACACTGGAA >hg38_chr19:28744645-28744655(+) taacaatgtgg >hg38_chr19:28752588-28752598(+) TTACAATGTTT >hg38_chr19:28955748-28955758(-) tgacaatagca >hg38_chr19:29011349-29011359(+) ACACAAAGGCG >hg38_chr19:29082858-29082868(-) taacaatgtca >hg38_chr19:29158280-29158290(-) TGACAATGGCC >hg38_chr19:29202049-29202059(+) CTACAATGTGA >hg38_chr19:29203104-29203114(-) TAACAATGTCC >hg38_chr19:29344727-29344737(+) gcacaaaggac >hg38_chr19:29344788-29344798(+) atacaaaggca >hg38_chr19:29391651-29391661(+) atacaatgcaa >hg38_chr19:29391666-29391676(+) atacaatacaa >hg38_chr19:29391671-29391681(+) atacaatacaa >hg38_chr19:29391676-29391686(+) atacaataGAT >hg38_chr19:29455660-29455670(+) tgacaacggag >hg38_chr19:29465464-29465474(+) gcacaatgtgt >hg38_chr19:29581889-29581899(-) AGACAATGATA >hg38_chr19:29596352-29596362(-) aaacaatggag >hg38_chr19:29610818-29610828(-) AGACAATGAGT >hg38_chr19:29634364-29634374(+) tgataatggaa >hg38_chr19:29634429-29634439(+) caacaatgtgg >hg38_chr19:29672043-29672053(+) acacaatgaac >hg38_chr19:29683126-29683136(+) GCACAATGGAC >hg38_chr19:29683148-29683158(-) GCACAAAGGCG >hg38_chr19:29683166-29683176(+) AAACAATGGAC >hg38_chr19:29722220-29722230(-) GTACACTGGAG >hg38_chr19:29749126-29749136(+) GGACAATGTTC >hg38_chr19:29923904-29923914(+) ttataatggag >hg38_chr19:29953604-29953614(+) agacaatggaa >hg38_chr19:29953817-29953827(-) atacagtggct >hg38_chr19:29968305-29968315(-) CCACAATGGAA >hg38_chr19:30000083-30000093(-) CAACAATAGCA >hg38_chr19:30002074-30002084(+) TCACAAAGGAT >hg38_chr19:30003644-30003654(+) CAACAATAGTT >hg38_chr19:30015362-30015372(-) AAACAATGCAT >hg38_chr19:30027892-30027902(-) taacaataata >hg38_chr19:30029088-30029098(-) aaacaatgaag >hg38_chr19:30049422-30049432(+) ACACAATACCG >hg38_chr19:30132469-30132479(-) AGACAATGGCC >hg38_chr19:30163705-30163715(-) AAACGATGGAT >hg38_chr19:30223079-30223089(+) TTACAATAACA >hg38_chr19:30227481-30227491(-) TAACAATATCT >hg38_chr19:30237360-30237370(-) TCACAATGGCC >hg38_chr19:30243859-30243869(-) ACACAATGCAA >hg38_chr19:30243886-30243896(+) GAACAATGAAA >hg38_chr19:30245527-30245537(+) GAACAATGACA >hg38_chr19:30245566-30245576(-) GAACAAAGGAT >hg38_chr19:30264718-30264728(-) TGACAATAGCG >hg38_chr19:30276901-30276911(+) AAACAAAGGGT >hg38_chr19:30276953-30276963(+) TTACAATGTTC >hg38_chr19:30310824-30310834(-) TAACAATGAGT >hg38_chr19:30351918-30351928(+) GAACAAAGGGA >hg38_chr19:30373777-30373787(-) TAACAATGTGC >hg38_chr19:30448758-30448768(+) TTACAATGAGT >hg38_chr19:30448778-30448788(-) TAACAAAGGAC >hg38_chr19:30450189-30450199(-) CAACAATAGGG >hg38_chr19:30487346-30487356(+) ttacaatgatg >hg38_chr19:30491466-30491476(+) ATACAATGCCA >hg38_chr19:30491518-30491528(+) GAACAAAGGGA >hg38_chr19:30492349-30492359(+) CTACAATGGCA >hg38_chr19:30497245-30497255(+) CCACAATGGTA >hg38_chr19:30522034-30522044(+) GAACACTGGAC >hg38_chr19:30522100-30522110(+) AGACAATGGAC >hg38_chr19:30556588-30556598(-) ATACAATGGTA >hg38_chr19:30564730-30564740(+) AGATAATGGTC >hg38_chr19:30564738-30564748(-) AAACAATGGAC >hg38_chr19:30599723-30599733(+) AAACAATGGCA >hg38_chr19:30623589-30623599(+) ctacaatgact >hg38_chr19:30650149-30650159(-) GCACAATGAAA >hg38_chr19:30662400-30662410(-) TAACAATGTAA >hg38_chr19:30664938-30664948(+) TCACAATAGTG >hg38_chr19:30762175-30762185(-) taataatgtat >hg38_chr19:30762202-30762212(+) acactatggtt >hg38_chr19:30762214-30762224(-) acacaaaggaa >hg38_chr19:30800302-30800312(-) AGACAATGCAG >hg38_chr19:30907670-30907680(+) TTACAATAGCT >hg38_chr19:30923360-30923370(-) TGACAATAGGC >hg38_chr19:30952892-30952902(-) GAACAATAGTA >hg38_chr19:31003096-31003106(+) AAACAATGCTC >hg38_chr19:31049531-31049541(+) acactatggac >hg38_chr19:31059031-31059041(-) GAACAATGGCG >hg38_chr19:31059456-31059466(+) tcacaatagcg >hg38_chr19:31063546-31063556(+) ATACAGTGGGC >hg38_chr19:31186672-31186682(+) caacaatgtaa >hg38_chr19:31254553-31254563(+) CCACAATGGAT >hg38_chr19:31316293-31316303(-) ACACAATGGAG >hg38_chr19:31316316-31316326(+) TAACACTGGAA >hg38_chr19:31318549-31318559(-) ATACAATGAAC >hg38_chr19:31330959-31330969(+) GGACAATGGGA >hg38_chr19:31334437-31334447(+) TAACAATTGCG >hg38_chr19:31337110-31337120(+) TGACAATAGCG >hg38_chr19:31383792-31383802(-) AGACAATGGGA >hg38_chr19:31388051-31388061(+) ATACAAAGGAG >hg38_chr19:31445681-31445691(-) agacaatgtca >hg38_chr19:31463978-31463988(+) aaacaatgcag >hg38_chr19:31463990-31464000(-) ttacaataata >hg38_chr19:31463998-31464008(+) taacaataata >hg38_chr19:31464010-31464020(+) aaacaatagca >hg38_chr19:31602849-31602859(-) CAACAATGGTT >hg38_chr19:31664711-31664721(-) GAACAAAGGCT >hg38_chr19:31676581-31676591(-) CAACAATGACA >hg38_chr19:31761699-31761709(+) TCACAATGAAG >hg38_chr19:31775480-31775490(-) AAACAAAGGAG >hg38_chr19:32078592-32078602(+) taataatggga >hg38_chr19:32158643-32158653(-) acacaatgaac >hg38_chr19:32212259-32212269(+) atataatgata >hg38_chr19:32212320-32212330(+) aaacaaaggag >hg38_chr19:32213762-32213772(+) acacaatgctg >hg38_chr19:32303426-32303436(-) aaacaatgaat >hg38_chr19:32308941-32308951(+) GGACAAAGGAA >hg38_chr19:32313816-32313826(-) gaacaatggga >hg38_chr19:32316177-32316187(+) GTACAAAGGGC >hg38_chr19:32319075-32319085(+) CCACAATGGGA >hg38_chr19:32319411-32319421(+) CTACAATGAAA >hg38_chr19:32350017-32350027(-) ATACAATGTCA >hg38_chr19:32373932-32373942(+) gcacaatgacc >hg38_chr19:32409172-32409182(+) AAACAATGCAA >hg38_chr19:32440718-32440728(-) TGACAATGAAT >hg38_chr19:32463479-32463489(+) GTACAATGAGG >hg38_chr19:32476024-32476034(-) taacaatgaca >hg38_chr19:32514515-32514525(+) agacaatgaaa >hg38_chr19:32528593-32528603(-) GGACAATGCTG >hg38_chr19:32537885-32537895(+) AGACAATGTCT >hg38_chr19:32682356-32682366(+) TGACAATGCTG >hg38_chr19:32691724-32691734(-) GGACAATGGGT >hg38_chr19:32798884-32798894(-) GCACaatgcag >hg38_chr19:32813997-32814007(-) ACACAATGCAC >hg38_chr19:32825233-32825243(-) AAACAATGGCA >hg38_chr19:32876962-32876972(+) TCACGATGGCG >hg38_chr19:32908962-32908972(+) aaataatgggt >hg38_chr19:33055975-33055985(+) TGACAATGCCT >hg38_chr19:33172288-33172298(-) atacaaaggaa >hg38_chr19:33257639-33257649(-) tcacaatagac >hg38_chr19:33257656-33257666(-) ctacaaaggaa >hg38_chr19:33289372-33289382(-) GCACAATGACT >hg38_chr19:33390284-33390294(+) TAACAATGTCT >hg38_chr19:33437869-33437879(-) CAACAATGAGA >hg38_chr19:33437942-33437952(+) CAACAATGAAG >hg38_chr19:33451640-33451650(+) GAACAATGAGT >hg38_chr19:33563441-33563451(-) atacaataaat >hg38_chr19:33563507-33563517(-) atacaataaac >hg38_chr19:33566952-33566962(-) tgacaatgggc >hg38_chr19:33566986-33566996(+) aaacaaaggtg >hg38_chr19:33570394-33570404(-) Aaacaatggct >hg38_chr19:33638628-33638638(+) TCACAATGTTC >hg38_chr19:33653200-33653210(-) gaacaatgtcc >hg38_chr19:33710292-33710302(-) aaacaatgaaa >hg38_chr19:33712252-33712262(-) taaccatggat >hg38_chr19:33758590-33758600(+) GAACAATGCAT >hg38_chr19:33819602-33819612(+) ACACAAAGGAG >hg38_chr19:33820755-33820765(-) GAACAAAGGAT >hg38_chr19:33867813-33867823(+) TGATAATGGCA >hg38_chr19:33876644-33876654(+) CAACAATAGTA >hg38_chr19:33878763-33878773(-) GGACAATAATA >hg38_chr19:33878839-33878849(+) GCACAATGACC >hg38_chr19:33967892-33967902(+) caacaaaggta >hg38_chr19:33971533-33971543(+) ctacaatagta >hg38_chr19:33992202-33992212(+) tgacaatggaa >hg38_chr19:33998697-33998707(+) GGACAATACGC >hg38_chr19:34012275-34012285(-) aaactatggac >hg38_chr19:34013264-34013274(+) agacaatgatg >hg38_chr19:34070936-34070946(-) taacaatgagt >hg38_chr19:34077291-34077301(-) ggacaatggac >hg38_chr19:34323446-34323456(-) CAACAATGCAG >hg38_chr19:34346162-34346172(+) TGATAATGGGA >hg38_chr19:34468686-34468696(-) ttacaatgtgc >hg38_chr19:34468712-34468722(-) Taacaatagca >hg38_chr19:34468730-34468740(-) TAATAATGGTA >hg38_chr19:34663365-34663375(+) ACACAATGGCA >hg38_chr19:34733926-34733936(-) GGACAATGGCG >hg38_chr19:34811366-34811376(-) atacaaaggca >hg38_chr19:34893542-34893552(-) GCACAATGTCT >hg38_chr19:34909536-34909546(-) TGACAATGATA >hg38_chr19:34927045-34927055(-) CCACAATGAAC >hg38_chr19:34951449-34951459(+) atacaataagc >hg38_chr19:34967148-34967158(+) ttacaATGGTA >hg38_chr19:34984457-34984467(-) gaacaatagct >hg38_chr19:34984465-34984475(-) agacaatggaa >hg38_chr19:34991846-34991856(+) ccacaatgaac >hg38_chr19:35066924-35066934(-) gtacaatggga >hg38_chr19:35067309-35067319(-) TCACAATGTTG >hg38_chr19:35069050-35069060(-) taacaatgggc >hg38_chr19:35107612-35107622(-) CAACAATGGAA >hg38_chr19:35123083-35123093(-) AAACAATAAAC >hg38_chr19:35241167-35241177(+) taacaataaac >hg38_chr19:35253982-35253992(-) ACACAATGGAT >hg38_chr19:35259030-35259040(+) GAACAATGAGG >hg38_chr19:35326093-35326103(-) gaacaatggaa >hg38_chr19:35326116-35326126(-) taacaatagaa >hg38_chr19:35349106-35349116(+) AGACAATGGAT >hg38_chr19:35367796-35367806(+) aaacaaagggt >hg38_chr19:35367807-35367817(-) caacaatagca >hg38_chr19:35717608-35717618(+) GAACAATGGGA >hg38_chr19:35748319-35748329(-) AAACAAAGGAT >hg38_chr19:35844031-35844041(+) CCACAATGAGG >hg38_chr19:35844047-35844057(+) CCACAATGGGC >hg38_chr19:35868464-35868474(-) GGACAATAGCG >hg38_chr19:35963831-35963841(+) AAACAACGGAA >hg38_chr19:35992940-35992950(-) ggacaatgaga >hg38_chr19:36097220-36097230(-) GAACAAAGGGC >hg38_chr19:36097250-36097260(-) gaacaatgcct >hg38_chr19:36115322-36115332(+) GAACTATGGAA >hg38_chr19:36120160-36120170(-) aaacaaaggaa >hg38_chr19:36120189-36120199(+) gcacaatgagc >hg38_chr19:36151475-36151485(-) TGACAATGACG >hg38_chr19:36215785-36215795(-) GTACAATGCTG >hg38_chr19:36224558-36224568(-) acacaatgata >hg38_chr19:36331458-36331468(+) AGACAATACGG >hg38_chr19:36415863-36415873(+) AAACGATGGGC >hg38_chr19:36528223-36528233(+) AGACAAAGACG >hg38_chr19:36917521-36917531(-) ATACAATAAAA >hg38_chr19:36937026-36937036(-) ACACAATGACA >hg38_chr19:36938229-36938239(-) CAACAATGGGA >hg38_chr19:36980418-36980428(-) ttacaatggcc >hg38_chr19:37228587-37228597(-) ATACAATAAAA >hg38_chr19:37309334-37309344(+) aaacaataaac >hg38_chr19:37339322-37339332(-) ttactatgGAA >hg38_chr19:37339353-37339363(-) aaacaatggat >hg38_chr19:37371543-37371553(-) ACACAATGTCA >hg38_chr19:37466038-37466048(-) gcataatggct >hg38_chr19:37595587-37595597(-) ACACAATCGTG >hg38_chr19:37656676-37656686(-) GAACAAAGGGG >hg38_chr19:37716162-37716172(-) gtacaatggag >hg38_chr19:37719218-37719228(+) TTACAAAGGAC >hg38_chr19:37907166-37907176(-) AGAGAATGGCG >hg38_chr19:37932276-37932286(-) TAACAAAGGCG >hg38_chr19:37941838-37941848(+) GAACAATAAAG >hg38_chr19:37942192-37942202(-) aaacaatgaac >hg38_chr19:37955794-37955804(-) gaataatggta >hg38_chr19:37956185-37956195(-) taacaataagc >hg38_chr19:37957076-37957086(+) gcactatggta >hg38_chr19:38003737-38003747(-) GGACAAAGGAA >hg38_chr19:38230126-38230136(-) GAACAAAGGCA >hg38_chr19:38251235-38251245(-) TAACAATAACA >hg38_chr19:38273713-38273723(-) AGACAATGGCA >hg38_chr19:38305129-38305139(-) AAACAATAAAC >hg38_chr19:38321681-38321691(+) CAACAATAGGG >hg38_chr19:38389201-38389211(+) GGACAAAGGAA >hg38_chr19:38417972-38417982(+) ACACAATGGGG >hg38_chr19:38485683-38485693(+) CAACAATCGCG >hg38_chr19:38494332-38494342(-) AGACAATGCAA >hg38_chr19:38559943-38559953(-) ttacaatgtga >hg38_chr19:38656630-38656640(+) ACACAATGAAG >hg38_chr19:38656703-38656713(+) ATACAATAGCA >hg38_chr19:38666399-38666409(-) CAACAATGGGC >hg38_chr19:38667263-38667273(-) GAACAATGACA >hg38_chr19:38676737-38676747(-) GGACAATGAGG >hg38_chr19:38676745-38676755(-) TTACAAAGGGA >hg38_chr19:38722084-38722094(-) GAACAATAGGC >hg38_chr19:38850137-38850147(-) AAACAAGGGCG >hg38_chr19:39093869-39093879(+) taacaatatgt >hg38_chr19:39135945-39135955(+) TGACAATGTAA >hg38_chr19:39158108-39158118(-) acacaatgctc >hg38_chr19:39187844-39187854(+) agacaataaac >hg38_chr19:39223972-39223982(-) GAACAATGATC >hg38_chr19:39413469-39413479(+) GAACAATGAGG >hg38_chr19:39430793-39430803(+) AAACAATGAAG >hg38_chr19:39520097-39520107(-) taacaatgaca >hg38_chr19:39520103-39520113(-) taacaataaca >hg38_chr19:39532797-39532807(-) GGACTATGGCG >hg38_chr19:39542395-39542405(-) GAACAATAGAT >hg38_chr19:39542440-39542450(+) TAACAAAGGAA >hg38_chr19:39796315-39796325(-) agacaataaat >hg38_chr19:39803581-39803591(+) acataatggca >hg38_chr19:39810568-39810578(-) agacaaaggac >hg38_chr19:39833777-39833787(+) AAACAAAGGGC >hg38_chr19:39938362-39938372(-) caacaatagac >hg38_chr19:39968244-39968254(+) AAACAATGTCC >hg38_chr19:39987772-39987782(+) tcacaaaggaa >hg38_chr19:40060104-40060114(+) gaacaaaggga >hg38_chr19:40097321-40097331(+) taacaaaggaa >hg38_chr19:40097352-40097362(+) gaacaaaggaa >hg38_chr19:40097946-40097956(+) taacaatacaa >hg38_chr19:40097951-40097961(+) atacaataata >hg38_chr19:40121058-40121068(+) taacaAAGGGG >hg38_chr19:40130592-40130602(-) agacaatagaa >hg38_chr19:40130646-40130656(+) taacaatataa >hg38_chr19:40177786-40177796(-) GGATAATGGCC >hg38_chr19:40259713-40259723(+) ttacaatgcaa >hg38_chr19:40365562-40365572(-) gtaccatggac >hg38_chr19:40410316-40410326(+) ACACAATGGCC >hg38_chr19:40410375-40410385(+) ACACAATGGCC >hg38_chr19:40578720-40578730(+) AGACAATGGGC >hg38_chr19:40592416-40592426(-) caataatggaa >hg38_chr19:40741018-40741028(+) ttacaatgcag >hg38_chr19:40981019-40981029(-) agacaatgggg >hg38_chr19:41039830-41039840(+) aaataatggca >hg38_chr19:41040373-41040383(-) caacaatgtat >hg38_chr19:41040428-41040438(-) gaacaattgta >hg38_chr19:41040902-41040912(+) acacaaaggag >hg38_chr19:41040980-41040990(-) gaacaatatat >hg38_chr19:41240816-41240826(-) GGACAATGTTT >hg38_chr19:41262492-41262502(-) CCACAATGATT >hg38_chr19:41310037-41310047(+) GAACAATGATT >hg38_chr19:41376338-41376348(+) ggacaataaac >hg38_chr19:41732275-41732285(-) gaacagtgggt >hg38_chr19:41732286-41732296(-) atacaataaca >hg38_chr19:41834669-41834679(-) gtacaattgat >hg38_chr19:41834690-41834700(+) gtacaatagca >hg38_chr19:41959659-41959669(+) GCACAATGGGG >hg38_chr19:42032608-42032618(+) acacaatggaa >hg38_chr19:42252499-42252509(+) ACACAAAGGGA >hg38_chr19:42256580-42256590(-) GAACAATGAAG >hg38_chr19:42256642-42256652(-) tgacaatgctt >hg38_chr19:42281661-42281671(+) GAACAATAGAA >hg38_chr19:42282744-42282754(+) gaacaatgggt >hg38_chr19:42302407-42302417(+) CAACAAAGGAC >hg38_chr19:42459050-42459060(+) gaacaatgcct >hg38_chr19:42489121-42489131(-) agacaatggtt >hg38_chr19:42489164-42489174(-) gtacaataaca >hg38_chr19:42534522-42534532(+) tcacaataggt >hg38_chr19:42573508-42573518(-) tcacaatgacc >hg38_chr19:42644937-42644947(-) tcacaatgacc >hg38_chr19:43332201-43332211(-) tgacaatgacc >hg38_chr19:43407825-43407835(-) agacaatgagc >hg38_chr19:43430217-43430227(+) GGACAATGACC >hg38_chr19:43430231-43430241(+) AGACAAAGGCA >hg38_chr19:43546089-43546099(-) GGACAATGGGG >hg38_chr19:43570451-43570461(+) TAACAATAATT >hg38_chr19:43596258-43596268(+) GAACAATGAAT >hg38_chr19:43619077-43619087(+) ACACAATAGGC >hg38_chr19:43619954-43619964(+) GGACAATGAAA >hg38_chr19:43668261-43668271(-) TAACAATAGAA >hg38_chr19:43687244-43687254(-) AGACAAAGGTT >hg38_chr19:43777959-43777969(+) GAACAATAGTG >hg38_chr19:43807047-43807057(-) acacaatggga >hg38_chr19:43809941-43809951(+) gtagaatggta >hg38_chr19:43821176-43821186(-) ttacaatgtga >hg38_chr19:43901900-43901910(-) ACAGAATGGCG >hg38_chr19:43933644-43933654(-) ttactatggaa >hg38_chr19:43934231-43934241(-) TTACAAAGGTG >hg38_chr19:43935675-43935685(-) GAACAATGGCA >hg38_chr19:43950936-43950946(-) AAACAATGATT >hg38_chr19:44022425-44022435(+) caacaatagaa >hg38_chr19:44023127-44023137(+) atacaattgta >hg38_chr19:44023128-44023138(-) atacaattgta >hg38_chr19:44025247-44025257(-) AGACAAAGGGC >hg38_chr19:44208054-44208064(+) acacaatgtag >hg38_chr19:44260062-44260072(-) AAACAAAGGGC >hg38_chr19:44401579-44401589(+) AAACAATGTCG >hg38_chr19:44401607-44401617(+) GTACCATGGCG >hg38_chr19:44500504-44500514(+) GGACAATGGCT >hg38_chr19:44571043-44571053(-) tgacaatgtgt >hg38_chr19:44718780-44718790(+) ACACAATGGCC >hg38_chr19:44719397-44719407(+) ttacaatggtc >hg38_chr19:44773262-44773272(-) ccacaatgtga >hg38_chr19:44777813-44777823(+) AGACACTGGTA >hg38_chr19:44806574-44806584(+) ggacaaaggca >hg38_chr19:44844770-44844780(+) AGACAAAGGGC >hg38_chr19:44844798-44844808(+) ACACAAAGACG >hg38_chr19:44846178-44846188(+) CGAGAATGGAA >hg38_chr19:44883211-44883221(+) taacactggtc >hg38_chr19:44927155-44927165(-) CCACAATGGGG >hg38_chr19:44954982-44954992(+) GTACAGTGGCC >hg38_chr19:45019365-45019375(-) aaacaatgtgt >hg38_chr19:45045994-45046004(+) GGATAATGGGG >hg38_chr19:45077625-45077635(-) TAACAATGTGC >hg38_chr19:45077648-45077658(-) GGACAATGGAA >hg38_chr19:45098955-45098965(-) gaacaatcgcc >hg38_chr19:45126207-45126217(+) GAACGATGGTG >hg38_chr19:45137094-45137104(-) CCACAATGGGC >hg38_chr19:45153427-45153437(+) CGACAATGCCA >hg38_chr19:45196029-45196039(-) aaacaataggg >hg38_chr19:45300560-45300570(-) ctacaatggca >hg38_chr19:45386462-45386472(+) AAACAATGATT >hg38_chr19:45443697-45443707(+) GGACAATGGGC >hg38_chr19:45446421-45446431(-) acataatggaa >hg38_chr19:45558526-45558536(-) TTACTATGGGC >hg38_chr19:45616111-45616121(+) ACACAATGGGA >hg38_chr19:45630707-45630717(-) aaacaatagct >hg38_chr19:45668386-45668396(-) agacaaaggca >hg38_chr19:45692056-45692066(+) GCACAATGATG >hg38_chr19:45793133-45793143(-) GGACTATGGAT >hg38_chr19:45843223-45843233(+) gaactatgggg >hg38_chr19:45919948-45919958(-) GAACAATAGGT >hg38_chr19:45953458-45953468(+) AGACAATGGGA >hg38_chr19:45953518-45953528(+) GAACAATAGGG >hg38_chr19:45960799-45960809(-) AGACAACGGGC >hg38_chr19:45975478-45975488(-) GGACAATGGGG >hg38_chr19:46006230-46006240(-) atacaaagacg >hg38_chr19:46056641-46056651(+) ACACAATGGAT >hg38_chr19:46069867-46069877(+) AAACAATAGAT >hg38_chr19:46088491-46088501(-) ATATAATGAAC >hg38_chr19:46088709-46088719(+) AAACAATGTGA >hg38_chr19:46088735-46088745(+) ATACAAAGATA >hg38_chr19:46130867-46130877(-) ACACAAAGGCG >hg38_chr19:46146760-46146770(+) atacaattgac >hg38_chr19:46146834-46146844(+) TAACAATGCAC >hg38_chr19:46169371-46169381(+) gaacaatgacc >hg38_chr19:46236898-46236908(-) ctataatgggt >hg38_chr19:46377784-46377794(+) gcacaatgtgt >hg38_chr19:46377839-46377849(-) agacaatggag >hg38_chr19:46377972-46377982(-) gaacaatgaaa >hg38_chr19:46380751-46380761(-) aaacaatggag >hg38_chr19:46402404-46402414(-) AGACAATGAGC >hg38_chr19:46484892-46484902(+) acaCAAtagat >hg38_chr19:46484921-46484931(-) ggacaataaac >hg38_chr19:46516103-46516113(+) TAACAATAACA >hg38_chr19:46516109-46516119(+) TAACAATGTGA >hg38_chr19:46591835-46591845(-) agataatggag >hg38_chr19:46631274-46631284(-) AAACTATGGAG >hg38_chr19:46661410-46661420(+) TCACAATGAAA >hg38_chr19:46662043-46662053(-) GAACAAAGGCC >hg38_chr19:46762739-46762749(+) GAACAATAGTG >hg38_chr19:46765156-46765166(+) aaacaataaac >hg38_chr19:46771158-46771168(+) caacaatggct >hg38_chr19:46784608-46784618(-) AGACAATGCTG >hg38_chr19:46836821-46836831(+) TCACAATGAGG >hg38_chr19:46869539-46869549(-) GCACAATGCAA >hg38_chr19:46915546-46915556(+) GAATAATGCGT >hg38_chr19:46926728-46926738(-) AAACAATGCAT >hg38_chr19:46958737-46958747(+) aaacaatggtt >hg38_chr19:46991370-46991380(-) AAACAATGGGG >hg38_chr19:47023246-47023256(-) GGACAATAGAC >hg38_chr19:47028225-47028235(-) GAACAATGCTG >hg38_chr19:47110044-47110054(+) GAACAAAGGAG >hg38_chr19:47152301-47152311(-) AGACAATGAAC >hg38_chr19:47157774-47157784(+) GAACAATGCAG >hg38_chr19:47190384-47190394(+) GAACAAAGGAG >hg38_chr19:47227680-47227690(+) ACACAATGGCC >hg38_chr19:47230682-47230692(-) AAACAATGGGG >hg38_chr19:47243968-47243978(-) AGACAAAGGTG >hg38_chr19:47250822-47250832(-) taacaaaggca >hg38_chr19:47257007-47257017(+) ACGCAATGGCG >hg38_chr19:47276006-47276016(+) GGACAATAGCT >hg38_chr19:47449047-47449057(-) acacaacggtc >hg38_chr19:47523625-47523635(+) aaacaatgttt >hg38_chr19:47561054-47561064(+) agacaataaaa >hg38_chr19:47610091-47610101(+) AGACAAAGGCA >hg38_chr19:47610429-47610439(-) AAACAAAGGGG >hg38_chr19:47613961-47613971(-) AAACAAAGGCC >hg38_chr19:47662845-47662855(-) AAACAAAGGCC >hg38_chr19:47698180-47698190(-) TTACAATGGGT >hg38_chr19:47707364-47707374(+) gaacaaagggc >hg38_chr19:47730543-47730553(+) CAACAATAGCC >hg38_chr19:47820081-47820091(+) ACACAATGACC >hg38_chr19:47849826-47849836(+) ATACAAAGGAC >hg38_chr19:47982750-47982760(+) atacaatggag >hg38_chr19:48011061-48011071(+) tgacaatgatg >hg38_chr19:48011097-48011107(+) CGACAatgaca >hg38_chr19:48011103-48011113(+) tgacaatgatg >hg38_chr19:48011457-48011467(+) tgacaatgaca >hg38_chr19:48011487-48011497(+) tgacaatgact >hg38_chr19:48011509-48011519(+) tgacaatgatg >hg38_chr19:48169082-48169092(-) gcacaatgccc >hg38_chr19:48203660-48203670(-) atacaatggaa >hg38_chr19:48271907-48271917(-) AGACAATGAGG >hg38_chr19:48291953-48291963(+) caacaatggat >hg38_chr19:48354792-48354802(-) AAACAATAGCT >hg38_chr19:48364138-48364148(+) CTACAAAGGGA >hg38_chr19:48469711-48469721(-) AAACAATGGCC >hg38_chr19:48512214-48512224(+) ATACAAAGGTG >hg38_chr19:48512261-48512271(+) ACACAAAGACG >hg38_chr19:48528558-48528568(-) ACACAATAGAT >hg38_chr19:48608973-48608983(+) ccacaatgcac >hg38_chr19:48624013-48624023(-) TAACAAAGGCC >hg38_chr19:48676936-48676946(-) ccacaatgtat >hg38_chr19:48676954-48676964(+) ggacaatggga >hg38_chr19:48718705-48718715(-) AAACAAAGGCT >hg38_chr19:48721180-48721190(+) AGACGATGGAA >hg38_chr19:48795604-48795614(+) CAACAATGATG >hg38_chr19:48867340-48867350(+) ATACAAAGGGT >hg38_chr19:48906064-48906074(+) TTACAATGTTG >hg38_chr19:48965740-48965750(-) CAACAATGGTT >hg38_chr19:48982559-48982569(-) GAACAATGGGC >hg38_chr19:49199066-49199076(+) cgacaatgcct >hg38_chr19:49224950-49224960(+) AAACAATGTGG >hg38_chr19:49269613-49269623(+) gaacaatatga >hg38_chr19:49301380-49301390(+) AGATAATGGGG >hg38_chr19:49302746-49302756(+) aaacaatagaa >hg38_chr19:49302774-49302784(+) aaacaaaggca >hg38_chr19:49361505-49361515(+) GAACAAAGGGC >hg38_chr19:49363825-49363835(+) AGACAATAGGG >hg38_chr19:49492992-49493002(-) ACACAATGCAC >hg38_chr19:49503274-49503284(+) aaacaaTGCTC >hg38_chr19:49503290-49503300(+) TGATAATGGGA >hg38_chr19:49503322-49503332(+) GTACACTGGAA >hg38_chr19:49503335-49503345(+) TAACAATGCCG >hg38_chr19:49513253-49513263(-) CAACAATGCCC >hg38_chr19:49564740-49564750(+) tcacaatggct >hg38_chr19:49592168-49592178(-) GAACAATAGGA >hg38_chr19:49657132-49657142(+) tgacaatgaga >hg38_chr19:49850790-49850800(-) GGACAAAGGAA >hg38_chr19:49850805-49850815(-) GAATAATGGGC >hg38_chr19:49869612-49869622(+) AAACAAAGGAG >hg38_chr19:49877624-49877634(-) GGACAGTGGTA >hg38_chr19:49916951-49916961(+) GAACAAAGGCC >hg38_chr19:49930077-49930087(-) TCACAATGTGC >hg38_chr19:49943108-49943118(-) taacaatattc >hg38_chr19:49954503-49954513(-) ttacaataagt >hg38_chr19:50203285-50203295(+) TTACAAAGGCC >hg38_chr19:50215121-50215131(-) GAACAAAGGCT >hg38_chr19:50234446-50234456(-) CAACAATAGCT >hg38_chr19:50243728-50243738(-) AAACAATGCTG >hg38_chr19:50243757-50243767(-) CCACAATGAGT >hg38_chr19:50300993-50301003(-) ACACAATGACT >hg38_chr19:50330429-50330439(+) GAACAATGAGA >hg38_chr19:50392819-50392829(-) aaaCAATGTCT >hg38_chr19:50392886-50392896(+) gaacaatgcct >hg38_chr19:50461326-50461336(-) agacaaaggaa >hg38_chr19:50496845-50496855(-) ggacaATGGGC >hg38_chr19:50548987-50548997(+) GAACAAAGGGA >hg38_chr19:50587336-50587346(+) aaacaatacta >hg38_chr19:50704289-50704299(+) CGACAATGCCG >hg38_chr19:50704348-50704358(-) GGACAATGAGG >hg38_chr19:50937013-50937023(+) acacaatggta >hg38_chr19:50969652-50969662(+) GAACAATCGGG >hg38_chr19:51030017-51030027(+) GGACAATGGCT >hg38_chr19:51046925-51046935(-) TAACAATGGAA >hg38_chr19:51047523-51047533(-) GCACAATGATA >hg38_chr19:51047569-51047579(-) AAACAATAGCA >hg38_chr19:51047581-51047591(-) ACACAATAATA >hg38_chr19:51064967-51064977(-) GGACAATGGTA >hg38_chr19:51099879-51099889(+) ttacaataggg >hg38_chr19:51258946-51258956(+) tgacaatggga >hg38_chr19:51293074-51293084(-) AGACAATAAGA >hg38_chr19:51313378-51313388(-) AGACAATGACC >hg38_chr19:51318913-51318923(+) GGAcaatggct >hg38_chr19:51354783-51354793(+) caacaatagag >hg38_chr19:51407499-51407509(+) TAACAATGCCC >hg38_chr19:51529666-51529676(+) ATACTATGGAG >hg38_chr19:51534643-51534653(-) ccacaatggaa >hg38_chr19:51586493-51586503(-) TGACAATGAGC >hg38_chr19:51614692-51614702(+) GGACAATGCAG >hg38_chr19:51776583-51776593(+) ctacaatgaat >hg38_chr19:51776649-51776659(+) TTACAATAGTT >hg38_chr19:51783676-51783686(+) TAACAGTGGAA >hg38_chr19:51807153-51807163(+) ggacaatggcc >hg38_chr19:51879918-51879928(-) TGACAATGCTT >hg38_chr19:51905027-51905037(+) GGACGATGGGA >hg38_chr19:51927108-51927118(-) ACACAATGGGC >hg38_chr19:52006721-52006731(+) atacaatgtta >hg38_chr19:52008537-52008547(+) GTACAAAGGTT >hg38_chr19:52010326-52010336(+) GAACAAAGGCA >hg38_chr19:52049152-52049162(-) TAATAATGGGT >hg38_chr19:52049163-52049173(+) CCACAAAGGCG >hg38_chr19:52081255-52081265(-) aaacaatgcag >hg38_chr19:52138759-52138769(-) GAACAAAGGAG >hg38_chr19:52198924-52198934(+) aaacaataaat >hg38_chr19:52198941-52198951(-) GAACaatagca >hg38_chr19:52269639-52269649(-) AAACAAAGGAA >hg38_chr19:52297564-52297574(-) CAACAAAGGCG >hg38_chr19:52336297-52336307(-) AAACAAAGGGA >hg38_chr19:52351752-52351762(-) GAACAAAGGCA >hg38_chr19:52436691-52436701(-) aaacaatgagc >hg38_chr19:52641117-52641127(-) ATACAATGGGA >hg38_chr19:52735861-52735871(-) GGACAATAGAA >hg38_chr19:53002623-53002633(-) aaacaatgctt >hg38_chr19:53103379-53103389(-) ACACCATGGCG >hg38_chr19:53193969-53193979(-) ccacaatagtg >hg38_chr19:53195417-53195427(+) GAACAAAGGCA >hg38_chr19:53254395-53254405(-) AAACAATAGCA >hg38_chr19:53254983-53254993(+) GGACAATGGCG >hg38_chr19:53255004-53255014(+) GGACAATGGCG >hg38_chr19:53294731-53294741(-) AGACTATGGAT >hg38_chr19:53295170-53295180(+) gcacaatgggg >hg38_chr19:53326014-53326024(+) agacaatgaaa >hg38_chr19:53463018-53463028(+) GAACAAAGGGG >hg38_chr19:53520596-53520606(+) TAACAGTGGGA >hg38_chr19:53595298-53595308(-) caacaatgccg >hg38_chr19:53842407-53842417(+) GAACAATGCCA >hg38_chr19:53842484-53842494(-) GAACAATGGCA >hg38_chr19:53852032-53852042(-) acacaataggt >hg38_chr19:53881788-53881798(+) AAATAATGGAG >hg38_chr19:53957565-53957575(-) CAACAATAGGT >hg38_chr19:53957597-53957607(-) agaCAATAGGG >hg38_chr19:54000359-54000369(-) CAACAATAGGG >hg38_chr19:54011600-54011610(-) taacaatgtga >hg38_chr19:55087141-55087151(-) TCACAATGTTG >hg38_chr19:55134625-55134635(-) atacagtggtg >hg38_chr19:55210284-55210294(+) gaacaatgcct >hg38_chr19:55232977-55232987(-) gaacaataggc >hg38_chr19:55376352-55376362(+) TAACAATGGGG >hg38_chr19:55447759-55447769(-) agacaaaggca >hg38_chr19:55460475-55460485(+) ggacaatgtgc >hg38_chr19:55460510-55460520(+) ATACAATAAAG >hg38_chr19:55464919-55464929(+) GAACAAAGGCC >hg38_chr19:55537935-55537945(-) AGACAATGAGA >hg38_chr19:55598195-55598205(-) TTACAGTGGGA >hg38_chr19:55598258-55598268(+) CCACAATGTTC >hg38_chr19:55601345-55601355(+) taacaatggct >hg38_chr19:55653971-55653981(-) TTACAACGGTG >hg38_chr19:55655586-55655596(-) AAACAAAGGGG >hg38_chr19:55819101-55819111(-) gtacagtgggg >hg38_chr19:55987483-55987493(+) ATACTATGGTT >hg38_chr19:56042027-56042037(-) caacaatgtcc >hg38_chr19:56136419-56136429(+) AGACAATAGCC >hg38_chr19:56175957-56175967(-) AGACAATAGAA >hg38_chr19:56254429-56254439(+) tcacaatgtcc >hg38_chr19:56293971-56293981(-) GAACAAAGGTA >hg38_chr19:56294779-56294789(+) atacaatggaa >hg38_chr19:56311285-56311295(+) taacaatcggc >hg38_chr19:56333728-56333738(+) gcacaatagct >hg38_chr19:56404342-56404352(-) TCACAAAGGCG >hg38_chr19:56595298-56595308(-) GGACAATGACC >hg38_chr19:56602597-56602607(-) aaacaatgcta >hg38_chr19:56699697-56699707(+) TTATAATAGCG >hg38_chr19:56886113-56886123(+) cgacagtggag >hg38_chr19:57214953-57214963(-) aaacaaaggga >hg38_chr19:57218353-57218363(+) ttacaatgcat >hg38_chr19:57218393-57218403(-) agacaatgaaa >hg38_chr19:57255836-57255846(-) gtacaataaca >hg38_chr19:57269029-57269039(-) GAACAATGAGT >hg38_chr19:57292824-57292834(-) AGACAATGCTG >hg38_chr19:57301354-57301364(-) ggacaatagaa >hg38_chr19:57301391-57301401(+) aaacaatggcg >hg38_chr19:57315943-57315953(-) taacaaaggta >hg38_chr19:57326636-57326646(-) GAACAAAGGAC >hg38_chr19:57334401-57334411(+) caacaatgtaa >hg38_chr19:57334960-57334970(-) aaacaaaggat >hg38_chr19:57345637-57345647(-) GAACAATAGAA >hg38_chr19:57351170-57351180(-) TAACAATGAGC >hg38_chr19:57462350-57462360(-) CTACAATGTAA >hg38_chr19:57520550-57520560(+) ggacaatgaga >hg38_chr19:57558225-57558235(+) gaacaatgtct >hg38_chr19:57558237-57558247(+) agacaaaggga >hg38_chr19:57664981-57664991(+) TAACAATGAGG >hg38_chr19:57756402-57756412(-) ACACAATGCAG >hg38_chr19:57830253-57830263(+) TGACAATGGGT >hg38_chr19:57889068-57889078(-) TGACAATGGGT >hg38_chr19:58002789-58002799(+) GGACAATGGCG >hg38_chr19:58091531-58091541(+) GGACAATGGGG >hg38_chr19:58091560-58091570(+) CCACAATGACT >hg38_chr19:58098478-58098488(+) AAACAAAGACG >hg38_chr19:58183420-58183430(-) GCACAATGGCG >hg38_chr19:58309943-58309953(-) ggataatggtt >hg38_chr19:58372733-58372743(+) CCACAATGGCA >hg38_chr19:58403314-58403324(+) acacaatggaa >hg38_chr19:58519413-58519423(+) GAACAAAGGCC >hg38_chr19:58538522-58538532(+) GAACAAAGGCA >hg38_chr19:58538573-58538583(+) GAACAAAGGCC >hg38_chr19:58559916-58559926(+) GGACAATGGGA >hg38_chr2:38499-38509(+) TGACAATGTTA >hg38_chr2:80613-80623(+) aaacaaaggcc >hg38_chr2:151999-152009(-) gcacaatgtaa >hg38_chr2:206576-206586(+) AAACAATGGGT >hg38_chr2:231950-231960(+) AAACACTGGAT >hg38_chr2:243244-243254(-) gtacaatgttc >hg38_chr2:266435-266445(-) CGACAATACCA >hg38_chr2:280768-280778(-) GAACAATGTCC >hg38_chr2:313433-313443(-) GAACAATGTCT >hg38_chr2:382198-382208(+) CGACAATAACA >hg38_chr2:506192-506202(+) GAACAATAACC >hg38_chr2:551858-551868(-) acacaaaggga >hg38_chr2:627198-627208(-) AAACAAAGGCT >hg38_chr2:627251-627261(+) AAACAAAGGAC >hg38_chr2:680651-680661(-) ACACAAAGGGA >hg38_chr2:724215-724225(+) ATACAAAGATA >hg38_chr2:975932-975942(+) GTACAATGGCT >hg38_chr2:1010729-1010739(-) AGACAAAGGTG >hg38_chr2:1032160-1032170(+) TAACAATGGAA >hg38_chr2:1046143-1046153(-) aaacaatgatc >hg38_chr2:1049255-1049265(-) ctataatggtg >hg38_chr2:1092054-1092064(+) AAACAATGCCT >hg38_chr2:1110321-1110331(-) GAACAATAAAA >hg38_chr2:1146779-1146789(+) ttataatgggg >hg38_chr2:1188086-1188096(-) agacactggac >hg38_chr2:1188129-1188139(+) aaacaatagct >hg38_chr2:1188597-1188607(-) TAACAATGCAC >hg38_chr2:1306469-1306479(+) GGACAATAGCA >hg38_chr2:1309705-1309715(-) GAACAATGACT >hg38_chr2:1309744-1309754(+) TGACAATAGCA >hg38_chr2:1575545-1575555(-) agacagtggcg >hg38_chr2:1577317-1577327(+) caataatggaa >hg38_chr2:1614655-1614665(+) TCACAATATAA >hg38_chr2:1622191-1622201(+) GAACAATGCAA >hg38_chr2:1622226-1622236(+) GAACAATGCAA >hg38_chr2:1708229-1708239(-) GAACAATGGCA >hg38_chr2:1731828-1731838(-) GAACAATGGCA >hg38_chr2:1732718-1732728(-) agacaatggaa >hg38_chr2:1741272-1741282(-) TCACAATGGTA >hg38_chr2:1741288-1741298(-) ACACAATAGAA >hg38_chr2:1743985-1743995(+) GAACAAAGGCG >hg38_chr2:1744053-1744063(+) GAACAAAGGCC >hg38_chr2:1791300-1791310(-) GAACAATGCTT >hg38_chr2:1837152-1837162(+) ATACAATGCAA >hg38_chr2:1856910-1856920(-) GGACAATGAAT >hg38_chr2:2007975-2007985(-) gaataatggct >hg38_chr2:2044470-2044480(+) GTACAATGGGA >hg38_chr2:2166602-2166612(+) aaacaaagggc >hg38_chr2:2175826-2175836(-) TCACAATGGGG >hg38_chr2:2207960-2207970(-) GGACAATAGGG >hg38_chr2:2260902-2260912(+) GGACAATGCTC >hg38_chr2:2302594-2302604(+) TTACAATGAAC >hg38_chr2:2302601-2302611(+) GAACAATGAGT >hg38_chr2:2307091-2307101(+) AGACAATGAAT >hg38_chr2:2311973-2311983(-) CTACAATGCTT >hg38_chr2:2311985-2311995(-) ACACAATGTGA >hg38_chr2:2325787-2325797(+) CCACAATGGCA >hg38_chr2:2329090-2329100(+) TCACAATAGCT >hg38_chr2:2389448-2389458(-) aaacaatggga >hg38_chr2:2407692-2407702(+) cAACAATAGGC >hg38_chr2:2407731-2407741(+) TGACAATGAAT >hg38_chr2:2460785-2460795(-) CAACAATGGAA >hg38_chr2:2537274-2537284(+) AAACAATGACG >hg38_chr2:2547832-2547842(+) TGACAATGGTG >hg38_chr2:2644366-2644376(+) ACACAATGACA >hg38_chr2:2851159-2851169(-) TCACAATGGTT >hg38_chr2:2933872-2933882(-) TCACAATGTGA >hg38_chr2:3012047-3012057(-) tcacaatggta >hg38_chr2:3029681-3029691(+) agacaatgaac >hg38_chr2:3091729-3091739(+) gaacaaaggaa >hg38_chr2:3120303-3120313(+) caataatggat >hg38_chr2:3122983-3122993(+) taacaataaat >hg38_chr2:3123026-3123036(+) aaacaatggaa >hg38_chr2:3259856-3259866(-) atacaattgat >hg38_chr2:3266194-3266204(+) gaacaatgccc >hg38_chr2:3303371-3303381(+) GCACAAAGGAA >hg38_chr2:3303731-3303741(+) ACACAATAGAC >hg38_chr2:3512243-3512253(+) agacaatgggg >hg38_chr2:3546482-3546492(-) AAACAATAGTA >hg38_chr2:3561255-3561265(-) AGATAATGGCA >hg38_chr2:3564673-3564683(-) TGATAATGGAT >hg38_chr2:3611241-3611251(+) GAACAATGCTT >hg38_chr2:3618429-3618439(-) tcacaatgcta >hg38_chr2:3621604-3621614(-) aaacaaaggat >hg38_chr2:3623837-3623847(-) acacaataata >hg38_chr2:3704894-3704904(-) caataatggga >hg38_chr2:3727812-3727822(-) ccacaatggga >hg38_chr2:3788665-3788675(+) GGACAATGTTA >hg38_chr2:3856034-3856044(+) ttacaatggga >hg38_chr2:3856074-3856084(-) tgacaataggc >hg38_chr2:4064694-4064704(-) Taacaatgact >hg38_chr2:4175476-4175486(+) caacaatgtgc >hg38_chr2:4218632-4218642(+) atacaatagag >hg38_chr2:4219903-4219913(-) GAACAATGTTT >hg38_chr2:4242523-4242533(-) ttataatggct >hg38_chr2:4246439-4246449(+) AGACAATGCTT >hg38_chr2:4364381-4364391(-) gaacaatgcaa >hg38_chr2:4370675-4370685(-) tgacaatgcca >hg38_chr2:4376891-4376901(-) TGACAATGAGG >hg38_chr2:4381175-4381185(+) ACACAATAGAG >hg38_chr2:4391256-4391266(-) agacaatgaga >hg38_chr2:4491236-4491246(-) TCACAATGCCC >hg38_chr2:4588025-4588035(-) taacaatgcat >hg38_chr2:4598356-4598366(+) TAACAATGACA >hg38_chr2:4598376-4598386(+) TAACAAAGGAA >hg38_chr2:4690837-4690847(-) agacaatggga >hg38_chr2:4745178-4745188(+) ACACAATGTAA >hg38_chr2:4759369-4759379(+) ATACAATGTCC >hg38_chr2:4759392-4759402(-) CAACAATGAAG >hg38_chr2:4863708-4863718(-) AAACAATGCAG >hg38_chr2:4901540-4901550(+) CAACAATGGAA >hg38_chr2:4964760-4964770(+) GTACAATGCTC >hg38_chr2:4999284-4999294(+) ccacaatggaa >hg38_chr2:5076789-5076799(-) TTACAATGGTG >hg38_chr2:5089740-5089750(+) AGACAATGATA >hg38_chr2:5125105-5125115(-) TAACAATAGAT >hg38_chr2:5130064-5130074(-) CGACACTGGCA >hg38_chr2:5191272-5191282(-) ACACAATGAAG >hg38_chr2:5346118-5346128(+) GTACAATAAAA >hg38_chr2:5353047-5353057(+) CAACAATGATA >hg38_chr2:5369198-5369208(+) ATACAATGAGA >hg38_chr2:5372255-5372265(+) AGATAATGGAG >hg38_chr2:5387413-5387423(+) CGATAATGACT >hg38_chr2:5410183-5410193(+) GAACAATAAGA >hg38_chr2:5411394-5411404(-) TAACAAAGGCA >hg38_chr2:5463647-5463657(-) GAATAATGGGC >hg38_chr2:5479779-5479789(-) gaacaatgatg >hg38_chr2:5494837-5494847(+) GAACAATGAGT >hg38_chr2:5504196-5504206(+) TAACAATGACA >hg38_chr2:5674541-5674551(-) GGACAATAGTT >hg38_chr2:5679012-5679022(+) gtacaatacat >hg38_chr2:5745768-5745778(+) ATACAAAGGGC >hg38_chr2:5752318-5752328(+) GAACAATGTGC >hg38_chr2:5803605-5803615(+) GAACAATGAGA >hg38_chr2:5871386-5871396(+) GAACAAAGGTG >hg38_chr2:5886910-5886920(-) CAACAATGAGA >hg38_chr2:5919503-5919513(-) acacaatgagt >hg38_chr2:5926950-5926960(-) GAACAATGCCC >hg38_chr2:5938827-5938837(+) GTACAGTGGGC >hg38_chr2:5946332-5946342(-) TAACAAAGGTT >hg38_chr2:5954772-5954782(-) tcacaatagtc >hg38_chr2:5971079-5971089(+) TAACAATAGTG >hg38_chr2:5981730-5981740(-) TTACAATGTGG >hg38_chr2:6068755-6068765(+) ACACAATGGTG >hg38_chr2:6081550-6081560(-) TCACAATGGGG >hg38_chr2:6081577-6081587(+) CAACAATGAAT >hg38_chr2:6125464-6125474(+) AAACAATAATA >hg38_chr2:6137526-6137536(+) agacaatgcct >hg38_chr2:6159924-6159934(-) ACACAATGCTA >hg38_chr2:6189303-6189313(+) gaacaaaggga >hg38_chr2:6269528-6269538(+) gaacaatgata >hg38_chr2:6269599-6269609(+) gcacaatatat >hg38_chr2:6334921-6334931(+) ggacaatggga >hg38_chr2:6445152-6445162(+) AAACAATGAAA >hg38_chr2:6445195-6445205(+) CCACAATGTTA >hg38_chr2:6449610-6449620(+) TGACAATGTGC >hg38_chr2:6516775-6516785(-) tgacaatgcat >hg38_chr2:6525413-6525423(-) CCACAATGGCC >hg38_chr2:6553062-6553072(+) gcataatggga >hg38_chr2:6557208-6557218(-) ACACAATAGGC >hg38_chr2:6572931-6572941(+) cgataatggga >hg38_chr2:6572941-6572951(+) aaacaatagaa >hg38_chr2:6578701-6578711(-) TAACAATGCAT >hg38_chr2:6593054-6593064(-) Caacaatggtc >hg38_chr2:6601226-6601236(+) aaacaatgttg >hg38_chr2:6627561-6627571(-) GAACAATGAAT >hg38_chr2:6694772-6694782(+) AGACAATGCCC >hg38_chr2:6694825-6694835(+) GTACAAAGGGA >hg38_chr2:6800745-6800755(+) CCACAATAGAC >hg38_chr2:6828272-6828282(-) AAACAAAGGAC >hg38_chr2:6852015-6852025(+) GGACAATGAAC >hg38_chr2:6915570-6915580(+) CAACAATGGTT >hg38_chr2:6986426-6986436(-) GCACAATGGCT >hg38_chr2:6993014-6993024(-) TCACAATGCAC >hg38_chr2:7011001-7011011(+) ATACAAAGGAG >hg38_chr2:7015624-7015634(+) ATACAATGAAA >hg38_chr2:7027676-7027686(-) AAACAATGGTG >hg38_chr2:7027719-7027729(+) GAACAATAGGA >hg38_chr2:7037781-7037791(+) tgataatggcc >hg38_chr2:7110613-7110623(+) ATACAAAGGAG >hg38_chr2:7137692-7137702(-) taacaaaggta >hg38_chr2:7145909-7145919(-) AGACAATAGCA >hg38_chr2:7161692-7161702(-) taacaaaggac >hg38_chr2:7180808-7180818(-) AGACAAAGGTA >hg38_chr2:7190757-7190767(+) GCACAATGAAG >hg38_chr2:7224608-7224618(+) taacaatggtt >hg38_chr2:7242314-7242324(+) AAACAAAGGAC >hg38_chr2:7251591-7251601(-) tcacaatagaa >hg38_chr2:7322513-7322523(+) TAACAACGGGA >hg38_chr2:7394964-7394974(-) tgacaaaggga >hg38_chr2:7431255-7431265(+) TCACAATGGCC >hg38_chr2:7491638-7491648(+) CAACAATGATT >hg38_chr2:7491668-7491678(-) acacaatGCCA >hg38_chr2:7551998-7552008(-) agacaatggct >hg38_chr2:7557081-7557091(+) atacaatgaaa >hg38_chr2:7578071-7578081(+) ttacaatgtgc >hg38_chr2:7612450-7612460(+) TCACAATGATG >hg38_chr2:7612469-7612479(+) GAACAATCGAA >hg38_chr2:7647158-7647168(-) TAACCATGGCG >hg38_chr2:7668720-7668730(-) gaacaaagggt >hg38_chr2:7668759-7668769(+) ggataatggta >hg38_chr2:7703937-7703947(+) ACACAATATAA >hg38_chr2:7730004-7730014(+) GAACAATGCAT >hg38_chr2:7753105-7753115(+) tgataatggag >hg38_chr2:7781613-7781623(+) gtacaatagtt >hg38_chr2:7796115-7796125(-) TCACAATGACT >hg38_chr2:7817480-7817490(-) caacaatggca >hg38_chr2:7858207-7858217(+) tgacaatggga >hg38_chr2:7899466-7899476(-) TTACAATGCTT >hg38_chr2:7899507-7899517(-) AGACAATGATG >hg38_chr2:7915583-7915593(+) ACACAATGTAG >hg38_chr2:7962363-7962373(-) GCACAATGGAA >hg38_chr2:7972800-7972810(+) ATATAATGGCC >hg38_chr2:7972833-7972843(+) TGACAATGAGT >hg38_chr2:7988109-7988119(-) GCACAATGGGC >hg38_chr2:8038580-8038590(+) GAACAATGACA >hg38_chr2:8119873-8119883(+) AAACAAAGGGA >hg38_chr2:8119887-8119897(+) TCACAATGTTT >hg38_chr2:8119917-8119927(-) GGACAATGGGA >hg38_chr2:8157988-8157998(-) ACACAATAGAA >hg38_chr2:8179850-8179860(+) gaacaataaaa >hg38_chr2:8192793-8192803(+) GCACAATGCTG >hg38_chr2:8193773-8193783(-) TCACAATGCAC >hg38_chr2:8193839-8193849(+) GGATAATGGGA >hg38_chr2:8217914-8217924(+) CCACAATAACG >hg38_chr2:8224346-8224356(-) TAACAATAGCT >hg38_chr2:8224360-8224370(+) GTACAGTGGTT >hg38_chr2:8234196-8234206(+) GAATAATGGGA >hg38_chr2:8235358-8235368(-) GAACAATAAAG >hg38_chr2:8239918-8239928(+) TAACAAAGGCT >hg38_chr2:8255727-8255737(-) ATACAATAACA >hg38_chr2:8257448-8257458(+) TTATAATGGAT >hg38_chr2:8275891-8275901(-) ccacaatgtac >hg38_chr2:8275905-8275915(+) atacaatgcag >hg38_chr2:8322755-8322765(+) AAACAATGGTC >hg38_chr2:8384315-8384325(+) agacaataacg >hg38_chr2:8412849-8412859(-) TTACAATGTCA >hg38_chr2:8436100-8436110(+) ACATAATGGAA >hg38_chr2:8469934-8469944(+) AGACAATGGTG >hg38_chr2:8638477-8638487(+) ACACAAAGGTC >hg38_chr2:8653820-8653830(-) CTACAATGGGT >hg38_chr2:8754232-8754242(+) GAACAATGCTG >hg38_chr2:8776668-8776678(-) AAACAAAGGTA >hg38_chr2:8784699-8784709(+) aaacaataaga >hg38_chr2:8786332-8786342(-) TTACAATAGAC >hg38_chr2:8791621-8791631(-) gcacaatgttc >hg38_chr2:8798199-8798209(-) GCACAAAGGTA >hg38_chr2:8798247-8798257(-) CAACAATGGTG >hg38_chr2:8822128-8822138(+) CTACAATGCAA >hg38_chr2:8831704-8831714(+) gcacaatgcct >hg38_chr2:8837007-8837017(+) GGACAATGGAG >hg38_chr2:8852218-8852228(-) GAACAATTGTA >hg38_chr2:8855181-8855191(+) TGACAATAGTG >hg38_chr2:8871702-8871712(-) GCACAATAGCA >hg38_chr2:8878080-8878090(+) TGACAATGGCT >hg38_chr2:8934003-8934013(+) ATACAAAGGAA >hg38_chr2:8934051-8934061(+) GCACAATGCTA >hg38_chr2:8936240-8936250(-) TTACAATGtca >hg38_chr2:8966899-8966909(+) atacaatgttg >hg38_chr2:9002693-9002703(+) TAAAAATGGCG >hg38_chr2:9002716-9002726(+) TCACAATGCTG >hg38_chr2:9054482-9054492(-) ACACAATGAAG >hg38_chr2:9087261-9087271(+) CTACAATGCTC >hg38_chr2:9106398-9106408(-) AAACAAAGGAG >hg38_chr2:9218263-9218273(-) TGACAATGGGG >hg38_chr2:9227847-9227857(-) AAACAATGCAT >hg38_chr2:9262988-9262998(-) AGACAATGGAA >hg38_chr2:9318282-9318292(+) TAACAATATAA >hg38_chr2:9381244-9381254(+) agacaatgtag >hg38_chr2:9386742-9386752(-) gcaCAATGCCA >hg38_chr2:9426144-9426154(+) ggacaatgcct >hg38_chr2:9480703-9480713(+) aaacaatgacc >hg38_chr2:9509236-9509246(-) AAACAATAGTT >hg38_chr2:9523545-9523555(+) AAACAATGGTA >hg38_chr2:9525449-9525459(-) GAATAATGGAG >hg38_chr2:9553044-9553054(-) TTACAATATAA >hg38_chr2:9556103-9556113(-) AGACAAAGGAG >hg38_chr2:9583581-9583591(+) ttacaatggtg >hg38_chr2:9586576-9586586(-) GGACAATGGAA >hg38_chr2:9625758-9625768(-) gaataatggct >hg38_chr2:9630590-9630600(+) AGACAATGCGG >hg38_chr2:9637468-9637478(+) GAACAATGCAC >hg38_chr2:9653594-9653604(-) GAACAATGCTT >hg38_chr2:9675142-9675152(+) acacaatggat >hg38_chr2:9675184-9675194(-) acacaatgaat >hg38_chr2:9675485-9675495(-) tgacaaaggat >hg38_chr2:9753320-9753330(-) GCATAATGGGC >hg38_chr2:9766626-9766636(+) GAACAATAACA >hg38_chr2:9766686-9766696(+) gaacaatacgg >hg38_chr2:9801170-9801180(-) AGACAATGCGC >hg38_chr2:9889552-9889562(-) agacaatggaa >hg38_chr2:9896295-9896305(-) ACACAATGAAG >hg38_chr2:9911681-9911691(-) GTACAATATAC >hg38_chr2:9919781-9919791(-) ATATAATGAAT >hg38_chr2:9924431-9924441(+) caacaataggg >hg38_chr2:9959427-9959437(-) CGATAATGGGA >hg38_chr2:9959451-9959461(-) aaacaatgaAT >hg38_chr2:9961127-9961137(-) GGACAATGTTA >hg38_chr2:10109570-10109580(+) tgacaatggac >hg38_chr2:10109577-10109587(+) ggacaatggag >hg38_chr2:10109588-10109598(+) taacaatgcca >hg38_chr2:10123118-10123128(-) AAACAATGCGG >hg38_chr2:10172784-10172794(+) aaacaatgaag >hg38_chr2:10180359-10180369(-) ATACAATGGCC >hg38_chr2:10205137-10205147(-) GGACAATAGAC >hg38_chr2:10225619-10225629(+) AAACAATGTCC >hg38_chr2:10324277-10324287(-) ACACAATAGAG >hg38_chr2:10376982-10376992(+) gtacaatacat >hg38_chr2:10376994-10377004(-) atacaatacgg >hg38_chr2:10377013-10377023(+) gtacaatacat >hg38_chr2:10377031-10377041(+) atacaatacat >hg38_chr2:10486718-10486728(+) GGACAATGCCG >hg38_chr2:10486731-10486741(+) TAACAATGCTG >hg38_chr2:10497990-10498000(+) CAACAATGAGT >hg38_chr2:10576502-10576512(+) gtacaatggta >hg38_chr2:10577870-10577880(+) ACACAATAAAT >hg38_chr2:10577937-10577947(+) AAACAATGGCT >hg38_chr2:10673708-10673718(-) GGACAATATAC >hg38_chr2:10673968-10673978(-) TAATAATGGCT >hg38_chr2:10681155-10681165(-) gaacaatcgta >hg38_chr2:10741345-10741355(-) GGACAATGAAA >hg38_chr2:10741378-10741388(+) AGACAAAGGAT >hg38_chr2:10852824-10852834(-) acacaatgaaa >hg38_chr2:10871428-10871438(-) gcacaaaggaa >hg38_chr2:10884751-10884761(-) TTACAATGGAG >hg38_chr2:10893374-10893384(+) ggacaataggt >hg38_chr2:10936415-10936425(+) AAACAATGACA >hg38_chr2:10971950-10971960(+) TCACAATGCGT >hg38_chr2:10974195-10974205(+) gtacaaaggag >hg38_chr2:10974209-10974219(+) gaacaatacga >hg38_chr2:10976904-10976914(-) ttacaaaggga >hg38_chr2:11030597-11030607(+) ctacaatggtt >hg38_chr2:11080452-11080462(+) GAACAATGAAC >hg38_chr2:11190073-11190083(+) AAACAATGGAG >hg38_chr2:11190097-11190107(+) AAACAATGGAA >hg38_chr2:11216374-11216384(+) ctacaatgcct >hg38_chr2:11227484-11227494(+) ATACAATGATT >hg38_chr2:11232718-11232728(+) aaacaaaggaa >hg38_chr2:11232743-11232753(+) acacaatggaa >hg38_chr2:11240460-11240470(+) ttacaatgtgg >hg38_chr2:11249380-11249390(+) AAACAATGGCA >hg38_chr2:11253642-11253652(-) atataatggag >hg38_chr2:11286511-11286521(+) GAACAATAAGA >hg38_chr2:11296847-11296857(+) AAACAAAGGTT >hg38_chr2:11314312-11314322(-) AGACAAAGGAC >hg38_chr2:11315255-11315265(+) ACACAATGTCC >hg38_chr2:11332559-11332569(+) ctacaatggct >hg38_chr2:11332574-11332584(+) ttacaatgaca >hg38_chr2:11345588-11345598(+) tcacaatgttc >hg38_chr2:11379677-11379687(+) aaacaaaggga >hg38_chr2:11391763-11391773(-) CAACAAAGGCG >hg38_chr2:11408915-11408925(+) ggacaatgctg >hg38_chr2:11410350-11410360(+) ACACAATGCAA >hg38_chr2:11431135-11431145(+) ATACAATGGGC >hg38_chr2:11431164-11431174(+) AGACAAAGACG >hg38_chr2:11473835-11473845(+) TGACAAAGGGA >hg38_chr2:11479526-11479536(+) ACACAAAGGTC >hg38_chr2:11482948-11482958(+) GGACAAAGGGC >hg38_chr2:11498374-11498384(+) ctacaatggat >hg38_chr2:11518090-11518100(+) ATACAATAACT >hg38_chr2:11521882-11521892(+) GTACAATGTAC >hg38_chr2:11521892-11521902(-) GTACAGTGGAG >hg38_chr2:11532581-11532591(+) AGACAATGATG >hg38_chr2:11532644-11532654(-) AAACAATGAGT >hg38_chr2:11650205-11650215(+) tgacaatgacc >hg38_chr2:11697168-11697178(-) AAACAATGCAG >hg38_chr2:11733871-11733881(-) GTACAATGGAA >hg38_chr2:11733888-11733898(+) CAACAATGAAA >hg38_chr2:11746641-11746651(+) ATACAAAGGCG >hg38_chr2:11784976-11784986(+) GGACAGTGGCG >hg38_chr2:11795782-11795792(+) tGACAATAATA >hg38_chr2:11795837-11795847(+) TAACAATAACA >hg38_chr2:11842482-11842492(-) CAACAATGCCA >hg38_chr2:11843663-11843673(-) acacaatggta >hg38_chr2:11843673-11843683(+) ttacaatggcc >hg38_chr2:11843726-11843736(+) gaacaataggc >hg38_chr2:11868237-11868247(-) aaacaaaggtt >hg38_chr2:11873594-11873604(+) TGACAATGCAG >hg38_chr2:11896963-11896973(+) GGACAATAAGA >hg38_chr2:11946155-11946165(-) ATACAATGTCC >hg38_chr2:11949118-11949128(+) caacaatgaac >hg38_chr2:12006746-12006756(-) TAACAATGTTC >hg38_chr2:12007104-12007114(-) ACACAAAGGCA >hg38_chr2:12057727-12057737(-) ACACAAAGGAA >hg38_chr2:12068986-12068996(+) acacaatgagt >hg38_chr2:12071687-12071697(+) TGACAATGGGG >hg38_chr2:12071715-12071725(-) GAACAATGAAC >hg38_chr2:12071736-12071746(+) gaacaatgaga >hg38_chr2:12124840-12124850(+) ggataatggaa >hg38_chr2:12157971-12157981(+) ttacaatgctg >hg38_chr2:12158024-12158034(-) acacaatggat >hg38_chr2:12158044-12158054(-) aaacaatgtaa >hg38_chr2:12167755-12167765(+) AGACAATGGCT >hg38_chr2:12167763-12167773(-) GCACAATGAGC >hg38_chr2:12243378-12243388(-) ggacaatagat >hg38_chr2:12263894-12263904(-) agacaatggag >hg38_chr2:12314718-12314728(+) agacaatgagt >hg38_chr2:12317632-12317642(+) gcacaaaggga >hg38_chr2:12318883-12318893(-) CCACAATGGTG >hg38_chr2:12319359-12319369(-) Aaacaatagct >hg38_chr2:12327102-12327112(+) GAACAATGGCA >hg38_chr2:12351129-12351139(-) GAATAATGGCC >hg38_chr2:12397988-12397998(+) TGACAAAGGCG >hg38_chr2:12417881-12417891(+) TCACAATGTCg >hg38_chr2:12425638-12425648(+) gtacaatgagg >hg38_chr2:12429081-12429091(+) GAACAATGAGC >hg38_chr2:12444325-12444335(+) gaacaatggaa >hg38_chr2:12464272-12464282(+) acacaatgaaa >hg38_chr2:12470887-12470897(-) atacaatagaa >hg38_chr2:12547180-12547190(+) ATACAATATAG >hg38_chr2:12564861-12564871(+) agacaatgcag >hg38_chr2:12598008-12598018(-) GTACAATGAGT >hg38_chr2:12602603-12602613(+) AGATAATGGGA >hg38_chr2:12606611-12606621(+) GGACAATGCTC >hg38_chr2:12612845-12612855(-) agacaatgatg >hg38_chr2:12616986-12616996(+) TGACAATGATA >hg38_chr2:12616994-12617004(+) ATACAATGTCT >hg38_chr2:12651893-12651903(+) GAACAGTGGAC >hg38_chr2:12702922-12702932(-) ATACAATGCAA >hg38_chr2:12718989-12718999(-) GAACAATGGCT >hg38_chr2:12719020-12719030(-) GAACAAAGGTT >hg38_chr2:12720372-12720382(-) GAACAATGATA >hg38_chr2:12773964-12773974(-) AAACAAAGGAG >hg38_chr2:12796750-12796760(+) TAACAAAGGGG >hg38_chr2:12830856-12830866(+) ACACAATAGGC >hg38_chr2:12857600-12857610(+) AAACAAAGGGA >hg38_chr2:12857653-12857663(+) TGACAATGTCT >hg38_chr2:12868509-12868519(-) CAACAATGGCA >hg38_chr2:12868531-12868541(-) GTACAATAGCC >hg38_chr2:12882364-12882374(+) GTACAATATGT >hg38_chr2:12886589-12886599(+) agacaatagaa >hg38_chr2:12931438-12931448(-) AGACAATGCAG >hg38_chr2:12939493-12939503(-) ttacaataatc >hg38_chr2:12939537-12939547(-) gaacaatgaaa >hg38_chr2:12939755-12939765(+) caaCAATAGGT >hg38_chr2:12959196-12959206(+) gaacaataacc >hg38_chr2:12965618-12965628(+) CAACAATGAGA >hg38_chr2:12988902-12988912(+) TAATAATGGCT >hg38_chr2:13009260-13009270(+) ACACAATAGAA >hg38_chr2:13010274-13010284(-) TGACAAAGGAT >hg38_chr2:13016081-13016091(+) AGACAATGGAT >hg38_chr2:13046074-13046084(-) TTACAATGTCA >hg38_chr2:13046119-13046129(+) TAACAAAGGTT >hg38_chr2:13064412-13064422(-) ttacaatagct >hg38_chr2:13064480-13064490(+) acacaatatac >hg38_chr2:13115857-13115867(-) GGACAATGTGC >hg38_chr2:13121542-13121552(-) ATACAATGTTT >hg38_chr2:13178805-13178815(+) agacaatgctg >hg38_chr2:13340958-13340968(+) tgacaatagta >hg38_chr2:13465209-13465219(+) ggacaaaggat >hg38_chr2:13512679-13512689(+) taacaaaggga >hg38_chr2:13676784-13676794(+) AGACAATGGCT >hg38_chr2:13682786-13682796(+) GTACAATGAAT >hg38_chr2:13682827-13682837(+) gaacaaaggag >hg38_chr2:13732858-13732868(+) ttacaaaggca >hg38_chr2:13742008-13742018(-) agacaatgaaa >hg38_chr2:13792063-13792073(-) atataatggaa >hg38_chr2:14054750-14054760(+) ctacaatgcat >hg38_chr2:14077311-14077321(+) taacaaaggag >hg38_chr2:14081425-14081435(+) aaacaataaat >hg38_chr2:14137960-14137970(+) agacagTGGAT >hg38_chr2:14178910-14178920(+) AAACAGTGGGA >hg38_chr2:14232374-14232384(+) AAACAAAGGAT >hg38_chr2:14254654-14254664(-) aaacaatggct >hg38_chr2:14331081-14331091(-) TCACAATGCAT >hg38_chr2:14331109-14331119(+) TGACAATGGGC >hg38_chr2:14333942-14333952(-) gtacaatatga >hg38_chr2:14334009-14334019(+) ttacaatagat >hg38_chr2:14376173-14376183(-) gaacaaagggt >hg38_chr2:14398898-14398908(-) AGACAATGCAT >hg38_chr2:14402082-14402092(+) AGACAATAGCA >hg38_chr2:14452163-14452173(-) atacaatgcca >hg38_chr2:14477498-14477508(+) ACACAATGTGA >hg38_chr2:14477539-14477549(-) GCACAATGCAG >hg38_chr2:14510789-14510799(+) ACACAATGACA >hg38_chr2:14558691-14558701(-) ggacaatgact >hg38_chr2:14561544-14561554(+) AAACAATGCTA >hg38_chr2:14578124-14578134(+) GAACAATGAAG >hg38_chr2:14620103-14620113(+) GTACTATGGTT >hg38_chr2:14637135-14637145(-) ATACAATAAAG >hg38_chr2:14666236-14666246(-) GAACAGTGGAA >hg38_chr2:14701619-14701629(+) ACACAGTGGTA >hg38_chr2:14805744-14805754(-) acacaaaggca >hg38_chr2:14911427-14911437(-) GAATAATAGCG >hg38_chr2:14932841-14932851(+) TTACAATAGCT >hg38_chr2:14934562-14934572(+) caacaatgtca >hg38_chr2:14964720-14964730(+) ggacaatggca >hg38_chr2:15019213-15019223(-) CAACAATGTCT >hg38_chr2:15043049-15043059(-) gaactatggct >hg38_chr2:15138447-15138457(+) TCACAATGGTT >hg38_chr2:15156191-15156201(-) AAACAATGCCG >hg38_chr2:15159839-15159849(+) gaacaatggaa >hg38_chr2:15241381-15241391(+) AAACAATGGGT >hg38_chr2:15241420-15241430(-) CAACAATGATC >hg38_chr2:15241445-15241455(-) TGACAAAGGCG >hg38_chr2:15342367-15342377(-) acataatggca >hg38_chr2:15381739-15381749(+) AGACAATGGCA >hg38_chr2:15393741-15393751(+) atacaatgaaa >hg38_chr2:15396242-15396252(+) cTACAATAGGG >hg38_chr2:15417982-15417992(+) tcacaatgcag >hg38_chr2:15418554-15418564(+) ccacaatgact >hg38_chr2:15425270-15425280(+) CTACAATGGTT >hg38_chr2:15434401-15434411(+) GAACAATGAAG >hg38_chr2:15465428-15465438(+) ATACAATGTGA >hg38_chr2:15486112-15486122(+) CCACAATGGGA >hg38_chr2:15495698-15495708(+) agataatggtt >hg38_chr2:15505177-15505187(-) tcacaatggag >hg38_chr2:15532632-15532642(-) acacaatgttt >hg38_chr2:15532660-15532670(+) gtacaatgtaa >hg38_chr2:15561773-15561783(+) taacaataggc >hg38_chr2:15615573-15615583(+) AAACAATAGCT >hg38_chr2:15634286-15634296(-) AAACAATAGTA >hg38_chr2:15637464-15637474(-) TGACACTGGCG >hg38_chr2:15698458-15698468(-) GGATAATGGTG >hg38_chr2:15965803-15965813(+) ACACAATGCAG >hg38_chr2:15984423-15984433(-) GAACAATGAGC >hg38_chr2:15988923-15988933(-) GTACAATGACT >hg38_chr2:16006363-16006373(+) GCACAATGGCA >hg38_chr2:16016782-16016792(+) AGACAATGTGG >hg38_chr2:16016809-16016819(+) AGACAATGTCA >hg38_chr2:16016823-16016833(+) GAACTATGGAA >hg38_chr2:16030423-16030433(-) ACACAATGGGA >hg38_chr2:16038042-16038052(-) CAACAATGCCA >hg38_chr2:16070182-16070192(-) AGACAATGGTC >hg38_chr2:16070202-16070212(-) AGACAATGGCC >hg38_chr2:16079730-16079740(-) ggacaatagga >hg38_chr2:16116904-16116914(+) atacaatacaa >hg38_chr2:16116909-16116919(+) atacaatacaa >hg38_chr2:16116914-16116924(+) atacaatataa >hg38_chr2:16116924-16116934(+) atacaatacaa >hg38_chr2:16116929-16116939(+) atacaatacaa >hg38_chr2:16116934-16116944(+) atacaatacaa >hg38_chr2:16116939-16116949(+) atacaatacaa >hg38_chr2:16116944-16116954(+) atacaatacaa >hg38_chr2:16116949-16116959(+) atacaatacaa >hg38_chr2:16116954-16116964(+) atacaatacaa >hg38_chr2:16116959-16116969(+) atacaatacaa >hg38_chr2:16116964-16116974(+) atacaatacaa >hg38_chr2:16116969-16116979(+) atacaatacaa >hg38_chr2:16116974-16116984(+) atacaatacat >hg38_chr2:16166737-16166747(+) CCACAATGCCG >hg38_chr2:16166763-16166773(+) CGACAAAGGGC >hg38_chr2:16168723-16168733(-) AGACAATGGGG >hg38_chr2:16185130-16185140(-) CCACAATGGAA >hg38_chr2:16185907-16185917(+) CAACAATGCTT >hg38_chr2:16204992-16205002(-) ACACAATGCCG >hg38_chr2:16216125-16216135(-) AGACAAAGGAA >hg38_chr2:16223541-16223551(+) ATACAATGGCT >hg38_chr2:16249520-16249530(+) AGACAAAGGCA >hg38_chr2:16304112-16304122(-) caacaatgtcc >hg38_chr2:16320875-16320885(-) GAACAATAGAC >hg38_chr2:16500022-16500032(-) GGACAATGGTG >hg38_chr2:16508323-16508333(-) GGACAAAGGAA >hg38_chr2:16561019-16561029(-) GCACAATGACA >hg38_chr2:16588837-16588847(+) TAACAATGAAA >hg38_chr2:16609330-16609340(+) gtacaataggc >hg38_chr2:16632812-16632822(+) AGACAATAGCA >hg38_chr2:16639624-16639634(-) GCACAATGGGA >hg38_chr2:16648389-16648399(+) CAACAATGGTC >hg38_chr2:16664384-16664394(+) GGACAACGGAC >hg38_chr2:16666498-16666508(-) GAACAATGATC >hg38_chr2:16725917-16725927(-) GCACTATGGTA >hg38_chr2:16742020-16742030(-) GAATAATGCGT >hg38_chr2:16777723-16777733(-) GGACAATGGAG >hg38_chr2:16933070-16933080(+) atacaatggaa >hg38_chr2:16933558-16933568(+) GTACAATGAGC >hg38_chr2:16937669-16937679(-) TTACAATAACT >hg38_chr2:16947233-16947243(+) GAACAATGGAG >hg38_chr2:17026154-17026164(-) caacaatagta >hg38_chr2:17089151-17089161(+) CTACAATGCTG >hg38_chr2:17090843-17090853(-) AAACAATAGGG >hg38_chr2:17101271-17101281(+) ggacaaaggaa >hg38_chr2:17105845-17105855(-) GCACAATGCTG >hg38_chr2:17118086-17118096(-) gaacaataaat >hg38_chr2:17118100-17118110(-) atacaataagg >hg38_chr2:17138428-17138438(+) ACACAGTGGAA >hg38_chr2:17138480-17138490(+) TGACAATGGGA >hg38_chr2:17148393-17148403(+) AAACAATAGTG >hg38_chr2:17177359-17177369(+) GCACAATGATT >hg38_chr2:17306454-17306464(-) agacaatgtgt >hg38_chr2:17353330-17353340(+) TGATAATGGAT >hg38_chr2:17357506-17357516(-) CAACAATAGAA >hg38_chr2:17424258-17424268(-) taacaatagca >hg38_chr2:17428976-17428986(-) ATACACTGGTC >hg38_chr2:17428993-17429003(-) TAACAATGCTC >hg38_chr2:17431804-17431814(+) TTATAATGGCT >hg38_chr2:17438426-17438436(-) agacaaaggca >hg38_chr2:17471635-17471645(+) tcataatggaa >hg38_chr2:17486227-17486237(-) ACACAAAGGAT >hg38_chr2:17501632-17501642(+) CCACAATGTAT >hg38_chr2:17508590-17508600(-) ttacaacggtt >hg38_chr2:17520268-17520278(+) AAACAATGGCT >hg38_chr2:17520306-17520316(-) AAACAAAGGAA >hg38_chr2:17523370-17523380(+) tcataatggaa >hg38_chr2:17554045-17554055(+) GAACAATGGGT >hg38_chr2:17557754-17557764(+) GGACAATAGAG >hg38_chr2:17560448-17560458(+) tcacaaaggta >hg38_chr2:17560459-17560469(-) taacaatgaaa >hg38_chr2:17579820-17579830(+) AAACAATGAAT >hg38_chr2:17593251-17593261(+) tcacaatggta >hg38_chr2:17620580-17620590(+) AAACAAAGGGG >hg38_chr2:17655315-17655325(+) TTACACTGGAT >hg38_chr2:17669998-17670008(-) GAACAATGTAC >hg38_chr2:17674498-17674508(+) aaacaatatac >hg38_chr2:17725278-17725288(-) GAACAAAGGAG >hg38_chr2:17729299-17729309(+) AAACAATGAAC >hg38_chr2:17741612-17741622(-) CAACAATGGAA >hg38_chr2:17759089-17759099(-) AGACAATAATA >hg38_chr2:17799870-17799880(-) cTACAATACGT >hg38_chr2:17800394-17800404(-) AGACAGTGGAC >hg38_chr2:17828274-17828284(+) taacaatgggg >hg38_chr2:17914343-17914353(+) gaacaaaggga >hg38_chr2:18008053-18008063(-) gtacaatgcag >hg38_chr2:18074484-18074494(-) CAACAATGGCA >hg38_chr2:18092893-18092903(-) tgacaatgaag >hg38_chr2:18097072-18097082(-) GCATAATGGCA >hg38_chr2:18097146-18097156(-) ATACAAAGGCA >hg38_chr2:18108973-18108983(-) caacaatagat >hg38_chr2:18210384-18210394(-) TAACAAAGGAC >hg38_chr2:18247746-18247756(-) GAACAATGGGG >hg38_chr2:18259216-18259226(-) ccacaatgtga >hg38_chr2:18340503-18340513(-) CAACAATGTGC >hg38_chr2:18373623-18373633(+) aaacaatagct >hg38_chr2:18428487-18428497(-) GGACAATAAAC >hg38_chr2:18456344-18456354(-) gaacaataggt >hg38_chr2:18456353-18456363(+) tcacaatagaa >hg38_chr2:18456365-18456375(+) tcacaatagca >hg38_chr2:18532844-18532854(-) ggacaatgtgg >hg38_chr2:18532873-18532883(+) caacaatgcca >hg38_chr2:18558072-18558082(-) gcacaatgtaa >hg38_chr2:18570861-18570871(+) caacaatgcaa >hg38_chr2:18584987-18584997(+) AGACAATGGGC >hg38_chr2:18589080-18589090(-) AGACAAAGGAC >hg38_chr2:18603947-18603957(+) AAACAATAGAC >hg38_chr2:18606206-18606216(+) GGACAATGGTG >hg38_chr2:18631199-18631209(-) GAACAATAGCA >hg38_chr2:18643799-18643809(-) taacaatatta >hg38_chr2:18648285-18648295(-) GAACAATGGGT >hg38_chr2:18652934-18652944(+) acataatgggt >hg38_chr2:18808141-18808151(+) gaacaatgtgc >hg38_chr2:18837718-18837728(-) TTACAATGTGC >hg38_chr2:18845985-18845995(-) CCACAATAGGG >hg38_chr2:18846046-18846056(+) AAACAATATTA >hg38_chr2:18858976-18858986(+) gaacaatgtgt >hg38_chr2:18859507-18859517(-) ttacaatgggc >hg38_chr2:18859543-18859553(-) acacaatgaca >hg38_chr2:18895449-18895459(-) TGACAATAGCA >hg38_chr2:18925115-18925125(-) GCACAATGCAT >hg38_chr2:18933027-18933037(+) AAACACTGGCG >hg38_chr2:18933411-18933421(+) GGACAAAGGAG >hg38_chr2:18959696-18959706(-) caacaatgcct >hg38_chr2:18960464-18960474(+) taacaatgcat >hg38_chr2:18978541-18978551(-) ACACAATAGGA >hg38_chr2:18988948-18988958(+) AAACAATGAAA >hg38_chr2:18990691-18990701(+) AAACTATGGAG >hg38_chr2:19003945-19003955(-) ATACAAAGGCA >hg38_chr2:19029999-19030009(+) TAATAATGTAA >hg38_chr2:19060542-19060552(-) ctacaatggtg >hg38_chr2:19069298-19069308(-) GAATAATGGGC >hg38_chr2:19079004-19079014(+) GCATAATGGAT >hg38_chr2:19114302-19114312(-) GAACTATGGTA >hg38_chr2:19183487-19183497(-) gcacaatgtat >hg38_chr2:19222653-19222663(-) cTACAATAGTG >hg38_chr2:19222735-19222745(+) caacaatagga >hg38_chr2:19228394-19228404(+) CGACAATGCTC >hg38_chr2:19228892-19228902(+) TGACAATGGAG >hg38_chr2:19242980-19242990(+) gtacaatgtta >hg38_chr2:19315324-19315334(+) GTACAATGGGT >hg38_chr2:19326580-19326590(-) TGACAATGTTT >hg38_chr2:19333769-19333779(-) taacaatggct >hg38_chr2:19343521-19343531(-) atacaatgagt >hg38_chr2:19343982-19343992(-) tgacaatgttt >hg38_chr2:19377982-19377992(-) AAACAAAGGAA >hg38_chr2:19415327-19415337(+) ttacaatgcta >hg38_chr2:19417449-19417459(-) TAACAAAGGGA >hg38_chr2:19504658-19504668(+) TCACAATGCTG >hg38_chr2:19518960-19518970(+) GTACAATAGCT >hg38_chr2:19664093-19664103(-) taacaaaggac >hg38_chr2:19664926-19664936(+) AAACAATCGAC >hg38_chr2:19664955-19664965(-) ATACAATGGAA >hg38_chr2:19711652-19711662(-) gaacaatggta >hg38_chr2:19725707-19725717(-) gaacaaaggga >hg38_chr2:19901788-19901798(-) CAACAATGGAG >hg38_chr2:19911871-19911881(+) atacaataaaa >hg38_chr2:19914796-19914806(+) AAACAATAGGA >hg38_chr2:19973586-19973596(+) GCACAATGTTC >hg38_chr2:19989619-19989629(-) TAACAATAGGA >hg38_chr2:20002002-20002012(+) TCACAATGATA >hg38_chr2:20013693-20013703(+) acacaatagcc >hg38_chr2:20025578-20025588(-) ggacaaaggag >hg38_chr2:20035547-20035557(+) TTACAATGTCA >hg38_chr2:20066053-20066063(+) GAACAATGGAT >hg38_chr2:20072070-20072080(-) GGACAAAGGGC >hg38_chr2:20095008-20095018(-) taataatgtaa >hg38_chr2:20179822-20179832(+) GAACAATGATT >hg38_chr2:20179893-20179903(+) GCACAATGGTG >hg38_chr2:20260967-20260977(+) atacaatggtg >hg38_chr2:20275310-20275320(+) taacaatgagg >hg38_chr2:20278341-20278351(-) ATATAATGGTG >hg38_chr2:20281264-20281274(+) AAATAATGGAG >hg38_chr2:20281926-20281936(-) TAACAATGCTT >hg38_chr2:20288812-20288822(+) GCACAATGAAG >hg38_chr2:20300850-20300860(+) ggacaaaggac >hg38_chr2:20309640-20309650(-) GAACAATAAAC >hg38_chr2:20310919-20310929(+) CTACAATGTAA >hg38_chr2:20320428-20320438(+) CCACAATAGGA >hg38_chr2:20350430-20350440(-) GCACAATGCAG >hg38_chr2:20381659-20381669(-) atacaatagac >hg38_chr2:20404163-20404173(-) taacaatagct >hg38_chr2:20419182-20419192(-) taacaatggct >hg38_chr2:20448925-20448935(-) AAACAATGAAA >hg38_chr2:20472916-20472926(-) GAACAATAATC >hg38_chr2:20474550-20474560(+) GAATAATGGAA >hg38_chr2:20487856-20487866(+) gaacaatggcc >hg38_chr2:20561415-20561425(+) TCacaatgcct >hg38_chr2:20739118-20739128(+) ggacaatagca >hg38_chr2:20772122-20772132(+) AGACAATGTAT >hg38_chr2:20838178-20838188(+) AGACAATGGAA >hg38_chr2:20838201-20838211(-) CCACAATGGAC >hg38_chr2:20838217-20838227(-) ccacaatggcA >hg38_chr2:20915045-20915055(+) acacaatgctg >hg38_chr2:20945350-20945360(+) ACACAATGGCA >hg38_chr2:20963121-20963131(-) ACACaatgtaa >hg38_chr2:20990756-20990766(-) GCACAATGAAT >hg38_chr2:20991001-20991011(-) GAACAATAATC >hg38_chr2:21047403-21047413(-) TGACAATGGCT >hg38_chr2:21110211-21110221(-) agacaatgctg >hg38_chr2:21110263-21110273(-) gaacaaaggaa >hg38_chr2:21146371-21146381(-) AAACTATGGGA >hg38_chr2:21182146-21182156(-) GAACAATGGGG >hg38_chr2:21251253-21251263(-) AGACAATGGGT >hg38_chr2:21251306-21251316(+) AAACAATATAC >hg38_chr2:21256180-21256190(+) ACACAATGAAG >hg38_chr2:21256197-21256207(-) ACACAAAGGGA >hg38_chr2:21256225-21256235(+) TTACAATGGGC >hg38_chr2:21256233-21256243(-) CAACAATGGCC >hg38_chr2:21256251-21256261(+) CCACAATGGAA >hg38_chr2:21263814-21263824(-) GAACAAAGGGA >hg38_chr2:21282234-21282244(-) TAACACTGGGA >hg38_chr2:21282804-21282814(+) TCACAATGTGA >hg38_chr2:21321006-21321016(-) CGACAATAGCA >hg38_chr2:21367121-21367131(+) TAACAAAGGTC >hg38_chr2:21372800-21372810(-) Aaacaataacg >hg38_chr2:21415271-21415281(+) GAACAATGTAT >hg38_chr2:21452499-21452509(+) TGACAATAGAC >hg38_chr2:21498724-21498734(+) AGACAATGAAA >hg38_chr2:21505549-21505559(+) TTACAATGACC >hg38_chr2:21519633-21519643(+) ATACAATTGAT >hg38_chr2:21531755-21531765(-) atacaatagaa >hg38_chr2:21607103-21607113(-) GAACAAAGGAC >hg38_chr2:21643356-21643366(-) AAACAATGAAT >hg38_chr2:21647448-21647458(+) GAACAATGCAA >hg38_chr2:21662673-21662683(+) ggacagtggat >hg38_chr2:21668194-21668204(+) AAACAATGACT >hg38_chr2:21668244-21668254(+) TAACAATAGGC >hg38_chr2:21677034-21677044(-) taacaatgggg >hg38_chr2:21677121-21677131(+) acataatggcc >hg38_chr2:21690157-21690167(+) aaataatggca >hg38_chr2:21716080-21716090(+) GAACAAAGGCA >hg38_chr2:21716844-21716854(+) tcacaatagca >hg38_chr2:21756683-21756693(+) ATAGAATGGTA >hg38_chr2:21821558-21821568(+) AAACAAAGGGA >hg38_chr2:21821602-21821612(-) ACACAATGCAC >hg38_chr2:21822029-21822039(-) TGACAATGAGT >hg38_chr2:21833631-21833641(+) TCACAAAGGAC >hg38_chr2:21841481-21841491(+) agacaatgggg >hg38_chr2:21845109-21845119(-) AGACAATGGCA >hg38_chr2:21856851-21856861(+) TCACAATGCTA >hg38_chr2:21892444-21892454(+) TGACAAAGGAA >hg38_chr2:22066289-22066299(-) TAACAATAGGG >hg38_chr2:22066322-22066332(-) taacaatagat >hg38_chr2:22066334-22066344(-) taacaatagat >hg38_chr2:22165752-22165762(+) ctacaatggga >hg38_chr2:22194448-22194458(+) CAACAAAGGTA >hg38_chr2:22253352-22253362(-) AAACAAAGGAG >hg38_chr2:22330606-22330616(+) atacaaaggca >hg38_chr2:22358053-22358063(-) CAACAATGGGC >hg38_chr2:22415522-22415532(-) caacaatagac >hg38_chr2:22419072-22419082(-) caacaatggaa >hg38_chr2:22481912-22481922(+) TAACACTGGGA >hg38_chr2:22487681-22487691(+) TGACAAAGGGA >hg38_chr2:22501341-22501351(+) GGACAATGGCC >hg38_chr2:22511849-22511859(-) TAACAAAGGCA >hg38_chr2:22604317-22604327(-) ACACAAAGGGT >hg38_chr2:22762486-22762496(-) aaacaaaggcc >hg38_chr2:22784637-22784647(+) TTACAATGAAA >hg38_chr2:22855254-22855264(+) TCACAATGGTC >hg38_chr2:22855305-22855315(-) GAACAAAGGGA >hg38_chr2:22882167-22882177(+) GGACAATGGAA >hg38_chr2:22883174-22883184(+) AAACAATGCAG >hg38_chr2:22890333-22890343(+) ACACAATAAAA >hg38_chr2:22912779-22912789(+) TTACAAAGGGA >hg38_chr2:22931024-22931034(+) caaCAATAGGC >hg38_chr2:22952653-22952663(-) AGACAATAAGA >hg38_chr2:23037368-23037378(+) TAACAATATGA >hg38_chr2:23037393-23037403(+) GCACAAAGGAC >hg38_chr2:23041795-23041805(+) agacaatgaac >hg38_chr2:23081298-23081308(-) gaacaatacta >hg38_chr2:23146499-23146509(+) agacaaaggat >hg38_chr2:23178577-23178587(-) gcacaatagta >hg38_chr2:23196537-23196547(+) gtacaatggaa >hg38_chr2:23254339-23254349(+) ggataatggca >hg38_chr2:23254380-23254390(+) agacaatgtta >hg38_chr2:23255243-23255253(-) agacaataaac >hg38_chr2:23255269-23255279(+) ctacaatggca >hg38_chr2:23260876-23260886(-) TTACAGTGGAC >hg38_chr2:23269441-23269451(+) ttacaatatag >hg38_chr2:23270118-23270128(-) aaacaatgtaa >hg38_chr2:23270170-23270180(+) tcacaatgtgc >hg38_chr2:23284023-23284033(-) GGACAATGGCA >hg38_chr2:23363924-23363934(+) taacaaaggca >hg38_chr2:23425778-23425788(+) GAACAATAGCA >hg38_chr2:23436496-23436506(-) AGACAATGAGG >hg38_chr2:23475205-23475215(-) GAACAATGGTA >hg38_chr2:23488041-23488051(+) AGACAATGGGT >hg38_chr2:23502184-23502194(+) GAACAATGGAT >hg38_chr2:23564738-23564748(+) CAACAATGTGG >hg38_chr2:23566480-23566490(-) TAACAATGCTG >hg38_chr2:23596135-23596145(+) ATACAATAGAG >hg38_chr2:23617343-23617353(+) TAACAATGCAA >hg38_chr2:23684570-23684580(-) CTACAAAGGAA >hg38_chr2:23710181-23710191(+) tgacaaaggat >hg38_chr2:23727973-23727983(+) caataatggat >hg38_chr2:23751147-23751157(-) CAACAATGATG >hg38_chr2:23751889-23751899(+) AGACAATAGCA >hg38_chr2:23752760-23752770(-) ACACAATGCAT >hg38_chr2:23764817-23764827(-) aaacaatagca >hg38_chr2:23778196-23778206(+) GAACAATATCA >hg38_chr2:23778248-23778258(-) CCACAATGAAA >hg38_chr2:23791741-23791751(-) atacaatggaa >hg38_chr2:23791779-23791789(+) tatcaatggac >hg38_chr2:23802793-23802803(-) TAATAATGTAC >hg38_chr2:23815492-23815502(-) gtaccatggat >hg38_chr2:23816156-23816166(+) tgacaatggta >hg38_chr2:23825397-23825407(-) GAACAATGTTA >hg38_chr2:23828173-23828183(-) ATACAATGTTA >hg38_chr2:23853195-23853205(-) tgataatggaa >hg38_chr2:23890767-23890777(+) gaacaatggta >hg38_chr2:23958388-23958398(-) TAACAAAGGCA >hg38_chr2:24007925-24007935(-) atacaatggac >hg38_chr2:24154819-24154829(-) aaataatggtg >hg38_chr2:24253539-24253549(-) aaacaatgagt >hg38_chr2:24258053-24258063(+) GAACAAAGGGC >hg38_chr2:24263963-24263973(+) taacaatggct >hg38_chr2:24315308-24315318(-) ATACAATGAAC >hg38_chr2:24336591-24336601(-) TGACAAAGGGA >hg38_chr2:24352892-24352902(-) TGACAATAGGC >hg38_chr2:24411910-24411920(-) GGACAATGTCT >hg38_chr2:24413063-24413073(+) aaacaatgtat >hg38_chr2:24453171-24453181(+) acacaatggtg >hg38_chr2:24461572-24461582(-) GGATAATGGCT >hg38_chr2:24481667-24481677(+) GAACAATAGGA >hg38_chr2:24509106-24509116(+) CAACAATGACA >hg38_chr2:24577836-24577846(+) ttacaatagct >hg38_chr2:24605485-24605495(-) caacaatagaa >hg38_chr2:24605500-24605510(-) aaacaatgtat >hg38_chr2:24631822-24631832(-) TAACAATAGGC >hg38_chr2:24635749-24635759(+) ATACAATGAGA >hg38_chr2:24635758-24635768(+) GAACAATGGGC >hg38_chr2:24646603-24646613(+) gtacaatggtc >hg38_chr2:24652951-24652961(-) ACACAATAAAC >hg38_chr2:24661833-24661843(-) gcacaatggat >hg38_chr2:24662197-24662207(-) gcacaatgctg >hg38_chr2:24695382-24695392(+) CGAGAATGGAC >hg38_chr2:24703730-24703740(+) GGACAATAAAA >hg38_chr2:24707312-24707322(+) TAACAATGACA >hg38_chr2:24709787-24709797(+) tcacaatagaa >hg38_chr2:24709823-24709833(+) atacagtggga >hg38_chr2:24722411-24722421(-) aaacaataggg >hg38_chr2:24734962-24734972(+) tgacaatgggg >hg38_chr2:24734973-24734983(+) aaagaatggcg >hg38_chr2:24745881-24745891(-) agacaatgttc >hg38_chr2:24771877-24771887(+) TTACAAAGGCA >hg38_chr2:24807764-24807774(-) ggacaatggaa >hg38_chr2:24807793-24807803(-) tgacaatagca >hg38_chr2:24958084-24958094(-) ATACAATAGCA >hg38_chr2:24972878-24972888(+) TGACAATGATT >hg38_chr2:24987646-24987656(+) TGACAATGGCA >hg38_chr2:24987690-24987700(+) CTACAATAGGA >hg38_chr2:25029289-25029299(-) ttacaaaggta >hg38_chr2:25075467-25075477(+) AAACAATGGAA >hg38_chr2:25105642-25105652(-) TGACAAAGGAC >hg38_chr2:25176785-25176795(+) tcacaatgact >hg38_chr2:25192452-25192462(+) ATACAAAGGAG >hg38_chr2:25311239-25311249(-) GCACAAAGGAA >hg38_chr2:25341499-25341509(-) ATACAATGCCG >hg38_chr2:25355101-25355111(+) AGACAAAGGGA >hg38_chr2:25445614-25445624(-) gaacaatgctg >hg38_chr2:25445637-25445647(+) ccacaatgtca >hg38_chr2:25514343-25514353(+) taacaaaggag >hg38_chr2:25517782-25517792(-) atacaatggaa >hg38_chr2:25529234-25529244(-) ctacaatgcca >hg38_chr2:25529798-25529808(-) aaataatggca >hg38_chr2:25542656-25542666(-) acacaaaggaa >hg38_chr2:25593270-25593280(+) aaacaatgcaa >hg38_chr2:25593295-25593305(-) aaacaatgttg >hg38_chr2:25618900-25618910(-) TAATAATGGTG >hg38_chr2:25618930-25618940(+) AGACAATGAGT >hg38_chr2:25620441-25620451(+) AAACAAAGGCA >hg38_chr2:25659925-25659935(-) gaacaatgttc >hg38_chr2:25664864-25664874(-) acacaatagaa >hg38_chr2:25665165-25665175(-) ACACAAAGGCA >hg38_chr2:25669555-25669565(-) taacaatgtat >hg38_chr2:25669564-25669574(+) taacaaaggga >hg38_chr2:25726387-25726397(+) TCACAATAGGA >hg38_chr2:25760536-25760546(+) taacaatatct >hg38_chr2:25795415-25795425(+) TGACAATAGGG >hg38_chr2:25806712-25806722(-) ATACAATGGAA >hg38_chr2:25823621-25823631(-) CAACAATGTAC >hg38_chr2:25835844-25835854(+) ATACAATGAAT >hg38_chr2:25844002-25844012(+) acacaatgcaa >hg38_chr2:25851378-25851388(-) GGACAATAGCC >hg38_chr2:25895643-25895653(+) agacaatgact >hg38_chr2:25895660-25895670(-) taacaatgcct >hg38_chr2:25905593-25905603(+) AGATAATGTCG >hg38_chr2:25912651-25912661(-) ctacaatgtgc >hg38_chr2:25912942-25912952(+) ATACAATGCAG >hg38_chr2:25912981-25912991(-) TTACAAAGGGA >hg38_chr2:25913318-25913328(+) TAACAAAGACG >hg38_chr2:25921220-25921230(+) TAACAAAGGGC >hg38_chr2:25937274-25937284(+) GAACAATGGCT >hg38_chr2:25979015-25979025(+) AGACAATGGCA >hg38_chr2:25982247-25982257(+) CAACAATGAGA >hg38_chr2:25982794-25982804(-) TAACAAAGGCA >hg38_chr2:26000914-26000924(+) gaacaatgcct >hg38_chr2:26000947-26000957(+) ttacaaagggt >hg38_chr2:26012528-26012538(+) tgacaataggc >hg38_chr2:26035265-26035275(+) AAACAATCGGA >hg38_chr2:26073415-26073425(-) GTACAATGGCA >hg38_chr2:26073435-26073445(-) ACACAATGGTG >hg38_chr2:26077386-26077396(-) GGACAATAATA >hg38_chr2:26125843-26125853(-) caacaaaggac >hg38_chr2:26150484-26150494(+) CAACAAAGGCG >hg38_chr2:26154088-26154098(-) taacaatatta >hg38_chr2:26234255-26234265(+) CCACAATAGGC >hg38_chr2:26235706-26235716(+) CTACAATGCTG >hg38_chr2:26235719-26235729(-) AGACAATGATA >hg38_chr2:26270471-26270481(-) ACACAAAGGCA >hg38_chr2:26299755-26299765(+) gaactatggag >hg38_chr2:26339819-26339829(-) caacaatggcc >hg38_chr2:26348581-26348591(-) ACACAATAGCG >hg38_chr2:26348599-26348609(-) ATACAATTGCG >hg38_chr2:26367516-26367526(-) agacaatatat >hg38_chr2:26369818-26369828(-) gcacaatgcat >hg38_chr2:26376886-26376896(-) tgacaatgata >hg38_chr2:26384394-26384404(+) gatcaatggcg >hg38_chr2:26402970-26402980(-) atacaatggca >hg38_chr2:26410716-26410726(+) gcacaatggat >hg38_chr2:26433483-26433493(+) ATACAATAGCA >hg38_chr2:26433537-26433547(-) TAACAATAGCC >hg38_chr2:26567696-26567706(+) AAACAAAGGCC >hg38_chr2:26590888-26590898(-) CCACAATGTCT >hg38_chr2:26646428-26646438(+) aaacaatgtcc >hg38_chr2:26700332-26700342(-) GCACAATGAGA >hg38_chr2:26736417-26736427(+) TGACTATGGAA >hg38_chr2:26736436-26736446(+) GGACAATGGGA >hg38_chr2:26847693-26847703(-) AAACAATgccg >hg38_chr2:26849666-26849676(+) GAACAATAGCC >hg38_chr2:26850589-26850599(-) CTACAATGCCA >hg38_chr2:26860672-26860682(+) ttacaaaggaa >hg38_chr2:26878168-26878178(-) gaacaatgtcc >hg38_chr2:26878210-26878220(-) aaacaatgaga >hg38_chr2:26901880-26901890(-) GTACAATGGAG >hg38_chr2:26912701-26912711(+) ACACAATGAAT >hg38_chr2:26913150-26913160(-) caacaatgcga >hg38_chr2:26914471-26914481(-) GTACAAAGGGG >hg38_chr2:26925630-26925640(-) ACACAAAGGAG >hg38_chr2:26952472-26952482(-) TTACAATGCCA >hg38_chr2:26982572-26982582(-) ACACAATGCAC >hg38_chr2:26987932-26987942(+) ACACAAAGGTC >hg38_chr2:27323358-27323368(-) GAACAATGAGA >hg38_chr2:27397600-27397610(+) tcacaataggt >hg38_chr2:27590248-27590258(+) atacagtgggt >hg38_chr2:27663671-27663681(-) TTACAACGGGA >hg38_chr2:27672637-27672647(-) ctacaatgaag >hg38_chr2:27682062-27682072(-) GAACAATGCTG >hg38_chr2:27682109-27682119(-) TAACAATAAGA >hg38_chr2:27688582-27688592(-) TGACAATGCAA >hg38_chr2:27703957-27703967(+) TTACAATGCGG >hg38_chr2:27723910-27723920(-) acacagtggat >hg38_chr2:27734861-27734871(+) TAATAatgtac >hg38_chr2:27752855-27752865(-) GAACAAAGGGA >hg38_chr2:27796122-27796132(-) CAACAATGAGA >hg38_chr2:27834667-27834677(+) GAACAATATAC >hg38_chr2:27885930-27885940(+) TAACAAAGGCC >hg38_chr2:27885967-27885977(-) CAACAATGTGT >hg38_chr2:27902011-27902021(-) gcacaaaggaa >hg38_chr2:27902034-27902044(-) acacaatggaa >hg38_chr2:27902660-27902670(-) gaacaaaggat >hg38_chr2:27909900-27909910(+) TAACAATAGCT >hg38_chr2:27910786-27910796(-) acacaatggaa >hg38_chr2:27911258-27911268(-) tcacaatggca >hg38_chr2:27916814-27916824(-) acacaatagga >hg38_chr2:27935430-27935440(+) ctacaatgcca >hg38_chr2:27944583-27944593(-) atacaatgtag >hg38_chr2:27946960-27946970(-) acacaatgtac >hg38_chr2:27947301-27947311(-) aaacaatgaca >hg38_chr2:27960079-27960089(-) TAACAATGAAC >hg38_chr2:27960091-27960101(-) AAACAATAAGT >hg38_chr2:27962822-27962832(-) CTACAATAGGT >hg38_chr2:27976965-27976975(-) GAACAAAGGAT >hg38_chr2:27977585-27977595(+) ttacaatgcca >hg38_chr2:27999036-27999046(-) atacaatgcta >hg38_chr2:28048208-28048218(+) CTACAATGACA >hg38_chr2:28052957-28052967(-) AGACAATGCAA >hg38_chr2:28079681-28079691(-) ATACAATGTAA >hg38_chr2:28082056-28082066(+) AAACAATAGTA >hg38_chr2:28082497-28082507(-) atacaaaggca >hg38_chr2:28083660-28083670(-) GGACAATGATA >hg38_chr2:28085345-28085355(+) gcacaatgaat >hg38_chr2:28106225-28106235(-) ggacaatataa >hg38_chr2:28106286-28106296(-) taacaatgatg >hg38_chr2:28146012-28146022(-) tcacaataggc >hg38_chr2:28191193-28191203(+) ccacaatgaga >hg38_chr2:28210011-28210021(-) ACACAATGGGA >hg38_chr2:28215650-28215660(-) TGACAAAGGGA >hg38_chr2:28283112-28283122(-) CTACAATAGCT >hg38_chr2:28283984-28283994(+) TAACAATGATT >hg38_chr2:28300431-28300441(+) TAACAATGATT >hg38_chr2:28302075-28302085(+) acacaatgaaa >hg38_chr2:28321654-28321664(+) AAACAATGTGC >hg38_chr2:28328212-28328222(+) GGACAATGAGG >hg38_chr2:28338341-28338351(+) GAACAATAAAT >hg38_chr2:28391180-28391190(+) AAACAATGACC >hg38_chr2:28392851-28392861(-) CTACAATGGCA >hg38_chr2:28437531-28437541(-) GAACAATGGGC >hg38_chr2:28442160-28442170(-) aaacaatgcaa >hg38_chr2:28442177-28442187(-) gaacaataaaa >hg38_chr2:28558573-28558583(-) gAACAATAGCA >hg38_chr2:28613799-28613809(+) CTACAATGGGT >hg38_chr2:28618880-28618890(-) CAACAATGGCT >hg38_chr2:28633871-28633881(-) GGACAAAGGAG >hg38_chr2:28643550-28643560(+) ggacaatgcga >hg38_chr2:28644607-28644617(+) ATACAATGGGA >hg38_chr2:28646961-28646971(+) tcacaatagcc >hg38_chr2:28659087-28659097(-) taacaataggt >hg38_chr2:28659123-28659133(-) tcacaatagtc >hg38_chr2:28659147-28659157(-) gtacaatgttg >hg38_chr2:28671062-28671072(-) TGACAATGAAC >hg38_chr2:28692652-28692662(-) AGACAGTGGAA >hg38_chr2:28718644-28718654(+) ACACAAAGACG >hg38_chr2:28724780-28724790(-) GGACAAAGGGA >hg38_chr2:28768883-28768893(+) agacagtggaa >hg38_chr2:28768940-28768950(+) atacaatggga >hg38_chr2:28769127-28769137(+) gaacaataata >hg38_chr2:28769180-28769190(+) agacaatagaa >hg38_chr2:28779845-28779855(-) tcacaatagtg >hg38_chr2:28798483-28798493(+) atacaatggac >hg38_chr2:28799715-28799725(+) GAATAATGGCA >hg38_chr2:28800440-28800450(-) TTACAATCGTA >hg38_chr2:28800736-28800746(-) TGACAATGCTC >hg38_chr2:28807950-28807960(+) caacaatgaaa >hg38_chr2:28809174-28809184(-) TTACAAAGGAA >hg38_chr2:28810385-28810395(+) TTACAATAGAA >hg38_chr2:28821663-28821673(+) TTACAATAGGA >hg38_chr2:28834616-28834626(+) tcacaaaggac >hg38_chr2:28834628-28834638(-) atacaatatgt >hg38_chr2:28846769-28846779(+) acacaAAGGGA >hg38_chr2:28847894-28847904(-) agacaatggta >hg38_chr2:28905212-28905222(+) GGATAATGGAT >hg38_chr2:28905219-28905229(+) GGATAATGGAT >hg38_chr2:28917651-28917661(-) AAACAATGAGT >hg38_chr2:28926068-28926078(+) GGACAATAGGA >hg38_chr2:28933633-28933643(+) agacaaaggat >hg38_chr2:28933690-28933700(+) tcacaatagaa >hg38_chr2:28935591-28935601(-) TAACAACGGAA >hg38_chr2:28952706-28952716(-) caacaatgtga >hg38_chr2:28953382-28953392(-) TGACAATGATA >hg38_chr2:28997202-28997212(+) cgacaaaggat >hg38_chr2:29033382-29033392(-) TAACAATGGCA >hg38_chr2:29108400-29108410(+) ggacaaaggga >hg38_chr2:29129729-29129739(-) AGACAATGGAA >hg38_chr2:29166608-29166618(-) TAATAATAGTA >hg38_chr2:29184456-29184466(+) gaacaaaGGCA >hg38_chr2:29185466-29185476(+) ATACAGTGGTT >hg38_chr2:29203330-29203340(-) agacaatgcaa >hg38_chr2:29260226-29260236(+) ttacaatgact >hg38_chr2:29260258-29260268(-) aaacaataata >hg38_chr2:29290844-29290854(+) ACACAATGGCC >hg38_chr2:29295599-29295609(+) GGACAATGCCT >hg38_chr2:29295605-29295615(-) GGACAAAGGCA >hg38_chr2:29330075-29330085(-) GGACAATGCTG >hg38_chr2:29349306-29349316(+) TGACAATAGGC >hg38_chr2:29389753-29389763(+) TGATAATGACG >hg38_chr2:29414466-29414476(-) AAACAATGAAg >hg38_chr2:29455259-29455269(-) agacaaaggca >hg38_chr2:29460000-29460010(+) acacagtggat >hg38_chr2:29460299-29460309(-) CTACAATGCCT >hg38_chr2:29460330-29460340(-) GAACAATGATC >hg38_chr2:29518287-29518297(+) GAACAAAGGGG >hg38_chr2:29522345-29522355(+) gaacaatgctg >hg38_chr2:29554373-29554383(+) AAACAATAGCA >hg38_chr2:29628194-29628204(+) ATACAATAAAA >hg38_chr2:29641503-29641513(+) TAACAATGCAA >hg38_chr2:29641526-29641536(-) GAACAATAAAA >hg38_chr2:29651801-29651811(+) aaacaatagct >hg38_chr2:29656534-29656544(+) ttacaatgcac >hg38_chr2:29656609-29656619(-) agacaataata >hg38_chr2:29657753-29657763(+) AGACAATGATT >hg38_chr2:29659750-29659760(-) taacaatacaa >hg38_chr2:29663647-29663657(+) AGACAATGAAT >hg38_chr2:29663686-29663696(-) GAACAAAGGAG >hg38_chr2:29680087-29680097(-) gaacaatagct >hg38_chr2:29680127-29680137(+) taacaatatga >hg38_chr2:29719833-29719843(+) ACACAATGGCT >hg38_chr2:29740436-29740446(+) agacaaaggga >hg38_chr2:29839642-29839652(-) AAACAAAGGAG >hg38_chr2:29879643-29879653(+) AAACAATGGGG >hg38_chr2:29881498-29881508(-) TGACAATGCCA >hg38_chr2:29895361-29895371(+) GAACAAAGGTT >hg38_chr2:29895579-29895589(+) AAACAATGCAG >hg38_chr2:29910687-29910697(+) taacaatggag >hg38_chr2:29928828-29928838(+) AAATAATGGTC >hg38_chr2:29951906-29951916(+) AAACAATGGGA >hg38_chr2:29953166-29953176(+) ATACAATGGAA >hg38_chr2:29954532-29954542(-) GGACAATGATC >hg38_chr2:29954567-29954577(+) GAACAATAGAG >hg38_chr2:29984389-29984399(+) gcacaatggtc >hg38_chr2:29986564-29986574(+) ttacaaaggga >hg38_chr2:29986579-29986589(+) ttacaaaggca >hg38_chr2:29990292-29990302(-) ATATAATGGCT >hg38_chr2:30013869-30013879(+) aaacaatgcaa >hg38_chr2:30028305-30028315(+) GAACAATGCAT >hg38_chr2:30095462-30095472(+) caacaatgcta >hg38_chr2:30134373-30134383(-) TAACAATAATG >hg38_chr2:30134747-30134757(-) GTACACTGGCA >hg38_chr2:30139756-30139766(-) atacaatggat >hg38_chr2:30145255-30145265(+) gtacaatatgc >hg38_chr2:30149780-30149790(-) GGACAAAGGCA >hg38_chr2:30155231-30155241(-) TGACAATGTGC >hg38_chr2:30158215-30158225(+) TAACTATGGTG >hg38_chr2:30234190-30234200(+) AGACAAtgggc >hg38_chr2:30275461-30275471(-) acacaatgtaa >hg38_chr2:30285926-30285936(-) aaacaatgcaa >hg38_chr2:30289395-30289405(+) agacaatgcat >hg38_chr2:30300779-30300789(+) aaacaaaggat >hg38_chr2:30300824-30300834(+) taacaatgaca >hg38_chr2:30320620-30320630(+) gaacaaaggaa >hg38_chr2:30351283-30351293(+) ACACAATGGAC >hg38_chr2:30351302-30351312(-) GAACAATGTCC >hg38_chr2:30434074-30434084(-) AGACTATGGGT >hg38_chr2:30449139-30449149(-) ACACAAtgcta >hg38_chr2:30457473-30457483(+) taacaataata >hg38_chr2:30457528-30457538(+) gtacaatggtt >hg38_chr2:30491431-30491441(-) taacaatgcag >hg38_chr2:30491503-30491513(-) acacaatggtt >hg38_chr2:30500046-30500056(-) AGACAATGGCT >hg38_chr2:30529452-30529462(-) gaacaaaggca >hg38_chr2:30542242-30542252(-) gaacaataaga >hg38_chr2:30549963-30549973(+) CCACAATGAAA >hg38_chr2:30558266-30558276(+) ttacaatgaag >hg38_chr2:30605404-30605414(-) CTACAAAGGGA >hg38_chr2:30701922-30701932(-) gcacaatggaa >hg38_chr2:30702770-30702780(-) taacaaaggcc >hg38_chr2:30707217-30707227(+) ATACAatgata >hg38_chr2:30820554-30820564(+) GAACAATGAAG >hg38_chr2:30877783-30877793(+) ttacaataact >hg38_chr2:30930887-30930897(-) TGACACTGGTA >hg38_chr2:30930932-30930942(+) AGACAATGACT >hg38_chr2:31038632-31038642(-) caacaatagct >hg38_chr2:31103458-31103468(+) ACACAATGGCA >hg38_chr2:31130188-31130198(-) GGACAATGCTG >hg38_chr2:31237827-31237837(-) aaacaatgctA >hg38_chr2:31237855-31237865(-) acacaatggaa >hg38_chr2:31276156-31276166(+) TGACTATGGAT >hg38_chr2:31421550-31421560(-) GAACAAAGGAG >hg38_chr2:31473089-31473099(+) gaacaaaggag >hg38_chr2:31577466-31577476(+) atacaatgggg >hg38_chr2:31635814-31635824(-) atacaatggga >hg38_chr2:31637519-31637529(-) ggacaaagggc >hg38_chr2:31638536-31638546(-) atacaataatg >hg38_chr2:31648095-31648105(+) gcacaatataa >hg38_chr2:31688454-31688464(+) TGACAATAGGG >hg38_chr2:31731438-31731448(+) taacaataata >hg38_chr2:31854746-31854756(+) ttacaatgatg >hg38_chr2:31854758-31854768(+) gtacaatgTGT >hg38_chr2:31870129-31870139(-) CTATAATGGTG >hg38_chr2:31880043-31880053(-) ttacaatatag >hg38_chr2:31906720-31906730(+) ttacaacggca >hg38_chr2:31916878-31916888(+) cgataatgaac >hg38_chr2:31945083-31945093(+) aaacaataagt >hg38_chr2:32007993-32008003(+) TGACAATGCAC >hg38_chr2:32023613-32023623(-) ATACAATATCT >hg38_chr2:32065696-32065706(-) GGACAATGCAA >hg38_chr2:32087125-32087135(+) AAACAATGCTT >hg38_chr2:32119237-32119247(+) tcacaatagac >hg38_chr2:32119304-32119314(-) ACACAATAGAG >hg38_chr2:32167717-32167727(+) ATACAATAGAA >hg38_chr2:32172245-32172255(+) AAACAATAATA >hg38_chr2:32213387-32213397(-) atacactgggt >hg38_chr2:32222021-32222031(+) GCATAATGGAC >hg38_chr2:32222048-32222058(-) TAACAATGCTG >hg38_chr2:32284112-32284122(+) ctactatggat >hg38_chr2:32422338-32422348(-) taacaaaggaa >hg38_chr2:32446511-32446521(-) GTACAAAGGCT >hg38_chr2:32456445-32456455(-) ccacaatgaaa >hg38_chr2:32461843-32461853(+) GTATAATAGTA >hg38_chr2:32472976-32472986(+) TTACAATGTTT >hg38_chr2:32473525-32473535(-) GAACAAAGGAA >hg38_chr2:32476525-32476535(-) TTACAATAATA >hg38_chr2:32476544-32476554(-) CCACAATGAAG >hg38_chr2:32508031-32508041(-) GGACAAAGGTA >hg38_chr2:32544391-32544401(-) TAACAATGCCA >hg38_chr2:32562965-32562975(-) agacaatgtgg >hg38_chr2:32572334-32572344(-) atacaataata >hg38_chr2:32572354-32572364(-) AAACAATAACA >hg38_chr2:32575939-32575949(-) GTACAATGGGA >hg38_chr2:32576025-32576035(-) gaacaatgtca >hg38_chr2:32593458-32593468(-) ATACAATGTGT >hg38_chr2:32602230-32602240(+) acacagtggaa >hg38_chr2:32602620-32602630(+) atacaataatt >hg38_chr2:32602648-32602658(-) atacaatgtgt >hg38_chr2:32687512-32687522(+) tgataatggaa >hg38_chr2:32688769-32688779(+) atacaatgctg >hg38_chr2:32702366-32702376(-) GTACTATGATA >hg38_chr2:32735719-32735729(-) gtacaatatct >hg38_chr2:32739105-32739115(-) AGACAACGGGC >hg38_chr2:32739145-32739155(+) TAACAaaggaa >hg38_chr2:32762055-32762065(+) TAACAATATGA >hg38_chr2:32763536-32763546(-) ggacaatagcc >hg38_chr2:32763698-32763708(-) AGACAATGTAT >hg38_chr2:32772773-32772783(-) TGACAATAGAA >hg38_chr2:32826416-32826426(-) taacaataact >hg38_chr2:32862890-32862900(+) gtacaatacta >hg38_chr2:32889194-32889204(+) taataatggag >hg38_chr2:32889776-32889786(-) gcacaaaggga >hg38_chr2:32900438-32900448(-) GCACAATGAAG >hg38_chr2:32927006-32927016(-) gaacaatgcct >hg38_chr2:32927051-32927061(-) aaacaatgtgt >hg38_chr2:32948031-32948041(-) TAACAATGCAC >hg38_chr2:32951796-32951806(-) CGACAAAGGAG >hg38_chr2:32966753-32966763(-) caacaatgaat >hg38_chr2:33012655-33012665(+) gcacaatgcag >hg38_chr2:33020999-33021009(+) AAACAATAGCT >hg38_chr2:33022490-33022500(-) gaacaatacac >hg38_chr2:33023748-33023758(+) ATACAAAGGAA >hg38_chr2:33048092-33048102(-) ACACAATGCCT >hg38_chr2:33083625-33083635(+) GAACAATGGTT >hg38_chr2:33111784-33111794(+) GAACAATAGTT >hg38_chr2:33145573-33145583(-) GGACAATGCAG >hg38_chr2:33165290-33165300(+) ggacaaaggca >hg38_chr2:33229927-33229937(+) TTACAAAGGCA >hg38_chr2:33230161-33230171(-) GAACAATGTGG >hg38_chr2:33236622-33236632(-) ATACAATGTGC >hg38_chr2:33250047-33250057(+) AGACAATAACA >hg38_chr2:33268371-33268381(+) GAACAATACGT >hg38_chr2:33268409-33268419(-) AAACAATGTGG >hg38_chr2:33268433-33268443(+) TTACGATGGTG >hg38_chr2:33329409-33329419(-) aaacactggac >hg38_chr2:33360562-33360572(-) TGACAATAGGA >hg38_chr2:33373081-33373091(-) TTACAATGTCT >hg38_chr2:33387766-33387776(+) ACACAATAGGG >hg38_chr2:33387811-33387821(+) CGACAATAGAG >hg38_chr2:33428907-33428917(-) TGACAATGTGT >hg38_chr2:33442605-33442615(-) ttacaatggat >hg38_chr2:33491872-33491882(+) GCACAATGGAC >hg38_chr2:33510007-33510017(+) GAACAATGAAT >hg38_chr2:33510975-33510985(+) TGACAATAGAG >hg38_chr2:33577374-33577384(+) TAACAATGCTC >hg38_chr2:33577422-33577432(+) ATACTATGGAA >hg38_chr2:33587602-33587612(+) GGACTATGGAT >hg38_chr2:33589219-33589229(-) ACACAAAGGGA >hg38_chr2:33589264-33589274(+) GTATAATGGGG >hg38_chr2:33591541-33591551(+) GAACAATGCAA >hg38_chr2:33603561-33603571(-) agactatggaa >hg38_chr2:33607622-33607632(+) ttacaaaggca >hg38_chr2:33607974-33607984(+) ATACAATAATG >hg38_chr2:33608215-33608225(-) TCACAATGCTG >hg38_chr2:33626289-33626299(+) ggacaatggct >hg38_chr2:33628151-33628161(+) CAACAATGGCC >hg38_chr2:33667478-33667488(-) acacaatggac >hg38_chr2:33684219-33684229(-) gtacaattgat >hg38_chr2:33686487-33686497(-) gaacaatgcaa >hg38_chr2:33697488-33697498(+) gcataatggga >hg38_chr2:33774600-33774610(+) TAATAATGTAT >hg38_chr2:33774638-33774648(-) agacaatggaa >hg38_chr2:33814757-33814767(+) aaacaatagtg >hg38_chr2:33853729-33853739(-) agacaatggag >hg38_chr2:33871446-33871456(-) TAACAATGATC >hg38_chr2:33900016-33900026(-) taacaatgtgt >hg38_chr2:33934943-33934953(-) ttacaatggag >hg38_chr2:33953601-33953611(-) agacaatggtc >hg38_chr2:33987933-33987943(-) aaacaatggga >hg38_chr2:34006269-34006279(-) GAACAAAGGGA >hg38_chr2:34012842-34012852(-) AAACAATGAAA >hg38_chr2:34096166-34096176(+) caacaatgagc >hg38_chr2:34096226-34096236(+) aaacaatagtg >hg38_chr2:34115373-34115383(+) caacaatgaca >hg38_chr2:34124136-34124146(-) TCACAAAGGAA >hg38_chr2:34124192-34124202(-) GAACAAAGGCT >hg38_chr2:34136509-34136519(-) taacaatataa >hg38_chr2:34136540-34136550(+) aaacAATGCAG >hg38_chr2:34326182-34326192(+) ATACAATGACT >hg38_chr2:34359274-34359284(-) GAACTATGGAA >hg38_chr2:34363232-34363242(-) ACACAATGGTC >hg38_chr2:34366099-34366109(-) CTACAATGATG >hg38_chr2:34408362-34408372(+) TGACAATGGTG >hg38_chr2:34447647-34447657(+) GTACAATGTGT >hg38_chr2:34447655-34447665(-) ACACAATGACA >hg38_chr2:34534331-34534341(+) CCACAATGATT >hg38_chr2:34567299-34567309(-) TGACAATGGTG >hg38_chr2:34666971-34666981(-) TGACAATGATG >hg38_chr2:34667012-34667022(+) TAACAATGAAT >hg38_chr2:34720829-34720839(+) GGACAAAGGAA >hg38_chr2:34720881-34720891(-) TTACAATGTCA >hg38_chr2:34730014-34730024(-) TCACAATGGGT >hg38_chr2:34734867-34734877(-) AAACAATGATT >hg38_chr2:34785232-34785242(-) gaacaatagct >hg38_chr2:34807574-34807584(+) GTACAATAAAA >hg38_chr2:34834342-34834352(-) TCACAATAGGA >hg38_chr2:34834367-34834377(+) GAACAATAGTG >hg38_chr2:34883173-34883183(-) gtacaatgaga >hg38_chr2:34883423-34883433(+) CCACAATGAGA >hg38_chr2:34894735-34894745(-) CTACAAAGGGA >hg38_chr2:34969347-34969357(-) ttacaatggcc >hg38_chr2:35159679-35159689(-) ggacaatgtga >hg38_chr2:35369175-35369185(-) AGACAAAGGAC >hg38_chr2:35372619-35372629(+) agacaatgaag >hg38_chr2:35419835-35419845(+) ggacaatgcct >hg38_chr2:35451035-35451045(+) CTACAATGTAG >hg38_chr2:35460430-35460440(-) ACATAATGGGA >hg38_chr2:35744849-35744859(+) ttacaatatat >hg38_chr2:35744858-35744868(+) atacaatgaac >hg38_chr2:35745499-35745509(-) gaacaatgtat >hg38_chr2:35778401-35778411(-) CCACAATGGGA >hg38_chr2:35783632-35783642(-) TGACAATGTAA >hg38_chr2:35821847-35821857(+) aaacaatagcc >hg38_chr2:35864340-35864350(+) agacaaaggca >hg38_chr2:35873067-35873077(-) gaacaatagag >hg38_chr2:35878370-35878380(+) GAACAATAGGC >hg38_chr2:35911987-35911997(+) AGACAATAGCT >hg38_chr2:35916697-35916707(+) TATCAATGGTA >hg38_chr2:35916735-35916745(-) gcacaatgccC >hg38_chr2:35929711-35929721(-) CAACAATGAGT >hg38_chr2:35938107-35938117(+) CAACAATGGAA >hg38_chr2:35969910-35969920(+) ggacaatgggg >hg38_chr2:35989783-35989793(-) GAACAAAGGAG >hg38_chr2:36010852-36010862(+) GAACACTGGGA >hg38_chr2:36010882-36010892(-) GGACAATGATG >hg38_chr2:36043323-36043333(+) GTACAATGCCA >hg38_chr2:36111015-36111025(-) ggacaaaggat >hg38_chr2:36111038-36111048(-) taacaataatc >hg38_chr2:36111056-36111066(-) taacaataaaa >hg38_chr2:36111062-36111072(-) taacaataaca >hg38_chr2:36139649-36139659(+) TTACAATGGAG >hg38_chr2:36151363-36151373(+) gaacaatggca >hg38_chr2:36157895-36157905(+) AGACAATGCAT >hg38_chr2:36174179-36174189(+) GAACAATGCTC >hg38_chr2:36213929-36213939(+) TGACAATAGAA >hg38_chr2:36287487-36287497(+) AAACAATGCAA >hg38_chr2:36369942-36369952(-) ATACAATGCAC >hg38_chr2:36372206-36372216(+) TCACAATGGTT >hg38_chr2:36374547-36374557(-) ggacaatgtat >hg38_chr2:36376688-36376698(-) AGACAATGAGC >hg38_chr2:36423488-36423498(-) ACACAATGAAT >hg38_chr2:36433515-36433525(-) TTACAATGAAG >hg38_chr2:36433573-36433583(+) gcacaatagaa >hg38_chr2:36445972-36445982(-) TAACAATGCTC >hg38_chr2:36459037-36459047(-) GAACAATGATT >hg38_chr2:36462787-36462797(+) GTACAATAAAT >hg38_chr2:36462800-36462810(+) AAACAATATAA >hg38_chr2:36496280-36496290(+) TCACAATGACT >hg38_chr2:36496287-36496297(-) CAACAATAGTC >hg38_chr2:36507680-36507690(-) AAACAAAGGCT >hg38_chr2:36530586-36530596(-) TAACAATGCAT >hg38_chr2:36572802-36572812(+) AAACAAAGGCT >hg38_chr2:36591127-36591137(-) AAACAATGTGC >hg38_chr2:36597582-36597592(+) TAACTATGGGC >hg38_chr2:36627822-36627832(+) taacaatgctt >hg38_chr2:36635045-36635055(+) agacaaaggga >hg38_chr2:36635126-36635136(-) tcacaatagca >hg38_chr2:36652022-36652032(+) aaacaataaac >hg38_chr2:36652029-36652039(+) aaacaatggaa >hg38_chr2:36663272-36663282(-) TAACAATGCAA >hg38_chr2:36672405-36672415(-) CCACAATGGGG >hg38_chr2:36675924-36675934(-) atacaatatgt >hg38_chr2:36677658-36677668(+) AAACAAAGGCA >hg38_chr2:36711980-36711990(-) GAACAATAGGG >hg38_chr2:36713566-36713576(+) ggacaaaggaa >hg38_chr2:36784881-36784891(-) TGACAATGGTT >hg38_chr2:36824414-36824424(-) AGACAATGTGG >hg38_chr2:36824464-36824474(+) TAACAATGCCG >hg38_chr2:36835471-36835481(+) aaacaatgaag >hg38_chr2:36866782-36866792(-) agacaaaggag >hg38_chr2:36910391-36910401(+) taacaatggag >hg38_chr2:36910440-36910450(+) caacaatagca >hg38_chr2:36913570-36913580(-) gtataatggat >hg38_chr2:36913589-36913599(-) ttacaatgaca >hg38_chr2:37058960-37058970(+) GGACAATGTGC >hg38_chr2:37061463-37061473(+) TCACAATAATA >hg38_chr2:37061490-37061500(+) GTACAATGTTA >hg38_chr2:37076157-37076167(+) tcacaatgctt >hg38_chr2:37081408-37081418(+) gaacaataaca >hg38_chr2:37099500-37099510(+) AAACAATGGGA >hg38_chr2:37104926-37104936(-) ttacaatggtc >hg38_chr2:37110450-37110460(+) GTACAATGATG >hg38_chr2:37110951-37110961(+) atacaatggaa >hg38_chr2:37141514-37141524(+) ATACAATGAAA >hg38_chr2:37149909-37149919(+) gaacaatcgaa >hg38_chr2:37149967-37149977(+) taacactggaa >hg38_chr2:37150606-37150616(+) ACACAATGCTT >hg38_chr2:37191476-37191486(-) acacaatggaa >hg38_chr2:37192762-37192772(+) AGACAATGGGT >hg38_chr2:37198574-37198584(+) GGACAATAGAA >hg38_chr2:37216096-37216106(-) AGACAATATAA >hg38_chr2:37242737-37242747(-) ACACAATGCCT >hg38_chr2:37246323-37246333(-) TTACAATAACA >hg38_chr2:37262301-37262311(-) atacaGTGGAA >hg38_chr2:37262333-37262343(-) aaacaatggag >hg38_chr2:37262574-37262584(+) TGACAATGGCA >hg38_chr2:37297151-37297161(+) AAAcaatgtct >hg38_chr2:37297200-37297210(+) aaacaaaggat >hg38_chr2:37314833-37314843(+) GGATAATGGAA >hg38_chr2:37314872-37314882(+) ATACAATGCTC >hg38_chr2:37317824-37317834(+) caacaatgctt >hg38_chr2:37324115-37324125(+) GAACAATGCTG >hg38_chr2:37360942-37360952(-) GAACAATGTCT >hg38_chr2:37438651-37438661(-) atacaatacat >hg38_chr2:37445197-37445207(-) GTACAATGCCT >hg38_chr2:37445241-37445251(-) AAACAATATTA >hg38_chr2:37445734-37445744(-) CAACAATGGTT >hg38_chr2:37463179-37463189(-) acacaataggc >hg38_chr2:37466211-37466221(-) aaacaaaggtg >hg38_chr2:37473226-37473236(-) TGACAATGGCT >hg38_chr2:37544858-37544868(+) tgacaatgaaa >hg38_chr2:37562469-37562479(+) gaacaaaggga >hg38_chr2:37630632-37630642(+) taacaataaaa >hg38_chr2:37636547-37636557(+) CAACAATGAAG >hg38_chr2:37655256-37655266(-) AAATAATGGAA >hg38_chr2:37657124-37657134(+) GGACAATAGCT >hg38_chr2:37659673-37659683(+) AAACAATGAAC >hg38_chr2:37671849-37671859(+) GGACAATGGGC >hg38_chr2:37671860-37671870(-) TAACAATGGGC >hg38_chr2:37676490-37676500(-) gtacaataggC >hg38_chr2:37688834-37688844(+) GGACAATGCCT >hg38_chr2:37689784-37689794(+) TTACAAAGGAT >hg38_chr2:37689805-37689815(-) GAACAATAAGA >hg38_chr2:37692739-37692749(+) ttacaatggga >hg38_chr2:37714744-37714754(-) aaactatggat >hg38_chr2:37715762-37715772(-) caacaatgtta >hg38_chr2:37857717-37857727(+) GAACAATAACT >hg38_chr2:37875582-37875592(-) TTACAAAGGGC >hg38_chr2:37901808-37901818(-) GGACAATGTCT >hg38_chr2:37903150-37903160(+) gaacaatggcc >hg38_chr2:37909540-37909550(+) Aaacaatgttt >hg38_chr2:37909555-37909565(-) aaacaatgctg >hg38_chr2:37964984-37964994(-) agacaaaggca >hg38_chr2:37981327-37981337(-) TGACAATGTCC >hg38_chr2:38004130-38004140(-) TAACACTGGAA >hg38_chr2:38023243-38023253(-) gtacagtggct >hg38_chr2:38038360-38038370(+) CAACAATAGAA >hg38_chr2:38044504-38044514(-) gcataatggga >hg38_chr2:38101591-38101601(+) atacaatggga >hg38_chr2:38109875-38109885(-) CAACAATAGAA >hg38_chr2:38114017-38114027(-) ACACAATGGCA >hg38_chr2:38160278-38160288(-) AAACAATAGGG >hg38_chr2:38171341-38171351(-) CAACAAAGGTA >hg38_chr2:38187588-38187598(+) GCACAATGGGC >hg38_chr2:38187596-38187606(-) GTACAATGGCC >hg38_chr2:38187640-38187650(+) AGACAATGCCT >hg38_chr2:38224961-38224971(+) aaacaataggg >hg38_chr2:38250961-38250971(+) tgacaatggaa >hg38_chr2:38318986-38318996(-) AAACAATGAAC >hg38_chr2:38335436-38335446(+) taacaatgtgc >hg38_chr2:38386687-38386697(+) GTACAGTGGTG >hg38_chr2:38395012-38395022(+) tcacaatgggt >hg38_chr2:38407140-38407150(+) AAACAAAGGCA >hg38_chr2:38542656-38542666(+) TAACAATGATC >hg38_chr2:38542681-38542691(-) AAACAATAGTT >hg38_chr2:38569130-38569140(-) TCACAAAGGTA >hg38_chr2:38569157-38569167(+) ACACAATGGAA >hg38_chr2:38576667-38576677(+) GGACAATAGGC >hg38_chr2:38578127-38578137(-) AGACAATGACC >hg38_chr2:38599148-38599158(-) AAACAAAGGAT >hg38_chr2:38599882-38599892(-) GTACAATGATG >hg38_chr2:38634140-38634150(-) TTACAATAGTG >hg38_chr2:38721023-38721033(-) ttacaatgtga >hg38_chr2:38739746-38739756(+) gaacaatggag >hg38_chr2:38741149-38741159(+) GGACAATAGCA >hg38_chr2:38752006-38752016(+) AGACAAAGGAA >hg38_chr2:38765131-38765141(+) AGACAATGTAA >hg38_chr2:38767239-38767249(+) taataatagta >hg38_chr2:38850057-38850067(+) caacaatgcct >hg38_chr2:38867256-38867266(+) caacaatgaaa >hg38_chr2:38867272-38867282(+) taacaatgcat >hg38_chr2:38906364-38906374(+) CAACAATAGAT >hg38_chr2:38923605-38923615(+) gtacaatgcct >hg38_chr2:38992004-38992014(+) AAACAATGGAA >hg38_chr2:38998132-38998142(+) GTACACTGGAC >hg38_chr2:39013616-39013626(+) TCACAATGCAC >hg38_chr2:39013628-39013638(+) GTACAATACAA >hg38_chr2:39015983-39015993(+) GCACAATGTCT >hg38_chr2:39020635-39020645(-) CTACAATGGTA >hg38_chr2:39032619-39032629(+) agacaataaaA >hg38_chr2:39043468-39043478(-) TTACAATGAAT >hg38_chr2:39050994-39051004(+) TGACAATGACC >hg38_chr2:39072534-39072544(+) CCACAATGCAA >hg38_chr2:39093461-39093471(+) TTACAATGCCA >hg38_chr2:39100455-39100465(+) acacaatggaa >hg38_chr2:39141590-39141600(+) AGACAAAGGAT >hg38_chr2:39153630-39153640(-) gaacaataaaa >hg38_chr2:39175555-39175565(+) TTACAATAAGT >hg38_chr2:39175571-39175581(+) TTACAATGCAG >hg38_chr2:39202806-39202816(+) ACATAATGGGA >hg38_chr2:39229978-39229988(+) ACACAATGACT >hg38_chr2:39236611-39236621(+) aaacaatagaa >hg38_chr2:39236621-39236631(+) aaacaataaac >hg38_chr2:39241807-39241817(-) TAACAATAGAA >hg38_chr2:39241818-39241828(-) AGACAATAGGC >hg38_chr2:39264211-39264221(+) AAACAATGTTT >hg38_chr2:39265247-39265257(+) GAACAATGCTA >hg38_chr2:39269735-39269745(+) aaacaatgaca >hg38_chr2:39280527-39280537(+) TAACAGTGGTA >hg38_chr2:39280651-39280661(+) TAATAATGTAT >hg38_chr2:39280686-39280696(+) ATACAATAGTC >hg38_chr2:39296162-39296172(-) ACACAATGGGG >hg38_chr2:39296372-39296382(+) ACACAATAAAC >hg38_chr2:39296379-39296389(+) AAACAATGTCA >hg38_chr2:39308453-39308463(-) ATACAAAGGGT >hg38_chr2:39320819-39320829(-) ATACAATAGCT >hg38_chr2:39364013-39364023(+) agacaatagca >hg38_chr2:39365821-39365831(-) TAACAATGGCA >hg38_chr2:39379174-39379184(+) TAACAGTGGAA >hg38_chr2:39381166-39381176(-) atacaatggaa >hg38_chr2:39381219-39381229(+) gaataatggtg >hg38_chr2:39410831-39410841(+) GTACAATGACA >hg38_chr2:39412645-39412655(+) TGACAATGAAG >hg38_chr2:39430005-39430015(+) gaacaataatt >hg38_chr2:39431756-39431766(+) TAACAATGACC >hg38_chr2:39469979-39469989(-) TCACAATGGGA >hg38_chr2:39484779-39484789(+) CTATAATGGAG >hg38_chr2:39516683-39516693(+) TAACAATATGT >hg38_chr2:39516717-39516727(-) AAACAAAGGGG >hg38_chr2:39523865-39523875(+) ACACAATGTAC >hg38_chr2:39571523-39571533(-) ctacaatcgga >hg38_chr2:39582112-39582122(-) acacaatggaa >hg38_chr2:39582163-39582173(+) acacaaaggtt >hg38_chr2:39582187-39582197(-) tcacaatagca >hg38_chr2:39625986-39625996(-) TGACAAAGGAT >hg38_chr2:39633149-39633159(-) AGACAATAGAC >hg38_chr2:39642587-39642597(+) ACACAATGGGT >hg38_chr2:39649810-39649820(+) AGACAATGGTG >hg38_chr2:39665215-39665225(+) GGACAAAGGGA >hg38_chr2:39670343-39670353(-) gtacaataacc >hg38_chr2:39696263-39696273(-) GAACAATGGCC >hg38_chr2:39696939-39696949(-) ACACAATGGGG >hg38_chr2:39715386-39715396(-) AAACAAAGGCA >hg38_chr2:39736015-39736025(+) GAACAATTGTA >hg38_chr2:39737031-39737041(+) ATACAATGGTT >hg38_chr2:39760407-39760417(+) gaacaatgaag >hg38_chr2:39760439-39760449(+) gaacaatgaaa >hg38_chr2:39760776-39760786(+) tcacaatgatg >hg38_chr2:39823456-39823466(-) Taacaatagct >hg38_chr2:39823755-39823765(+) TCACAATGAGT >hg38_chr2:39850401-39850411(+) gaacaatgaca >hg38_chr2:39854885-39854895(-) TGACAATGTAA >hg38_chr2:39871409-39871419(-) ACACAATAAAA >hg38_chr2:39871698-39871708(+) ACACAATGCAA >hg38_chr2:39913852-39913862(+) CTACAATATAT >hg38_chr2:39927625-39927635(-) AGACAATAAAT >hg38_chr2:39927666-39927676(-) TCACAATGGTT >hg38_chr2:39927691-39927701(+) TGACTATGGTC >hg38_chr2:39933984-39933994(+) caacaatagct >hg38_chr2:39945990-39946000(-) ctacaatggtt >hg38_chr2:39990784-39990794(-) TTACAATGACC >hg38_chr2:40128343-40128353(+) CAACAAtgtgt >hg38_chr2:40128641-40128651(-) ACACAATGGCG >hg38_chr2:40144085-40144095(-) CAATAATGGAA >hg38_chr2:40144088-40144098(-) TAACAATAATG >hg38_chr2:40144125-40144135(+) ATACAATGTGA >hg38_chr2:40150573-40150583(-) AAACAATGTGC >hg38_chr2:40166215-40166225(-) CAACAATGGAG >hg38_chr2:40231231-40231241(+) CTACAATGGAG >hg38_chr2:40240554-40240564(-) GAACAATAGAG >hg38_chr2:40242515-40242525(+) GAACAATGGTT >hg38_chr2:40243645-40243655(+) GAACAAAGGAA >hg38_chr2:40251373-40251383(-) CAACAATGAAG >hg38_chr2:40256489-40256499(+) GCATAATGGGA >hg38_chr2:40277040-40277050(+) AAACAATGATG >hg38_chr2:40280805-40280815(+) taacaatagcc >hg38_chr2:40283781-40283791(+) TAACAATAGAC >hg38_chr2:40285469-40285479(-) ATACTATGGGA >hg38_chr2:40285554-40285564(+) TAACAATGGTA >hg38_chr2:40312283-40312293(+) CTACAATAGAT >hg38_chr2:40341412-40341422(-) CCACAATAGTT >hg38_chr2:40352334-40352344(-) ACATAATGGCA >hg38_chr2:40358684-40358694(+) gtacaatggcc >hg38_chr2:40384393-40384403(+) ACACAATGAGA >hg38_chr2:40409116-40409126(+) AGACAATGAGA >hg38_chr2:40449799-40449809(-) CTACAAAGGAT >hg38_chr2:40449829-40449839(+) AAATAATGGTT >hg38_chr2:40470431-40470441(+) aaacaatataa >hg38_chr2:40533435-40533445(+) GAACAATAGAA >hg38_chr2:40535362-40535372(-) AAACAATAATC >hg38_chr2:40536232-40536242(+) CAACAATGTCA >hg38_chr2:40593722-40593732(+) GGATAATGGGA >hg38_chr2:40618834-40618844(-) GAACAATAGAG >hg38_chr2:40623289-40623299(+) atacaatactc >hg38_chr2:40704009-40704019(+) gaacaataacc >hg38_chr2:40733199-40733209(-) AGACAATGCAG >hg38_chr2:40733213-40733223(+) GTACAATGCCT >hg38_chr2:40773515-40773525(-) AAACAAAGGAT >hg38_chr2:40791459-40791469(-) TGACAATGAAA >hg38_chr2:40794447-40794457(+) GCACAATGTAG >hg38_chr2:40825522-40825532(-) gtataatggag >hg38_chr2:40831490-40831500(+) aaacaatgttg >hg38_chr2:40872378-40872388(+) CCACAATGCAA >hg38_chr2:40881193-40881203(+) CAACAATGCAC >hg38_chr2:40944116-40944126(-) Acataatggtg >hg38_chr2:40947816-40947826(-) caacaatggca >hg38_chr2:40947829-40947839(-) atacaatgtat >hg38_chr2:40950571-40950581(+) TGATAATGGGA >hg38_chr2:40998176-40998186(-) ACACAATACCG >hg38_chr2:41020581-41020591(+) AGACAAAGGGA >hg38_chr2:41033279-41033289(-) TGACAAAGGAA >hg38_chr2:41064946-41064956(-) AAACAATGGGG >hg38_chr2:41102280-41102290(-) aaacaataaaa >hg38_chr2:41128113-41128123(-) aaacaatggaa >hg38_chr2:41131869-41131879(-) AAACAATAAAT >hg38_chr2:41131902-41131912(+) GCACAATGCAG >hg38_chr2:41163005-41163015(-) tcacaataata >hg38_chr2:41163511-41163521(-) gcacaaaggac >hg38_chr2:41233993-41234003(-) ggacaatgcct >hg38_chr2:41246110-41246120(+) caacaatgtga >hg38_chr2:41283278-41283288(-) ggacaatgaag >hg38_chr2:41300848-41300858(+) TAACAATGGAA >hg38_chr2:41300862-41300872(+) TAACAATGAAA >hg38_chr2:41301557-41301567(-) gaacaataata >hg38_chr2:41315495-41315505(+) agacaatagga >hg38_chr2:41315524-41315534(+) ggacaataGGC >hg38_chr2:41375613-41375623(+) AGACAATGGGG >hg38_chr2:41524125-41524135(+) TAACAATGTTT >hg38_chr2:41540836-41540846(+) ACATAATGGAG >hg38_chr2:41597638-41597648(+) GAACAATAGCA >hg38_chr2:41598491-41598501(+) GAACAATGAGT >hg38_chr2:41605073-41605083(-) ATACAAAGGAT >hg38_chr2:41646917-41646927(+) AAACAATGGTG >hg38_chr2:41670571-41670581(+) TTATAATGGCA >hg38_chr2:41670831-41670841(+) AGACAATGAGC >hg38_chr2:41694777-41694787(-) CCACAATAGCT >hg38_chr2:41718855-41718865(-) CGACAATGTCA >hg38_chr2:41718872-41718882(+) ACACAAAGGGA >hg38_chr2:41718901-41718911(+) GCACAATGGGT >hg38_chr2:41722255-41722265(+) aaacaaaggaa >hg38_chr2:41726636-41726646(+) TGATAATGGAG >hg38_chr2:41768514-41768524(-) TGACAATGTGT >hg38_chr2:41796091-41796101(-) atacaatggat >hg38_chr2:41859810-41859820(+) aaacaatgtaa >hg38_chr2:41860814-41860824(-) agacaatgagt >hg38_chr2:41879411-41879421(+) AAACAAAGGGG >hg38_chr2:41937564-41937574(-) GGACAATGGCA >hg38_chr2:41948653-41948663(-) TGATAATGGCA >hg38_chr2:42030067-42030077(+) AAACAAAGGAA >hg38_chr2:42030524-42030534(+) GGACAACGGTG >hg38_chr2:42030553-42030563(+) AGACaaaggag >hg38_chr2:42032467-42032477(-) GAACAATAGGG >hg38_chr2:42047058-42047068(+) ACACAATGGCC >hg38_chr2:42074798-42074808(-) AGACAATGCCT >hg38_chr2:42087209-42087219(+) CGACAATGGGG >hg38_chr2:42092647-42092657(-) agacaaagggc >hg38_chr2:42096140-42096150(-) ATACAATGGTA >hg38_chr2:42142013-42142023(+) AAACAAAGGCA >hg38_chr2:42173161-42173171(-) TAACAATGTGA >hg38_chr2:42226085-42226095(-) GAACAATGGAA >hg38_chr2:42236032-42236042(-) ACATAATGGAA >hg38_chr2:42244815-42244825(+) TAATAATGTAA >hg38_chr2:42287389-42287399(-) TAACAATGGTT >hg38_chr2:42300070-42300080(-) atacaatgtga >hg38_chr2:42307086-42307096(-) TTACAATCGCC >hg38_chr2:42316478-42316488(-) AAACAATGCAC >hg38_chr2:42354787-42354797(+) acacaatgaat >hg38_chr2:42368505-42368515(-) GCACAATGGTG >hg38_chr2:42369038-42369048(-) AAACAGTGGGA >hg38_chr2:42369058-42369068(-) GGACAATGCAG >hg38_chr2:42397668-42397678(+) ttacaatgctc >hg38_chr2:42422436-42422446(-) gaacaatagaa >hg38_chr2:42456802-42456812(+) GTACAATGTAA >hg38_chr2:42546164-42546174(+) TAACAATGGAG >hg38_chr2:42619163-42619173(-) GAACAATGGAA >hg38_chr2:42652935-42652945(-) ATACAATAACG >hg38_chr2:42662047-42662057(-) ACACAataaat >hg38_chr2:42698224-42698234(-) AAACAATGTAA >hg38_chr2:42698281-42698291(+) AAATAATGGAG >hg38_chr2:42698574-42698584(-) GAACAATATAC >hg38_chr2:42707786-42707796(+) GAACAATAACA >hg38_chr2:42707792-42707802(-) TTACAATGTTA >hg38_chr2:42717465-42717475(-) AGACAAAGGGA >hg38_chr2:42717522-42717532(-) ATACAATACAA >hg38_chr2:42836178-42836188(-) atacaatgcag >hg38_chr2:42840294-42840304(+) acacaaaggat >hg38_chr2:42845485-42845495(-) GAACAAAGGGA >hg38_chr2:42987131-42987141(+) ttacaatgctg >hg38_chr2:42987149-42987159(-) atacaatggaa >hg38_chr2:43101014-43101024(+) GGACAAAGGCG >hg38_chr2:43101054-43101064(-) TAACAATATCC >hg38_chr2:43127501-43127511(-) GAACAATGGGG >hg38_chr2:43137847-43137857(-) agacaataaat >hg38_chr2:43168909-43168919(-) TAACAATGCCA >hg38_chr2:43217538-43217548(+) AGACAATGGAG >hg38_chr2:43250199-43250209(+) atacaatggac >hg38_chr2:43310087-43310097(-) aaacactggat >hg38_chr2:43313385-43313395(+) taacaataata >hg38_chr2:43321016-43321026(+) GAACAATAGAC >hg38_chr2:43321268-43321278(+) atacaatgtga >hg38_chr2:43332502-43332512(-) AGACAATGGTT >hg38_chr2:43341051-43341061(-) gcacaatgcat >hg38_chr2:43343817-43343827(-) taacaatgaaa >hg38_chr2:43366085-43366095(+) AAACAGTGGGA >hg38_chr2:43370894-43370904(+) TAACAATAACA >hg38_chr2:43411800-43411810(-) TAATAATGTAC >hg38_chr2:43416827-43416837(-) TAACAATAGCA >hg38_chr2:43417414-43417424(-) TTACAAAGGAA >hg38_chr2:43420483-43420493(-) TGACAATGATG >hg38_chr2:43469238-43469248(+) gaacaataggg >hg38_chr2:43478658-43478668(+) CAACAATGCCT >hg38_chr2:43481034-43481044(+) aaacaatagcg >hg38_chr2:43490611-43490621(+) ttacaatggtc >hg38_chr2:43553893-43553903(-) gaacaatgagc >hg38_chr2:43562857-43562867(-) gaacaattgta >hg38_chr2:43578017-43578027(-) AGACAATAACA >hg38_chr2:43685290-43685300(-) AAACAAAGGGA >hg38_chr2:43689868-43689878(-) AAACTATGGTT >hg38_chr2:43689904-43689914(+) CAACAATAGGA >hg38_chr2:43729434-43729444(+) AAATAATGGGA >hg38_chr2:43752295-43752305(+) TAACAATATAA >hg38_chr2:43759063-43759073(-) ACACAAAGGTT >hg38_chr2:43768033-43768043(-) TGACAATGAAA >hg38_chr2:43799570-43799580(-) agataatggta >hg38_chr2:43841772-43841782(+) ACACAGTGGAA >hg38_chr2:43878004-43878014(+) GGACAATGACC >hg38_chr2:43894757-43894767(-) GGACAATAGTG >hg38_chr2:43912514-43912524(+) ACACAATGTTT >hg38_chr2:43914137-43914147(+) TAACAATCGTA >hg38_chr2:43921711-43921721(-) AAACAATGTAA >hg38_chr2:43921760-43921770(+) TAATAATGGAG >hg38_chr2:43921783-43921793(+) ATACAATATGA >hg38_chr2:43941090-43941100(-) AAACAATAACA >hg38_chr2:43944224-43944234(-) AAACAATGGTG >hg38_chr2:43958111-43958121(-) ACACAATGGCA >hg38_chr2:43974115-43974125(-) AGACAATGTAA >hg38_chr2:43974524-43974534(-) TAACAATGGGC >hg38_chr2:43979470-43979480(+) ctacaatgaag >hg38_chr2:43986020-43986030(-) caacaatgaga >hg38_chr2:44002339-44002349(+) GGATAATGGAT >hg38_chr2:44034751-44034761(-) AAACAATAACA >hg38_chr2:44044326-44044336(-) GGACAAAGGAG >hg38_chr2:44062047-44062057(-) gaacaaaggtt >hg38_chr2:44083245-44083255(+) AGACAATGACC >hg38_chr2:44116484-44116494(-) ACACAatgagg >hg38_chr2:44125791-44125801(+) TGACAATGATC >hg38_chr2:44135458-44135468(+) aaacaaaggta >hg38_chr2:44135501-44135511(-) gaacaatggag >hg38_chr2:44167871-44167881(-) TAACAATGAAA >hg38_chr2:44233651-44233661(-) TCACAAAGGAC >hg38_chr2:44234005-44234015(+) ATACAATGCAG >hg38_chr2:44239395-44239405(-) taacaaaggat >hg38_chr2:44268423-44268433(-) CAACAATGTAA >hg38_chr2:44290448-44290458(+) tgacaatgttt >hg38_chr2:44316095-44316105(-) TCATAATGGGA >hg38_chr2:44320603-44320613(-) GTACACTGGAA >hg38_chr2:44377684-44377694(+) TTACTATGGTA >hg38_chr2:44387508-44387518(-) taacaatgaat >hg38_chr2:44395788-44395798(-) TAACAAAGGTA >hg38_chr2:44395877-44395887(+) AAACAATGtaa >hg38_chr2:44397853-44397863(-) CTACAAAGGAT >hg38_chr2:44420919-44420929(-) GAACAATAGCG >hg38_chr2:44430429-44430439(+) AAACAATGCCT >hg38_chr2:44434069-44434079(-) ACACAAAGGAG >hg38_chr2:44453096-44453106(+) CGACAATGCTT >hg38_chr2:44453120-44453130(-) AGACAATAGTG >hg38_chr2:44470350-44470360(-) tcacaaaggac >hg38_chr2:44470373-44470383(-) gtacaaagggt >hg38_chr2:44479604-44479614(-) CAACAATGGCA >hg38_chr2:44479658-44479668(+) TAACAATGGCT >hg38_chr2:44496261-44496271(+) TAACAATAAAG >hg38_chr2:44498698-44498708(+) AAACAAAGGAA >hg38_chr2:44507849-44507859(+) aaacaatgtgc >hg38_chr2:44530460-44530470(-) AAACAATGCTT >hg38_chr2:44530486-44530496(-) CAACAATGTAG >hg38_chr2:44544430-44544440(-) AGACAATGGCC >hg38_chr2:44545186-44545196(-) ACACAATGTTT >hg38_chr2:44554078-44554088(-) TCATAATGGAT >hg38_chr2:44593453-44593463(-) aaacaatgtgg >hg38_chr2:44612984-44612994(-) AGACAATGTAT >hg38_chr2:44626288-44626298(-) taacaatagca >hg38_chr2:44632044-44632054(+) atacactggca >hg38_chr2:44638557-44638567(-) AGACAATAGAT >hg38_chr2:44655338-44655348(+) TAATAATGATA >hg38_chr2:44656649-44656659(-) GCACAATGGGT >hg38_chr2:44698259-44698269(-) caacaatggtt >hg38_chr2:44705661-44705671(-) AAACAATGCAG >hg38_chr2:44706935-44706945(+) ATACAATGTGT >hg38_chr2:44709261-44709271(+) TTACAATGGGT >hg38_chr2:44716387-44716397(+) CTACAATGCTT >hg38_chr2:44726287-44726297(-) atacaatagat >hg38_chr2:44735085-44735095(-) ttacaatgtta >hg38_chr2:44746232-44746242(-) AGACAATGCCA >hg38_chr2:44776140-44776150(+) TGATAATGGTG >hg38_chr2:44777504-44777514(-) GAACAATGAAA >hg38_chr2:44778568-44778578(+) GAACAAAGGCG >hg38_chr2:44790174-44790184(-) TAACAATGCCC >hg38_chr2:44790211-44790221(-) GGACAATGCCA >hg38_chr2:44803041-44803051(-) TGACAATGGCC >hg38_chr2:44803088-44803098(+) TCACAATGCCG >hg38_chr2:44803779-44803789(-) AAACAATGAAC >hg38_chr2:44833855-44833865(-) CTACAATGGCT >hg38_chr2:44927058-44927068(+) AGACAAAGGGC >hg38_chr2:45009537-45009547(+) AGACAATAGTC >hg38_chr2:45153353-45153363(+) TTACAATGCGG >hg38_chr2:45173231-45173241(+) TAACAATCGCT >hg38_chr2:45194530-45194540(-) tgacaatggca >hg38_chr2:45226879-45226889(+) aaacaatagcc >hg38_chr2:45241107-45241117(+) GAACAATGTCC >hg38_chr2:45274443-45274453(-) TTACTATGGGG >hg38_chr2:45275939-45275949(+) ACACAATGCTC >hg38_chr2:45341354-45341364(-) AGACAATGAAA >hg38_chr2:45412912-45412922(+) TGACAATGCTC >hg38_chr2:45417823-45417833(-) tgacaatggtg >hg38_chr2:45459388-45459398(-) AAACAAAGGAT >hg38_chr2:45482190-45482200(+) ATATAATGAAT >hg38_chr2:45482229-45482239(-) gaacaatggtg >hg38_chr2:45484218-45484228(+) aaacaatgact >hg38_chr2:45506280-45506290(+) ACACAAAGGGA >hg38_chr2:45512046-45512056(+) ATACACTGGAA >hg38_chr2:45528167-45528177(+) TCACAATGGCA >hg38_chr2:45557912-45557922(+) ATACAATGAAG >hg38_chr2:45583079-45583089(-) ATACAATAGGA >hg38_chr2:45588918-45588928(-) ttacaatgaaa >hg38_chr2:45607012-45607022(+) ACACAATGATT >hg38_chr2:45617105-45617115(-) acacaatggaa >hg38_chr2:45733012-45733022(-) ctacaatggta >hg38_chr2:45733067-45733077(+) aaacaaaggca >hg38_chr2:45735541-45735551(-) aaacaatgcta >hg38_chr2:45743327-45743337(+) tcacaatgtgc >hg38_chr2:45744190-45744200(+) atacaatatgc >hg38_chr2:45769200-45769210(-) ATACAAAGGAA >hg38_chr2:45771186-45771196(-) CAACAAAGGAC >hg38_chr2:45783225-45783235(-) GAACAAAGGGA >hg38_chr2:45796673-45796683(-) CCACAATGACA >hg38_chr2:45796710-45796720(-) GCACAATAGCT >hg38_chr2:45819845-45819855(+) GAACAATAGTA >hg38_chr2:45819883-45819893(+) AAACAATCGCA >hg38_chr2:45836473-45836483(-) ATACAATGAGC >hg38_chr2:45842369-45842379(-) GAACAATGCTG >hg38_chr2:45842394-45842404(+) CCACAATGAGA >hg38_chr2:45855840-45855850(+) GAACAATGTTT >hg38_chr2:45904985-45904995(-) GTACAATGGTC >hg38_chr2:45932668-45932678(-) gaacaatacac >hg38_chr2:45964332-45964342(-) GCACAATGGAG >hg38_chr2:45965319-45965329(-) GAACAATAGAT >hg38_chr2:46015470-46015480(+) AAACAAAGGGT >hg38_chr2:46029714-46029724(+) ATACAATGCTG >hg38_chr2:46037690-46037700(-) GAACAATAGCC >hg38_chr2:46077588-46077598(+) GAACAATGGGA >hg38_chr2:46183692-46183702(-) GAACAAAGGCA >hg38_chr2:46187893-46187903(-) TTACAATGAAA >hg38_chr2:46187905-46187915(-) GCACAATAACG >hg38_chr2:46225144-46225154(-) tgacaatggat >hg38_chr2:46229702-46229712(+) gaataatggtt >hg38_chr2:46320394-46320404(+) GTACAATGCAC >hg38_chr2:46460748-46460758(-) GGACAAAGGCG >hg38_chr2:46494119-46494129(+) TGACAAAGGAA >hg38_chr2:46541884-46541894(+) TTACAACGGAA >hg38_chr2:46575858-46575868(-) tgacaatgaaa >hg38_chr2:46575864-46575874(-) taacaatgaca >hg38_chr2:46589136-46589146(+) GTATAATGGGT >hg38_chr2:46636675-46636685(-) cgacactggga >hg38_chr2:46636697-46636707(-) tcacaatggct >hg38_chr2:46690810-46690820(-) gtacaataagg >hg38_chr2:46703788-46703798(-) GGACAATGCAA >hg38_chr2:46721297-46721307(-) AGACAATGCAG >hg38_chr2:46723520-46723530(-) aaacaataggt >hg38_chr2:46747642-46747652(-) gtacaatgacc >hg38_chr2:46750731-46750741(-) CAACAATGTTT >hg38_chr2:46752678-46752688(-) atacagtggag >hg38_chr2:46755811-46755821(-) TAACAATGTCA >hg38_chr2:46782269-46782279(-) GAACAAAGGAG >hg38_chr2:46790055-46790065(+) gtacaatgaat >hg38_chr2:46804388-46804398(-) GCACAATGCCG >hg38_chr2:46815392-46815402(-) Caacaatagct >hg38_chr2:46873806-46873816(-) AAACAATGCAG >hg38_chr2:46894060-46894070(-) acacaatagac >hg38_chr2:46917443-46917453(-) GAACAAAGgga >hg38_chr2:46921391-46921401(-) ttataatggta >hg38_chr2:46989303-46989313(-) TAACAATATCC >hg38_chr2:47033264-47033274(-) GGACAAAGGGA >hg38_chr2:47046441-47046451(-) AGACAATGACG >hg38_chr2:47065479-47065489(-) gcacaaaggaa >hg38_chr2:47119565-47119575(+) ATACAATATCA >hg38_chr2:47135946-47135956(-) acacaatgatg >hg38_chr2:47152942-47152952(+) GAACAATGTAC >hg38_chr2:47178559-47178569(-) GGACAATAGAA >hg38_chr2:47191014-47191024(+) ACACAATGATA >hg38_chr2:47191053-47191063(-) TTACAATGCAA >hg38_chr2:47192781-47192791(-) AAACAATGGCA >hg38_chr2:47195165-47195175(-) gaacaatgaag >hg38_chr2:47214321-47214331(+) taacaatggtt >hg38_chr2:47222119-47222129(-) ctacaatgtgg >hg38_chr2:47233928-47233938(-) CCACAATGTGT >hg38_chr2:47249270-47249280(+) CAACAATAGCA >hg38_chr2:47300293-47300303(-) gcacaatgtct >hg38_chr2:47334467-47334477(+) GGACAATAGAG >hg38_chr2:47334990-47335000(-) gaacaatggct >hg38_chr2:47345136-47345146(+) AGACAAAGGAG >hg38_chr2:47346270-47346280(+) CTACAATAggc >hg38_chr2:47420342-47420352(+) ACACAATGCTG >hg38_chr2:47420358-47420368(-) GCACAATGGGA >hg38_chr2:47444184-47444194(-) ctacaatgcct >hg38_chr2:47460673-47460683(-) aaacaatgcaa >hg38_chr2:47465015-47465025(-) AGACAATGGTA >hg38_chr2:47557639-47557649(+) GAACAATGCAC >hg38_chr2:47617265-47617275(-) taacaaagacg >hg38_chr2:47636418-47636428(-) TAACAATGCAG >hg38_chr2:47636769-47636779(+) GAACAATGGCA >hg38_chr2:47655408-47655418(+) CCACAATGAAG >hg38_chr2:47696677-47696687(-) gcataatggct >hg38_chr2:47748056-47748066(+) agacaatgggg >hg38_chr2:47755779-47755789(-) TAACAATAGCT >hg38_chr2:47843600-47843610(+) taacaatgctt >hg38_chr2:47849548-47849558(-) atacaatattc >hg38_chr2:47876262-47876272(-) agacaataaca >hg38_chr2:47895291-47895301(+) atacaatgtaa >hg38_chr2:47895322-47895332(+) gaacaatgaaa >hg38_chr2:47897062-47897072(+) AAACAATAACT >hg38_chr2:47925939-47925949(-) taacaatgcct >hg38_chr2:47925985-47925995(+) gaacaatgaat >hg38_chr2:48000740-48000750(+) atacaatgaag >hg38_chr2:48046984-48046994(+) atacaatgcta >hg38_chr2:48063988-48063998(+) aaacagtggat >hg38_chr2:48073663-48073673(+) GGACAATGGGA >hg38_chr2:48073674-48073684(-) TAACAATACAT >hg38_chr2:48117502-48117512(+) TGACAATGACT >hg38_chr2:48117531-48117541(-) AGACAATGAGA >hg38_chr2:48117546-48117556(-) AGACAATGAGA >hg38_chr2:48129539-48129549(-) ccacaatgaca >hg38_chr2:48163308-48163318(-) ttacaaagggt >hg38_chr2:48185258-48185268(-) gaacaaagggt >hg38_chr2:48191652-48191662(+) atacaatggaa >hg38_chr2:48196076-48196086(-) AGACAATGAGA >hg38_chr2:48196639-48196649(-) ACACAATGGAG >hg38_chr2:48207489-48207499(-) TCACAATGCAC >hg38_chr2:48209700-48209710(+) AGACAatagga >hg38_chr2:48262058-48262068(-) aaacaataggg >hg38_chr2:48323391-48323401(-) taacaatgctg >hg38_chr2:48323450-48323460(-) ctacaatgaga >hg38_chr2:48324677-48324687(-) AAACAATGGAC >hg38_chr2:48329556-48329566(+) AGACAATGGAT >hg38_chr2:48341845-48341855(-) gtataatgtaa >hg38_chr2:48344608-48344618(+) gcacaatgcct >hg38_chr2:48344992-48345002(-) AGACAATAACA >hg38_chr2:48353923-48353933(-) AAACAATGACA >hg38_chr2:48353933-48353943(-) AAACAATAGCA >hg38_chr2:48362774-48362784(+) taacaatggtg >hg38_chr2:48362796-48362806(+) ttataatggag >hg38_chr2:48381261-48381271(+) agacagtggta >hg38_chr2:48397453-48397463(-) TAACAAAGGCA >hg38_chr2:48400961-48400971(+) AGACAAAGGAT >hg38_chr2:48414825-48414835(-) AGACAAAGGGC >hg38_chr2:48420795-48420805(+) AAACAATGACA >hg38_chr2:48428684-48428694(+) ACACAATGGGA >hg38_chr2:48450421-48450431(-) Taataatagta >hg38_chr2:48452320-48452330(-) gcacaatgcct >hg38_chr2:48452334-48452344(-) acataatggac >hg38_chr2:48452343-48452353(-) agataatggac >hg38_chr2:48457443-48457453(-) TAACAATGAAA >hg38_chr2:48484855-48484865(+) CTACAATAGCA >hg38_chr2:48484861-48484871(-) GAACAATGCTA >hg38_chr2:48484873-48484883(-) GTACAATACTA >hg38_chr2:48508624-48508634(-) AAACAATGAGG >hg38_chr2:48561070-48561080(-) AGACAATGGGT >hg38_chr2:48568021-48568031(-) TAACAATGCTG >hg38_chr2:48589337-48589347(+) GAACAATGACC >hg38_chr2:48633747-48633757(-) cgataatggtg >hg38_chr2:48675972-48675982(+) atacaatgaaa >hg38_chr2:48690991-48691001(+) GGACAATGAGC >hg38_chr2:48694427-48694437(-) GGACAATGGTG >hg38_chr2:48709407-48709417(-) TCACAATGACT >hg38_chr2:48717945-48717955(+) ACACAATAGAA >hg38_chr2:48757067-48757077(-) gaataatggaa >hg38_chr2:48772614-48772624(+) AAATAATGGAA >hg38_chr2:48779211-48779221(-) TGACAATGACC >hg38_chr2:48798753-48798763(-) AAACAATGTGA >hg38_chr2:48812639-48812649(-) aaacaatggga >hg38_chr2:48888338-48888348(-) AGACAAAGGCA >hg38_chr2:48900887-48900897(-) ACACAATGATA >hg38_chr2:48900946-48900956(-) CAACAATGTGA >hg38_chr2:48910710-48910720(+) caacaatcgat >hg38_chr2:48920021-48920031(-) atacaaagata >hg38_chr2:48946696-48946706(+) TAACAAAGGGT >hg38_chr2:48958159-48958169(+) GCACAAAGGGA >hg38_chr2:49029601-49029611(-) ctacaaaggat >hg38_chr2:49030132-49030142(-) GAATAATGGCT >hg38_chr2:49043767-49043777(+) GCATAATGGGA >hg38_chr2:49044900-49044910(+) ACACAATGGGC >hg38_chr2:49078448-49078458(+) taacattggta >hg38_chr2:49078473-49078483(+) ggacaataaaa >hg38_chr2:49078497-49078507(+) ggacaatgtgc >hg38_chr2:49078531-49078541(-) taataatgtat >hg38_chr2:49111207-49111217(+) AGACAAAGGGC >hg38_chr2:49111215-49111225(-) ATACAATGGCC >hg38_chr2:49123793-49123803(+) GAACAATGCAG >hg38_chr2:49219392-49219402(+) atataatgggg >hg38_chr2:49219613-49219623(+) gaacaaaggtg >hg38_chr2:49278708-49278718(-) AAACAAAGGCA >hg38_chr2:49377358-49377368(-) taacaatggtc >hg38_chr2:49451523-49451533(+) acacaatgatg >hg38_chr2:49474472-49474482(+) ttacaaTATTA >hg38_chr2:49474481-49474491(-) ACGCAATGGTA >hg38_chr2:49490887-49490897(+) agacaatggga >hg38_chr2:49564264-49564274(+) CCACAATAGCT >hg38_chr2:49672427-49672437(-) CTACAATGTCA >hg38_chr2:49672886-49672896(-) AAATAATGGAA >hg38_chr2:49722200-49722210(+) aaacaatgagc >hg38_chr2:49724952-49724962(+) TAACAATGGAT >hg38_chr2:49781910-49781920(+) AGACAAAGGGT >hg38_chr2:49807971-49807981(+) caacaatgacc >hg38_chr2:49812800-49812810(+) TAACAATGGCT >hg38_chr2:49888825-49888835(-) aaacaatatat >hg38_chr2:49954545-49954555(+) AAACAATGCTA >hg38_chr2:49954629-49954639(+) TGACAATGCTC >hg38_chr2:49972927-49972937(-) AGACAATAGGT >hg38_chr2:49982748-49982758(+) agataatggac >hg38_chr2:49982801-49982811(-) atacaaaggaa >hg38_chr2:49983118-49983128(-) acacaatggaa >hg38_chr2:49983129-49983139(-) gtactatggaa >hg38_chr2:50004770-50004780(-) AGACAATAGAA >hg38_chr2:50004793-50004803(+) ACACAGTGGTA >hg38_chr2:50006719-50006729(-) ATACAATGAAT >hg38_chr2:50019166-50019176(+) GCACAATGGAG >hg38_chr2:50067112-50067122(+) AAACAATATAT >hg38_chr2:50080679-50080689(+) GGATAATGGCA >hg38_chr2:50119447-50119457(-) AGACAAAGGAA >hg38_chr2:50154653-50154663(+) AGACAAAGGAA >hg38_chr2:50225222-50225232(+) TGACAATGGAA >hg38_chr2:50262624-50262634(-) TGACAATAGAA >hg38_chr2:50293174-50293184(-) GGACAATGAGG >hg38_chr2:50315783-50315793(-) ttactatggtg >hg38_chr2:50327073-50327083(-) acacaatagtc >hg38_chr2:50340250-50340260(-) TAACAATGCAT >hg38_chr2:50381262-50381272(-) TAACAATAGCA >hg38_chr2:50381326-50381336(-) TCACAATATAA >hg38_chr2:50391575-50391585(+) GAACACTGGGA >hg38_chr2:50391583-50391593(+) GGACAATGTTG >hg38_chr2:50391601-50391611(+) TCACAATGCAG >hg38_chr2:50397406-50397416(+) GAACAATGgac >hg38_chr2:50430098-50430108(-) GAACAATGGGA >hg38_chr2:50431670-50431680(-) gtactatggct >hg38_chr2:50431687-50431697(-) ggacaataggt >hg38_chr2:50432570-50432580(-) GAACAATGAGC >hg38_chr2:50450307-50450317(+) ACACAATGAGC >hg38_chr2:50452373-50452383(+) TGACAATgaat >hg38_chr2:50485601-50485611(+) GGACAAAGGAT >hg38_chr2:50525890-50525900(+) TAACAAAGGGC >hg38_chr2:50528974-50528984(-) AAACAATGATA >hg38_chr2:50529009-50529019(+) TCACAATGGGG >hg38_chr2:50536542-50536552(-) TAACTATGCGT >hg38_chr2:50554866-50554876(+) GTACAATGGTT >hg38_chr2:50636703-50636713(+) AGACAAAGGAA >hg38_chr2:50648254-50648264(+) TAACAATGTTT >hg38_chr2:50691623-50691633(+) GAACAATGCTG >hg38_chr2:50720362-50720372(+) TAACAAAGGTC >hg38_chr2:50722933-50722943(-) TTACAAAGGAG >hg38_chr2:50760885-50760895(-) AAACAATGTGA >hg38_chr2:50773177-50773187(-) CAATAATGGAG >hg38_chr2:50804586-50804596(+) TGACAATGTGA >hg38_chr2:50838836-50838846(+) agacaataaat >hg38_chr2:50863705-50863715(-) TCACAATAATA >hg38_chr2:50863756-50863766(+) GGATAATGGCT >hg38_chr2:50880500-50880510(+) GAACAATGCCT >hg38_chr2:50953218-50953228(-) ttacaataata >hg38_chr2:50953276-50953286(+) gaacaatgaaa >hg38_chr2:51011444-51011454(-) ggacaatgctg >hg38_chr2:51125927-51125937(-) AAACAATAAGT >hg38_chr2:51292231-51292241(-) GAAGAATGGCG >hg38_chr2:51299519-51299529(+) AAACAATAGTT >hg38_chr2:51299570-51299580(+) AGACAAAGGAG >hg38_chr2:51324287-51324297(+) TAACAATAGAA >hg38_chr2:51406802-51406812(+) gtacaatgaca >hg38_chr2:51406821-51406831(+) taacaatgcat >hg38_chr2:51406846-51406856(-) taacaaagggg >hg38_chr2:51410456-51410466(-) atacaatggag >hg38_chr2:51637947-51637957(-) ttacaatatat >hg38_chr2:51638980-51638990(+) gaacaataaac >hg38_chr2:51673952-51673962(+) GAACAAAGGAA >hg38_chr2:51674485-51674495(-) CTACAATGAAT >hg38_chr2:51726138-51726148(-) caacaatgagg >hg38_chr2:51950576-51950586(+) ttacaataata >hg38_chr2:51950622-51950632(-) agacaataaat >hg38_chr2:51995461-51995471(-) ATACAATGTAA >hg38_chr2:52036941-52036951(-) taacaatggca >hg38_chr2:52079012-52079022(+) TAACAATAGTT >hg38_chr2:52079094-52079104(+) AAATAATGGGG >hg38_chr2:52128038-52128048(-) gcacaatgccc >hg38_chr2:52160391-52160401(-) ttacaatgaca >hg38_chr2:52160440-52160450(-) acacaatgtaa >hg38_chr2:52162545-52162555(+) ATATAATGTAT >hg38_chr2:52239005-52239015(-) GTACAATAGAT >hg38_chr2:52253730-52253740(-) GAACAATGCTT >hg38_chr2:52279436-52279446(+) CAACAATGCCT >hg38_chr2:52335815-52335825(-) TCACAATATAA >hg38_chr2:52343878-52343888(+) acacaatgctg >hg38_chr2:52345534-52345544(+) TAACAATGCCT >hg38_chr2:52373518-52373528(+) gtacaatgcct >hg38_chr2:52537244-52537254(+) TTACAATGCAA >hg38_chr2:52676403-52676413(+) CCACAATGCAT >hg38_chr2:52695631-52695641(-) AGACAATGAAA >hg38_chr2:52897829-52897839(+) CTACAATGCCT >hg38_chr2:52897863-52897873(+) ATATAATGGCC >hg38_chr2:52904861-52904871(-) TAACAATGCCT >hg38_chr2:52941861-52941871(+) TAACAATCGGT >hg38_chr2:52971311-52971321(-) CTACAATGATA >hg38_chr2:52976535-52976545(+) caacaatggcc >hg38_chr2:53004639-53004649(-) ATACAATAAGC >hg38_chr2:53005790-53005800(+) AAACAATAGTT >hg38_chr2:53016453-53016463(+) ATACAAAGGAA >hg38_chr2:53035364-53035374(+) taacaatgtct >hg38_chr2:53053629-53053639(+) TAACAATAATA >hg38_chr2:53053667-53053677(+) agacaatgtgc >hg38_chr2:53054940-53054950(-) TAACAATATAA >hg38_chr2:53104435-53104445(+) AAACAAAGGAG >hg38_chr2:53152062-53152072(-) tgacaatagca >hg38_chr2:53157112-53157122(-) taacaatggaa >hg38_chr2:53199253-53199263(+) TCACAATGTTT >hg38_chr2:53210637-53210647(+) TGACAATAGCA >hg38_chr2:53218990-53219000(+) agacaatgcat >hg38_chr2:53219008-53219018(-) ttacaatggtg >hg38_chr2:53229720-53229730(-) agacaATGCCA >hg38_chr2:53241284-53241294(+) TCACAATGTAT >hg38_chr2:53272973-53272983(+) tcacaatagga >hg38_chr2:53308413-53308423(-) CTACAATGAAT >hg38_chr2:53331511-53331521(+) ccacaatgaaa >hg38_chr2:53435189-53435199(-) CAACAATGCTT >hg38_chr2:53437455-53437465(-) GTACAAAGGAT >hg38_chr2:53464310-53464320(+) GGACAAAGGAG >hg38_chr2:53479275-53479285(+) AAACAAAGGCC >hg38_chr2:53484551-53484561(+) GGACAATGCTC >hg38_chr2:53520645-53520655(-) GGACAATGAAC >hg38_chr2:53520725-53520735(-) CTACAATGCTT >hg38_chr2:53582072-53582082(-) GCACAATGAAA >hg38_chr2:53586814-53586824(-) acacaatgcgt >hg38_chr2:53652218-53652228(+) aaacaatggcc >hg38_chr2:53671012-53671022(+) TCACAATAGCA >hg38_chr2:53674480-53674490(+) ACACAATGATC >hg38_chr2:53674738-53674748(-) AGACAATATAT >hg38_chr2:53674901-53674911(+) aaacAATAGGA >hg38_chr2:53701362-53701372(-) ctacaatggag >hg38_chr2:53701776-53701786(+) taacaatgggt >hg38_chr2:53740392-53740402(-) CAATAATGGAG >hg38_chr2:53740406-53740416(+) TGACAAAGGAA >hg38_chr2:53742358-53742368(+) tcacaaaggta >hg38_chr2:53742382-53742392(+) atacaaagata >hg38_chr2:53742789-53742799(+) aaacaatagac >hg38_chr2:53754083-53754093(+) aaacaatgggg >hg38_chr2:53754105-53754115(+) agacaatagga >hg38_chr2:53766417-53766427(-) taacaatagtt >hg38_chr2:53766441-53766451(+) tgataatggca >hg38_chr2:53774970-53774980(+) ACACAATAATA >hg38_chr2:53775170-53775180(-) GTATAATGTAA >hg38_chr2:53800134-53800144(-) gcacaatgata >hg38_chr2:53815857-53815867(-) aaacaataaat >hg38_chr2:53817655-53817665(-) GAACAAAGGAC >hg38_chr2:53826232-53826242(+) AAACAATGCAA >hg38_chr2:53826243-53826253(+) GCACAATGCCA >hg38_chr2:53864569-53864579(+) ACACAATGATG >hg38_chr2:53864600-53864610(+) GTACAATGTCT >hg38_chr2:53877796-53877806(+) TAACAAAGGCA >hg38_chr2:53906919-53906929(+) CAACAATGGAT >hg38_chr2:53912989-53912999(+) TAACAATATGT >hg38_chr2:53916587-53916597(+) GAACAATGGGA >hg38_chr2:53932592-53932602(+) ACACAATAGGC >hg38_chr2:53939845-53939855(+) TCACAATGTCA >hg38_chr2:53940487-53940497(+) GAACAATAGCA >hg38_chr2:53942487-53942497(+) atataatggtt >hg38_chr2:53958630-53958640(-) TCATAATGGTA >hg38_chr2:53964665-53964675(+) AGACAATGCAG >hg38_chr2:53971238-53971248(-) GGACAATAGCT >hg38_chr2:53974344-53974354(-) tgacaatggaa >hg38_chr2:53982082-53982092(+) TCACAATAGAG >hg38_chr2:53987690-53987700(-) ccacaatgaga >hg38_chr2:54044128-54044138(-) AAACAATGGGC >hg38_chr2:54081653-54081663(+) tcacaatgtta >hg38_chr2:54093034-54093044(-) cgacaatagaa >hg38_chr2:54107490-54107500(-) GAACAAAGGTT >hg38_chr2:54160458-54160468(+) CAACAATGCCA >hg38_chr2:54162313-54162323(+) GTACAAAGGAG >hg38_chr2:54185426-54185436(-) aaacaatggcc >hg38_chr2:54318161-54318171(-) AAACAATGAAT >hg38_chr2:54318173-54318183(-) AAACAATGGGT >hg38_chr2:54330674-54330684(+) CTACAATGGGA >hg38_chr2:54348462-54348472(-) atacaataatg >hg38_chr2:54348484-54348494(+) gtacaatgccc >hg38_chr2:54349692-54349702(-) CCACAATAGTG >hg38_chr2:54370889-54370899(-) TAACAATAAAA >hg38_chr2:54454553-54454563(-) TCACAATGTTA >hg38_chr2:54455896-54455906(-) GGACAATAGGG >hg38_chr2:54457452-54457462(-) AAATAATGGAC >hg38_chr2:54458932-54458942(-) ACACAATGTAC >hg38_chr2:54471496-54471506(-) GCACAATGCCT >hg38_chr2:54473400-54473410(-) CAACAATGCCA >hg38_chr2:54499875-54499885(-) AAACACTGGAA >hg38_chr2:54505177-54505187(-) ATACAATGTGG >hg38_chr2:54531671-54531681(+) CAACAATGAAT >hg38_chr2:54533156-54533166(+) ggacaaaggga >hg38_chr2:54534315-54534325(-) AAATAATGGGT >hg38_chr2:54558291-54558301(+) ATACAATGCTG >hg38_chr2:54559305-54559315(+) GAACAATAGCA >hg38_chr2:54597322-54597332(+) TCACAATGATT >hg38_chr2:54605042-54605052(+) CAATAATGGAG >hg38_chr2:54620932-54620942(-) AAACAATGGCT >hg38_chr2:54622305-54622315(+) TGACAATGCTA >hg38_chr2:54641200-54641210(-) GCACAATGAAA >hg38_chr2:54666927-54666937(+) GGACAATGCAC >hg38_chr2:54666964-54666974(-) GTACAAAGGGG >hg38_chr2:54746126-54746136(+) GAACAATGAGT >hg38_chr2:54756762-54756772(+) taacaataatg >hg38_chr2:54766861-54766871(-) ATATAATGACG >hg38_chr2:54766878-54766888(-) GTACAATAGAA >hg38_chr2:54779998-54780008(+) GTACAATAATA >hg38_chr2:54803391-54803401(+) TGACAGTGGTA >hg38_chr2:54804669-54804679(+) GAACAATGGAG >hg38_chr2:54809387-54809397(+) ACACAATAGTT >hg38_chr2:54815407-54815417(+) TCACAATAGAC >hg38_chr2:54947604-54947614(-) GTACAATGGAT >hg38_chr2:54947641-54947651(-) AAACAATGACA >hg38_chr2:54967469-54967479(+) AAACAATGGCT >hg38_chr2:54984210-54984220(+) TAACAATGCTT >hg38_chr2:54990495-54990505(+) atacaataaat >hg38_chr2:54992300-54992310(-) atacaatggag >hg38_chr2:54999344-54999354(-) GCACAATGCAG >hg38_chr2:55000564-55000574(-) atacaatgtac >hg38_chr2:55004212-55004222(+) GGACAATACGA >hg38_chr2:55020024-55020034(+) aaacaatgatg >hg38_chr2:55034804-55034814(+) atacaataact >hg38_chr2:55037308-55037318(-) ACACAATATAC >hg38_chr2:55037331-55037341(-) gaacaaaggga >hg38_chr2:55038561-55038571(+) ccacaatgagg >hg38_chr2:55042113-55042123(+) AAACAATGTAT >hg38_chr2:55042301-55042311(+) atataatggca >hg38_chr2:55043181-55043191(+) GAACTATGGTT >hg38_chr2:55050445-55050455(+) GAACAATGAGA >hg38_chr2:55056353-55056363(+) taataatggcc >hg38_chr2:55099924-55099934(-) CAACAATGATG >hg38_chr2:55112462-55112472(-) GAACAATACTA >hg38_chr2:55112779-55112789(-) GGACAATGAAA >hg38_chr2:55124001-55124011(-) GTACAATAAGG >hg38_chr2:55153909-55153919(+) AGACAATGACA >hg38_chr2:55156817-55156827(+) TAACAAAGGAA >hg38_chr2:55168805-55168815(-) gAACAATGACC >hg38_chr2:55193917-55193927(+) atacaatggaa >hg38_chr2:55239161-55239171(+) ggacaacggac >hg38_chr2:55239203-55239213(-) gtacaatgctc >hg38_chr2:55288514-55288524(-) tcacaatgaag >hg38_chr2:55294326-55294336(+) AAACAATAAAT >hg38_chr2:55317956-55317966(-) GTATAATGTAA >hg38_chr2:55318932-55318942(-) GAACAATAATT >hg38_chr2:55331828-55331838(-) AAACAAAGGAA >hg38_chr2:55423117-55423127(-) aaacaatggtg >hg38_chr2:55425558-55425568(-) ACACAATGACT >hg38_chr2:55425569-55425579(-) GAACAATGTCA >hg38_chr2:55481357-55481367(+) TAATAATGGAC >hg38_chr2:55514308-55514318(-) ACACAATGGAA >hg38_chr2:55519510-55519520(-) GAACAGTGGAC >hg38_chr2:55550438-55550448(-) GCACAATGAAA >hg38_chr2:55572315-55572325(+) AAACAATACTA >hg38_chr2:55572346-55572356(+) AGACAATGAAG >hg38_chr2:55582982-55582992(+) AAACAATGACT >hg38_chr2:55586360-55586370(-) TAACAATATTA >hg38_chr2:55618553-55618563(-) GCACAATGTGC >hg38_chr2:55623389-55623399(-) atacaatggtg >hg38_chr2:55664740-55664750(+) tcacaatggat >hg38_chr2:55707973-55707983(-) GAACAATGACC >hg38_chr2:55751363-55751373(+) atacactggga >hg38_chr2:55751878-55751888(-) agacaatgtgg >hg38_chr2:55758526-55758536(-) atacaaaggat >hg38_chr2:55758538-55758548(+) gaacaatgtct >hg38_chr2:55761241-55761251(-) ACACAATGAAA >hg38_chr2:55785692-55785702(-) agacaatggtg >hg38_chr2:55785708-55785718(-) caataatggag >hg38_chr2:55839679-55839689(+) TCACAATGAGG >hg38_chr2:55888149-55888159(+) AAACAAAGGCT >hg38_chr2:55891894-55891904(-) TAACTATGGTA >hg38_chr2:56009354-56009364(-) AAACAATAAAA >hg38_chr2:56012831-56012841(+) TTACAATAATC >hg38_chr2:56014162-56014172(+) CGACAATAATG >hg38_chr2:56053897-56053907(+) AAACAATAGAC >hg38_chr2:56084374-56084384(-) CAACAATGACA >hg38_chr2:56100155-56100165(-) agacaatggaa >hg38_chr2:56120134-56120144(-) taacaaaggcc >hg38_chr2:56127843-56127853(-) TAACAATGGAA >hg38_chr2:56165983-56165993(+) caacaatagtg >hg38_chr2:56166013-56166023(-) ataCAACGTAT >hg38_chr2:56176135-56176145(-) GGACAAAGGGA >hg38_chr2:56185859-56185869(-) GAACAATGAGA >hg38_chr2:56198288-56198298(+) GGACAATAGAA >hg38_chr2:56198713-56198723(+) TGACAATGATA >hg38_chr2:56198724-56198734(+) GCACAATGCTA >hg38_chr2:56205843-56205853(+) TAACAATAAAG >hg38_chr2:56206776-56206786(+) TTACAATGATC >hg38_chr2:56217363-56217373(-) AAACAATGCAA >hg38_chr2:56256179-56256189(-) CAACAATAGCC >hg38_chr2:56256199-56256209(+) AAACAATAGTA >hg38_chr2:56327211-56327221(-) TTACAATAAAC >hg38_chr2:56330153-56330163(+) TAACAGTGGAA >hg38_chr2:56388576-56388586(-) ATACAATGCAT >hg38_chr2:56388603-56388613(+) TGACAATAGCT >hg38_chr2:56399005-56399015(-) caacaatgtgt >hg38_chr2:56411059-56411069(-) gcacaatgcat >hg38_chr2:56411069-56411079(+) ctacaatgtta >hg38_chr2:56411086-56411096(+) ctacaatgtca >hg38_chr2:56447991-56448001(-) aaacaataatc >hg38_chr2:56468202-56468212(+) agacaatgatc >hg38_chr2:56484469-56484479(-) AAACAGTGGGA >hg38_chr2:56484487-56484497(-) GAATAATGGAG >hg38_chr2:56588794-56588804(-) GGACAATAGAT >hg38_chr2:56608827-56608837(-) ctacaatgaaa >hg38_chr2:56608861-56608871(-) taacaatacga >hg38_chr2:56609778-56609788(-) caacaatagca >hg38_chr2:56654411-56654421(-) GAATAATGCGA >hg38_chr2:56654656-56654666(-) gaataatgacg >hg38_chr2:56655048-56655058(+) aaacaatgTTG >hg38_chr2:56684525-56684535(-) AAACAATGTTT >hg38_chr2:56684540-56684550(+) ACACAATGATG >hg38_chr2:56692940-56692950(-) gaacaaaggtg >hg38_chr2:56730930-56730940(+) agacaatagca >hg38_chr2:56730943-56730953(+) taacaatgtag >hg38_chr2:56758090-56758100(+) ATACAATGTTT >hg38_chr2:56769636-56769646(-) tcacaataata >hg38_chr2:56783085-56783095(-) TCACAAAGGTA >hg38_chr2:56802934-56802944(+) CTACAATGACA >hg38_chr2:56802954-56802964(+) TCACAATGAAC >hg38_chr2:56802971-56802981(-) TAATAATGATA >hg38_chr2:56846916-56846926(+) TGACAATGGCA >hg38_chr2:56863190-56863200(+) gaacaatagac >hg38_chr2:56905785-56905795(+) caacaatggca >hg38_chr2:56929780-56929790(+) gcacaatgttt >hg38_chr2:56989722-56989732(-) acacaatggaa >hg38_chr2:57041375-57041385(-) ccacaatgtcc >hg38_chr2:57048773-57048783(-) GGACAATGCAG >hg38_chr2:57156423-57156433(-) agacaatgggg >hg38_chr2:57185767-57185777(+) taacactggga >hg38_chr2:57209971-57209981(+) GCACAATAGGC >hg38_chr2:57223152-57223162(+) tcacaatggaa >hg38_chr2:57240658-57240668(+) caacaatagaa >hg38_chr2:57291832-57291842(-) ATACAATGGTC >hg38_chr2:57291841-57291851(+) ATATAATGAAC >hg38_chr2:57291848-57291858(+) GAACAATAGAA >hg38_chr2:57361820-57361830(+) agacaataaaa >hg38_chr2:57361828-57361838(+) aaacaataact >hg38_chr2:57372478-57372488(+) GGACAATGGCT >hg38_chr2:57377259-57377269(-) TTACAGTGGAT >hg38_chr2:57407879-57407889(+) ttataatggaa >hg38_chr2:57435161-57435171(+) GTACAATGCCT >hg38_chr2:57517998-57518008(+) GCACAATGCTG >hg38_chr2:57598079-57598089(-) AGACAATGAAT >hg38_chr2:57600132-57600142(-) GAACAATGGCA >hg38_chr2:57653745-57653755(+) ctacaatgtgt >hg38_chr2:57671352-57671362(-) gtataatgaaa >hg38_chr2:57673839-57673849(+) GAACAATGCTT >hg38_chr2:57686726-57686736(+) taacaatgaat >hg38_chr2:57686762-57686772(+) tgacaataata >hg38_chr2:57702293-57702303(+) gcacaatgtgg >hg38_chr2:57712215-57712225(+) GTACAATAGCA >hg38_chr2:57741242-57741252(+) GGACAAAGGGT >hg38_chr2:57743863-57743873(+) AAACAATATTA >hg38_chr2:57784320-57784330(-) ttacaaaggca >hg38_chr2:57892778-57892788(-) aaacaatgtac >hg38_chr2:57918864-57918874(+) ATACAATGCTG >hg38_chr2:57977769-57977779(+) tgacaatgggc >hg38_chr2:57979378-57979388(-) ccacaatgaga >hg38_chr2:58031958-58031968(+) TTACAATGACC >hg38_chr2:58051685-58051695(-) GTACAATGAGC >hg38_chr2:58053724-58053734(-) TAATAATGTAA >hg38_chr2:58053788-58053798(+) acacaatgcaa >hg38_chr2:58057010-58057020(+) ACACAATGCTC >hg38_chr2:58060565-58060575(+) AAATAATGGTT >hg38_chr2:58107645-58107655(-) GAACAATATTA >hg38_chr2:58107711-58107721(-) CCACAATGAAG >hg38_chr2:58112811-58112821(-) ccacaatgtgc >hg38_chr2:58123061-58123071(+) GGACAAAGGCA >hg38_chr2:58137402-58137412(-) TAACAATAGAG >hg38_chr2:58151616-58151626(-) gcataatggta >hg38_chr2:58192493-58192503(+) tgacaaaggaa >hg38_chr2:58193293-58193303(+) ATACAATGACA >hg38_chr2:58194745-58194755(-) GCACAATGTCA >hg38_chr2:58206540-58206550(-) CAACAATGTGA >hg38_chr2:58207434-58207444(+) gAACAATGCAG >hg38_chr2:58215268-58215278(-) TAACAATGGTG >hg38_chr2:58222083-58222093(+) AGACAATGAAC >hg38_chr2:58233115-58233125(+) TAACAATAATT >hg38_chr2:58234095-58234105(+) atacaaagata >hg38_chr2:58239788-58239798(+) taacaatgatc >hg38_chr2:58250509-58250519(+) tgactatggat >hg38_chr2:58308653-58308663(-) GAACAATGGGA >hg38_chr2:58338557-58338567(-) CTACAATATAA >hg38_chr2:58393143-58393153(+) tgacaatggag >hg38_chr2:58436591-58436601(-) ctacaatgcct >hg38_chr2:58436644-58436654(-) taacaaaggat >hg38_chr2:58448219-58448229(-) aaacaaaggga >hg38_chr2:58476030-58476040(+) GCACAATAGCA >hg38_chr2:58508298-58508308(-) ATACAATGGCT >hg38_chr2:58522443-58522453(-) CGACAATAATG >hg38_chr2:58524113-58524123(-) gaataatgata >hg38_chr2:58535341-58535351(-) GTACAAAGGAG >hg38_chr2:58539264-58539274(+) ATACAATACTC >hg38_chr2:58539273-58539283(-) AAACAATGTGA >hg38_chr2:58539283-58539293(-) TAACAATAGTA >hg38_chr2:58540123-58540133(+) TTACAATAAAA >hg38_chr2:58541086-58541096(-) ATACAATAGAT >hg38_chr2:58566829-58566839(-) AAACAATGTTA >hg38_chr2:58566874-58566884(-) TGACAATGCTA >hg38_chr2:58570337-58570347(+) gaacaatgcat >hg38_chr2:58572368-58572378(+) TGACAATAGTA >hg38_chr2:58572379-58572389(-) GCACAATGCAA >hg38_chr2:58572936-58572946(-) ACACAATGCCA >hg38_chr2:58572959-58572969(-) ACACAATGTGT >hg38_chr2:58619701-58619711(+) AAACAATAGGA >hg38_chr2:58620466-58620476(+) ATATAATGTAA >hg38_chr2:58634270-58634280(+) AAACAATGTGT >hg38_chr2:58634818-58634828(-) TAATAATGGTA >hg38_chr2:58648334-58648344(+) AAACAATGACT >hg38_chr2:58648632-58648642(+) ACACAATGGAT >hg38_chr2:58664959-58664969(-) ATACAATGAGT >hg38_chr2:58671782-58671792(-) aaacaaaggct >hg38_chr2:58681717-58681727(+) TTACAAAGGTA >hg38_chr2:58714435-58714445(+) ggacaaaggga >hg38_chr2:58720900-58720910(-) GCACAATGCAT >hg38_chr2:58733155-58733165(-) TAACAATGAAC >hg38_chr2:58738489-58738499(-) AGACAATGTCA >hg38_chr2:58764916-58764926(-) GCACAATGGAA >hg38_chr2:58770186-58770196(-) ATACAATGACA >hg38_chr2:58770195-58770205(+) ATACAATGGAC >hg38_chr2:58781718-58781728(+) CAACAATGAAA >hg38_chr2:58801130-58801140(+) ACACAATGGCT >hg38_chr2:58845110-58845120(+) aaacaataagt >hg38_chr2:58846782-58846792(-) CAACAATGTGT >hg38_chr2:58912159-58912169(+) TGATAATGGTT >hg38_chr2:58912169-58912179(+) TCACAATCGGT >hg38_chr2:58914987-58914997(-) GAACAATAGCA >hg38_chr2:58917263-58917273(-) ATACAATGTTT >hg38_chr2:58918326-58918336(+) ATACAATAGAA >hg38_chr2:58930553-58930563(-) ATACAAAGATA >hg38_chr2:58930578-58930588(-) GCACAATAGTA >hg38_chr2:58973853-58973863(-) ATACAAAGGCT >hg38_chr2:58973878-58973888(-) GTACAAAGGTT >hg38_chr2:59004168-59004178(+) TAACAATGGGA >hg38_chr2:59006321-59006331(-) GCACAATGCAA >hg38_chr2:59019347-59019357(-) ACATAATGGAC >hg38_chr2:59034943-59034953(-) ACACAATGGGC >hg38_chr2:59046586-59046596(-) GTACAATAGCA >hg38_chr2:59078007-59078017(+) AAACAATGACA >hg38_chr2:59134199-59134209(+) CCACAATGGTA >hg38_chr2:59158891-59158901(-) ACACAATGGTT >hg38_chr2:59160298-59160308(+) gaacaatgatg >hg38_chr2:59160313-59160323(+) ggacaataggc >hg38_chr2:59183679-59183689(+) AAACAAAGGAA >hg38_chr2:59217085-59217095(+) AAACAATAGTC >hg38_chr2:59236060-59236070(-) AAACAATGCAC >hg38_chr2:59236942-59236952(+) tcacaatgact >hg38_chr2:59250084-59250094(-) AGACAATGCCT >hg38_chr2:59260305-59260315(-) TGACAATGAAA >hg38_chr2:59290240-59290250(+) TAACAATAGCT >hg38_chr2:59342327-59342337(-) TCACAATGGAA >hg38_chr2:59458768-59458778(-) TCACAATGTGA >hg38_chr2:59458828-59458838(+) TCACAATGATT >hg38_chr2:59462648-59462658(+) TGACAATGAAG >hg38_chr2:59463546-59463556(+) ACACAATGGCT >hg38_chr2:59485759-59485769(+) CCACAATAGAC >hg38_chr2:59629559-59629569(+) aaataatggta >hg38_chr2:59651190-59651200(+) GTACAAAGGTA >hg38_chr2:59661973-59661983(-) GGACAATGACA >hg38_chr2:59692075-59692085(+) ACACAATGACA >hg38_chr2:59726449-59726459(+) AGACAATGCAC >hg38_chr2:59730109-59730119(+) AAACAATGGGC >hg38_chr2:59794707-59794717(+) atacaatgcca >hg38_chr2:59833322-59833332(+) GAACAATGGAG >hg38_chr2:59926052-59926062(+) aaacaaagggt >hg38_chr2:59936120-59936130(+) CTACAATGGCC >hg38_chr2:59940634-59940644(-) gcacaatgcac >hg38_chr2:59983089-59983099(+) AGACAATGGCC >hg38_chr2:59990646-59990656(-) GAACAATAAAT >hg38_chr2:60012860-60012870(+) agacaatatag >hg38_chr2:60013121-60013131(+) gaacaatgcaa >hg38_chr2:60013173-60013183(+) taacaatagca >hg38_chr2:60071071-60071081(-) ACACAATGCTT >hg38_chr2:60071359-60071369(+) TTACAATATAA >hg38_chr2:60227222-60227232(-) ccacaatggaa >hg38_chr2:60271905-60271915(-) GGACAAAGGCA >hg38_chr2:60283071-60283081(+) AAACAATGTTG >hg38_chr2:60295377-60295387(-) TAACAATGGGG >hg38_chr2:60411145-60411155(+) ATACAATGCCG >hg38_chr2:60427110-60427120(-) GAACAATGTTT >hg38_chr2:60427650-60427660(+) AGACAATGGGT >hg38_chr2:60443243-60443253(-) ACACAAAGGAC >hg38_chr2:60456242-60456252(+) GAACAATGTCG >hg38_chr2:60457186-60457196(+) TTACAATGTGT >hg38_chr2:60457456-60457466(-) TTACTATGGGA >hg38_chr2:60459519-60459529(+) ACATAATGGGT >hg38_chr2:60459543-60459553(-) CAACAATGGCT >hg38_chr2:60459560-60459570(+) ATACAATATAG >hg38_chr2:60474353-60474363(-) ACACAATGTCT >hg38_chr2:60488319-60488329(-) ACACAATGGCA >hg38_chr2:60497257-60497267(-) GCACAGTGGTA >hg38_chr2:60508611-60508621(+) GTACTATGGCA >hg38_chr2:60516365-60516375(-) GAACAAAGGTT >hg38_chr2:60519860-60519870(-) TGACAATGCCT >hg38_chr2:60531048-60531058(-) GCACAAAGGGA >hg38_chr2:60531987-60531997(-) GAACAAAGGCA >hg38_chr2:60533086-60533096(-) ACATAATGGTA >hg38_chr2:60536895-60536905(+) CAACAATGCCT >hg38_chr2:60539300-60539310(-) TTACAATAGGC >hg38_chr2:60541413-60541423(+) TGACAATGCCA >hg38_chr2:60546749-60546759(-) GCACAATGGAC >hg38_chr2:60546794-60546804(-) ACACAAAGGGT >hg38_chr2:60548064-60548074(-) GCACAATGCAG >hg38_chr2:60549979-60549989(-) CAACAATAGTA >hg38_chr2:60552858-60552868(-) GAACAATGCTA >hg38_chr2:60581929-60581939(-) AGACAAAGACG >hg38_chr2:60603166-60603176(-) GGACAATGAGC >hg38_chr2:60633779-60633789(+) GGACAAAGGAG >hg38_chr2:60709569-60709579(-) GGACAATGGTT >hg38_chr2:60709618-60709628(+) TAACAATAGCA >hg38_chr2:60719538-60719548(+) aaacaatgaat >hg38_chr2:60719601-60719611(+) gaacaaaggca >hg38_chr2:60740789-60740799(+) TTACAATGTAT >hg38_chr2:60769387-60769397(+) ATACAATAGTA >hg38_chr2:60769402-60769412(-) AAACAATGTCT >hg38_chr2:60790664-60790674(+) GTACAATAAGG >hg38_chr2:60831113-60831123(-) GCACAATGGAT >hg38_chr2:60884764-60884774(+) ACACAATAGGA >hg38_chr2:60885025-60885035(+) TAACAATGGAG >hg38_chr2:60885108-60885118(+) AGACAATGAAT >hg38_chr2:60887142-60887152(-) GCACAATGCCA >hg38_chr2:60887183-60887193(-) GTACAATGCAC >hg38_chr2:60953547-60953557(-) atacaatggaa >hg38_chr2:60953611-60953621(-) tcacaatagca >hg38_chr2:60961617-60961627(-) AGACAATGCTG >hg38_chr2:61015120-61015130(-) TAACTATGCGT >hg38_chr2:61016090-61016100(+) AGACAATGTTT >hg38_chr2:61016122-61016132(+) GAACAAAGGTA >hg38_chr2:61018631-61018641(-) CTACAATAGTA >hg38_chr2:61070386-61070396(+) GAACAATAATA >hg38_chr2:61094194-61094204(-) atacaattgta >hg38_chr2:61117769-61117779(-) AAATAATGGGC >hg38_chr2:61207255-61207265(+) AGACAATGGTA >hg38_chr2:61215850-61215860(+) caacaatggga >hg38_chr2:61242602-61242612(+) GAACAAAGGAT >hg38_chr2:61331723-61331733(+) atacaataaaC >hg38_chr2:61339089-61339099(-) TAACAATACTA >hg38_chr2:61351845-61351855(+) AAACAATGGCA >hg38_chr2:61355160-61355170(+) GAATAATGGAA >hg38_chr2:61373546-61373556(+) agacaaaggac >hg38_chr2:61390089-61390099(-) ACACAATAGCA >hg38_chr2:61391702-61391712(+) ATACAATGACC >hg38_chr2:61460407-61460417(+) acacaatgctt >hg38_chr2:61478360-61478370(+) AGACAATGCAG >hg38_chr2:61492639-61492649(+) ACACAATGTAT >hg38_chr2:61523782-61523792(-) GCACAATGTAG >hg38_chr2:61525797-61525807(+) ACACAATGTAT >hg38_chr2:61525841-61525851(-) ATACTATGGCA >hg38_chr2:61585479-61585489(-) GAACAATAGGG >hg38_chr2:61588326-61588336(-) aaactatggag >hg38_chr2:61597746-61597756(-) acacaaaggac >hg38_chr2:61621089-61621099(+) agacaataggc >hg38_chr2:61636130-61636140(-) ggacaatgaac >hg38_chr2:61671780-61671790(-) TGACAATGAGC >hg38_chr2:61702620-61702630(-) GAACAATGACC >hg38_chr2:61721096-61721106(-) AGACAATGGGT >hg38_chr2:61731823-61731833(+) ACACAAAGGAT >hg38_chr2:61764233-61764243(-) ACACAATAGTC >hg38_chr2:61785759-61785769(-) GAACAAAGGAA >hg38_chr2:61786168-61786178(+) TGACTATGGTA >hg38_chr2:61887893-61887903(+) GTACAATGGGC >hg38_chr2:61927166-61927176(+) gaacaatagaa >hg38_chr2:61959140-61959150(+) ttacgatggtt >hg38_chr2:62026641-62026651(-) ATACAGTGGCT >hg38_chr2:62026664-62026674(-) TGACAATGTCA >hg38_chr2:62084572-62084582(-) gaacaatatga >hg38_chr2:62091817-62091827(-) TAACAATAGAT >hg38_chr2:62091871-62091881(-) TAACAATGCCT >hg38_chr2:62102509-62102519(-) ACACAATGAAC >hg38_chr2:62112415-62112425(+) GAACAATGTCA >hg38_chr2:62118319-62118329(-) taacaatgtgt >hg38_chr2:62118354-62118364(+) GAACAAAGGCC >hg38_chr2:62130750-62130760(-) AAACAATACTA >hg38_chr2:62130805-62130815(+) GGACAATAATA >hg38_chr2:62178782-62178792(-) GTACAAAGGCA >hg38_chr2:62178789-62178799(+) GTACTATGGTG >hg38_chr2:62343961-62343971(-) atacaatggaa >hg38_chr2:62349918-62349928(+) aaacaatgatg >hg38_chr2:62349967-62349977(+) gcacaataata >hg38_chr2:62411563-62411573(-) ACACAAAGGAA >hg38_chr2:62453239-62453249(+) CAACAATGGGC >hg38_chr2:62505737-62505747(+) GGACAATGATG >hg38_chr2:62526424-62526434(+) aaaccatggta >hg38_chr2:62526808-62526818(-) gaaCAATGAGC >hg38_chr2:62528850-62528860(+) GCACAATGGAA >hg38_chr2:62530204-62530214(-) AGACAATGGCT >hg38_chr2:62554598-62554608(-) ATACACTGGGT >hg38_chr2:62591789-62591799(+) gaacaatgcct >hg38_chr2:62596416-62596426(-) CAACAATGACT >hg38_chr2:62678772-62678782(-) GGACAAAGGTC >hg38_chr2:62697142-62697152(+) agacaatgtaa >hg38_chr2:62697407-62697417(+) gtacaataata >hg38_chr2:62697472-62697482(-) agacaatgtgc >hg38_chr2:62707539-62707549(+) ATACAATAGAT >hg38_chr2:62742551-62742561(-) AAACAATAATA >hg38_chr2:62746955-62746965(-) GAACAATGCtt >hg38_chr2:62764503-62764513(+) TAACAATGCAA >hg38_chr2:62775443-62775453(-) GGACAATATAC >hg38_chr2:62790802-62790812(-) TAATAATGGTA >hg38_chr2:62795534-62795544(-) GTACAGTGGAA >hg38_chr2:62800771-62800781(+) aaacaatgtat >hg38_chr2:62824462-62824472(-) TGACAATGAGC >hg38_chr2:62826297-62826307(-) ACACAATGTAA >hg38_chr2:62877268-62877278(+) acataatggta >hg38_chr2:62915899-62915909(+) ATACAATAACA >hg38_chr2:62915921-62915931(+) GAACAATGAAA >hg38_chr2:62928458-62928468(+) TGACAATGGCT >hg38_chr2:62938106-62938116(+) ggacaatagct >hg38_chr2:62938130-62938140(+) acacaatgaaa >hg38_chr2:62947536-62947546(+) ACACAATGCTG >hg38_chr2:62958382-62958392(+) atacaaaggaa >hg38_chr2:62959588-62959598(-) tcacaatgaga >hg38_chr2:62959948-62959958(+) GGACAATGTCC >hg38_chr2:62971446-62971456(+) ACACAAAGGGA >hg38_chr2:62972308-62972318(-) GGACAATGATT >hg38_chr2:62975010-62975020(-) agacaatgtgg >hg38_chr2:62991837-62991847(+) AAACAATGACT >hg38_chr2:63009649-63009659(-) TTACTATGGAC >hg38_chr2:63013472-63013482(-) GCACAATGCAT >hg38_chr2:63013528-63013538(+) AGACAAAGGCA >hg38_chr2:63013536-63013546(+) GCACAATGAAA >hg38_chr2:63022191-63022201(-) TAACAATGAAA >hg38_chr2:63029171-63029181(-) TAACAATGTGG >hg38_chr2:63034681-63034691(-) GTACAAAGGAT >hg38_chr2:63035343-63035353(-) TAACAATAAGA >hg38_chr2:63042126-63042136(+) GTACACTGGAA >hg38_chr2:63042156-63042166(-) CTACAATGTGG >hg38_chr2:63043753-63043763(-) TAACAATGCAC >hg38_chr2:63095716-63095726(+) aaacaataaaa >hg38_chr2:63121273-63121283(+) taacaATGGAT >hg38_chr2:63149015-63149025(+) gtataatggtg >hg38_chr2:63168984-63168994(-) agacaataaaa >hg38_chr2:63259144-63259154(-) GCACAATGTTG >hg38_chr2:63277305-63277315(+) taacaataaca >hg38_chr2:63277313-63277323(+) acacaatggga >hg38_chr2:63376527-63376537(+) AGACAATGAGG >hg38_chr2:63381651-63381661(+) GTACAATGACT >hg38_chr2:63405720-63405730(+) ACACAATGCAG >hg38_chr2:63420933-63420943(-) gtacaatagtg >hg38_chr2:63426964-63426974(+) taacaatagtc >hg38_chr2:63427003-63427013(+) taacaataaaa >hg38_chr2:63438379-63438389(-) TAACAATGTGA >hg38_chr2:63455213-63455223(+) aaacaaaggaa >hg38_chr2:63455255-63455265(+) taacaatatta >hg38_chr2:63498289-63498299(+) AGACAATAAAC >hg38_chr2:63577324-63577334(+) ACACAATGTAC >hg38_chr2:63577383-63577393(-) AAACAATAAAC >hg38_chr2:63591227-63591237(-) TCACAATGTTA >hg38_chr2:63639563-63639573(-) ttaccatggta >hg38_chr2:63661642-63661652(+) TTACAATGTTC >hg38_chr2:63668365-63668375(+) TTACAATAACC >hg38_chr2:63668393-63668403(-) GAACAATATGA >hg38_chr2:63726670-63726680(+) tgataatggaa >hg38_chr2:63764794-63764804(+) aaacaaaggct >hg38_chr2:63764842-63764852(-) tgacaatataa >hg38_chr2:63768144-63768154(-) gaacaatggga >hg38_chr2:63775355-63775365(-) taacaatgttc >hg38_chr2:63792031-63792041(-) atacaataatt >hg38_chr2:63792054-63792064(+) gtacaaaggtg >hg38_chr2:63799915-63799925(-) ccacaatggag >hg38_chr2:63815844-63815854(+) ACACAATGGAG >hg38_chr2:63824877-63824887(+) ACACAATGGTG >hg38_chr2:63824912-63824922(+) TTACAATATAA >hg38_chr2:63825386-63825396(-) GAACAATAGCA >hg38_chr2:63837306-63837316(-) TAACAATAGGG >hg38_chr2:63848956-63848966(-) GCACAATCGAT >hg38_chr2:63849440-63849450(-) AAACAGTGGAT >hg38_chr2:63849783-63849793(-) acacaatgcca >hg38_chr2:63860386-63860396(+) caacaatggca >hg38_chr2:63864428-63864438(+) TAACaatagca >hg38_chr2:63881450-63881460(-) ACATAATGGAC >hg38_chr2:63916502-63916512(-) ACACaatgtgg >hg38_chr2:64039431-64039441(+) aaacaataacc >hg38_chr2:64052642-64052652(+) TAACAATGACC >hg38_chr2:64064827-64064837(+) aaacaatagtt >hg38_chr2:64074128-64074138(+) gaataatggct >hg38_chr2:64098721-64098731(+) GAACAATAAAT >hg38_chr2:64101527-64101537(+) ACACAAAGGAA >hg38_chr2:64111228-64111238(+) GTACAATGAAA >hg38_chr2:64115926-64115936(-) ATACAATGAAC >hg38_chr2:64116265-64116275(-) AGACAATAAAA >hg38_chr2:64129002-64129012(+) TCACAATGTTT >hg38_chr2:64133921-64133931(-) TCACAATGATA >hg38_chr2:64142837-64142847(+) GGACAATGCCA >hg38_chr2:64143629-64143639(+) TAACAATAAAA >hg38_chr2:64143876-64143886(+) TGACAAAGGCG >hg38_chr2:64144564-64144574(-) CAACAATGAAT >hg38_chr2:64203098-64203108(-) TTACAATCGTT >hg38_chr2:64203844-64203854(+) GGACAATGTTT >hg38_chr2:64214753-64214763(+) TTACAAAGGCT >hg38_chr2:64251606-64251616(-) AGACAATAGAG >hg38_chr2:64276802-64276812(+) TTACAATGAGG >hg38_chr2:64288117-64288127(-) TAATAATGGGG >hg38_chr2:64312393-64312403(+) TCACAATGAGG >hg38_chr2:64327424-64327434(-) AGACAATAGCA >hg38_chr2:64371916-64371926(+) GAACAATAGCC >hg38_chr2:64403085-64403095(-) TAACAATAACT >hg38_chr2:64404417-64404427(-) GAACAATAAAG >hg38_chr2:64434236-64434246(-) caacaatggta >hg38_chr2:64443135-64443145(+) ggactatggtc >hg38_chr2:64458762-64458772(+) CAACAACGGTA >hg38_chr2:64461799-64461809(-) aaactatgggg >hg38_chr2:64462011-64462021(+) GCACAATGTAG >hg38_chr2:64478157-64478167(+) ctataatggag >hg38_chr2:64522959-64522969(+) ATACAATAGGC >hg38_chr2:64551470-64551480(+) GAACAATGGAG >hg38_chr2:64551522-64551532(+) AGACAATGGAG >hg38_chr2:64586683-64586693(-) GAACAATGGAG >hg38_chr2:64586984-64586994(-) AAACAAAGGAA >hg38_chr2:64592724-64592734(+) TTACAATGGAA >hg38_chr2:64592758-64592768(-) GTACAATAGAA >hg38_chr2:64617760-64617770(+) ACACAAAGGCA >hg38_chr2:64620876-64620886(+) taacaatgaga >hg38_chr2:64620903-64620913(+) ttataatggct >hg38_chr2:64627498-64627508(+) GAACAATAGGC >hg38_chr2:64634191-64634201(+) TAACAATATAG >hg38_chr2:64645220-64645230(-) AAACAGTGGTA >hg38_chr2:64650497-64650507(+) ACACAATGAGT >hg38_chr2:64654296-64654306(+) TGACAAAGGCG >hg38_chr2:64691450-64691460(-) ATACAATACAC >hg38_chr2:64711709-64711719(-) CAACAATAGGC >hg38_chr2:64711758-64711768(-) AAATAATGGAA >hg38_chr2:64716727-64716737(-) GAACAATGGTG >hg38_chr2:64716734-64716744(-) AAACAATGAAC >hg38_chr2:64721213-64721223(+) gaacaaaggca >hg38_chr2:64721232-64721242(-) atacaatgact >hg38_chr2:64735747-64735757(-) GAACAATGGAA >hg38_chr2:64742871-64742881(-) ACACAATGGAA >hg38_chr2:64744953-64744963(-) TAACAATGAGT >hg38_chr2:64749583-64749593(-) ACACAATGGAG >hg38_chr2:64752264-64752274(+) ATACACTGGCA >hg38_chr2:64766873-64766883(-) CCACAATAGCA >hg38_chr2:64768256-64768266(+) GAACAATAACC >hg38_chr2:64768305-64768315(+) AGACAATGCGA >hg38_chr2:64832426-64832436(+) GAACAAAGGGA >hg38_chr2:64840446-64840456(+) gcacaatgcct >hg38_chr2:64840485-64840495(-) Gaacaatggct >hg38_chr2:64845654-64845664(-) agacaatggag >hg38_chr2:64857550-64857560(-) CTACAAAGGAA >hg38_chr2:64864784-64864794(-) TGACAATAGAA >hg38_chr2:64880701-64880711(-) gaacaatggga >hg38_chr2:64903944-64903954(-) TAACAATAACA >hg38_chr2:64987502-64987512(+) AAACAAAGGGA >hg38_chr2:64988688-64988698(-) AGACAAAGGAC >hg38_chr2:65057620-65057630(+) gcacaatgctt >hg38_chr2:65093272-65093282(-) agacaatggga >hg38_chr2:65096877-65096887(+) ttacaaagggc >hg38_chr2:65130822-65130832(-) CAACAATGGAC >hg38_chr2:65184239-65184249(-) GTACAATAAAA >hg38_chr2:65200466-65200476(+) ttacaataaac >hg38_chr2:65200519-65200529(+) tcacaatgatc >hg38_chr2:65255088-65255098(-) ggacaatagca >hg38_chr2:65255526-65255536(-) AAACAATAGCA >hg38_chr2:65354045-65354055(+) ACACAAAGGAA >hg38_chr2:65364161-65364171(+) ACACAATAGCA >hg38_chr2:65364554-65364564(-) GGACAATGATA >hg38_chr2:65366763-65366773(-) GTACAATGCAG >hg38_chr2:65372714-65372724(+) GAACAATGAGG >hg38_chr2:65412643-65412653(-) aaacaaaggat >hg38_chr2:65421570-65421580(+) ACACAATGCTG >hg38_chr2:65431146-65431156(-) GAACAATGCTC >hg38_chr2:65438038-65438048(-) gaacaatggat >hg38_chr2:65443918-65443928(-) ACACAATGAAG >hg38_chr2:65532066-65532076(-) acacaataaac >hg38_chr2:65532080-65532090(-) gaacaatgctc >hg38_chr2:65532103-65532113(+) atacaatgaac >hg38_chr2:65548854-65548864(-) GTACAATAGGG >hg38_chr2:65558241-65558251(+) GGACAATATAC >hg38_chr2:65560592-65560602(-) caacaatgtca >hg38_chr2:65580113-65580123(+) GAACAAAGGAA >hg38_chr2:65617088-65617098(+) aaacaatgtga >hg38_chr2:65637879-65637889(+) TTATAATGGAA >hg38_chr2:65637888-65637898(-) GCACAATGGTT >hg38_chr2:65799390-65799400(-) ACACAATGTAA >hg38_chr2:65807651-65807661(-) taacaatgagc >hg38_chr2:65834445-65834455(-) GCACAATGGGG >hg38_chr2:65864234-65864244(+) TCACAAAGGAA >hg38_chr2:65864277-65864287(+) TAACAAAGGGG >hg38_chr2:65870524-65870534(+) GGACAATGAGG >hg38_chr2:65970540-65970550(+) GGACAATGATA >hg38_chr2:65997056-65997066(-) gaacaatagga >hg38_chr2:66030725-66030735(-) ATACAAAGGAA >hg38_chr2:66048937-66048947(-) tgataatggga >hg38_chr2:66055719-66055729(-) atacaatggaa >hg38_chr2:66068941-66068951(+) CTACAAAGGAC >hg38_chr2:66070218-66070228(+) GCACAATAGGC >hg38_chr2:66106975-66106985(+) tgacaatGACA >hg38_chr2:66112264-66112274(+) AGACAATAGAT >hg38_chr2:66112285-66112295(+) GTACAATGGGG >hg38_chr2:66112412-66112422(-) caacaatgagc >hg38_chr2:66192813-66192823(+) gaacaatggct >hg38_chr2:66198519-66198529(+) GAACAATGAGT >hg38_chr2:66241537-66241547(+) AAATAATGGCT >hg38_chr2:66257402-66257412(-) AGACAAAGGAA >hg38_chr2:66290729-66290739(+) atacaatggga >hg38_chr2:66305997-66306007(-) ACACAAAGGCA >hg38_chr2:66323111-66323121(+) TAATAATGCCG >hg38_chr2:66361723-66361733(-) GGACAATGGCA >hg38_chr2:66429188-66429198(-) GGACAATAGAA >hg38_chr2:66434390-66434400(-) GAACAATGAGC >hg38_chr2:66435071-66435081(-) ACACAATGCAT >hg38_chr2:66436520-66436530(+) AGACAATGACA >hg38_chr2:66442129-66442139(-) TTACAATGCAT >hg38_chr2:66447602-66447612(-) TAACAATAAAA >hg38_chr2:66447946-66447956(-) GAACAATAGTT >hg38_chr2:66461765-66461775(+) ACACAATGAAA >hg38_chr2:66462797-66462807(+) GCATAATGGGT >hg38_chr2:66463548-66463558(-) TAACAATAGAT >hg38_chr2:66489058-66489068(-) AAACAATGGAA >hg38_chr2:66489073-66489083(-) AAACAATGATT >hg38_chr2:66489617-66489627(-) GCACAATGCTT >hg38_chr2:66490761-66490771(-) AAACAATGGAA >hg38_chr2:66491260-66491270(-) AAACACTGGAC >hg38_chr2:66515178-66515188(+) AAACAATAGCA >hg38_chr2:66526368-66526378(-) GCACAATGACA >hg38_chr2:66561857-66561867(-) AAACAATGACA >hg38_chr2:66561876-66561886(-) TCACAATGGTG >hg38_chr2:66562289-66562299(+) GGACAAAGGTC >hg38_chr2:66569354-66569364(-) GTACAATAGCA >hg38_chr2:66579567-66579577(+) ATACAAAGGAG >hg38_chr2:66667551-66667561(+) TTACAATAGAT >hg38_chr2:66686883-66686893(-) ACACAAAGGTT >hg38_chr2:66699852-66699862(+) TGACAATGGTC >hg38_chr2:66700450-66700460(+) AAACAATGGGG >hg38_chr2:66700485-66700495(-) AAACAATAGCA >hg38_chr2:66701591-66701601(-) TTACAATGGAG >hg38_chr2:66718261-66718271(-) GGACAAAGGAG >hg38_chr2:66749506-66749516(+) ATACAATATAT >hg38_chr2:66806055-66806065(-) AAACAATGTGC >hg38_chr2:66813357-66813367(+) agacaataaca >hg38_chr2:66813387-66813397(-) aaacaatgcta >hg38_chr2:66816940-66816950(+) aaacaatgcaT >hg38_chr2:66849249-66849259(-) CCACAATGGTG >hg38_chr2:66872589-66872599(+) AAACAATGGTA >hg38_chr2:66873029-66873039(-) TAACAATGCTC >hg38_chr2:66893123-66893133(+) TAACAATGTCT >hg38_chr2:66897462-66897472(+) GGACAATGGCA >hg38_chr2:66897489-66897499(+) TAACTATGGCA >hg38_chr2:66900128-66900138(-) GCACAATAGCC >hg38_chr2:66913259-66913269(-) tcacaaTGGGA >hg38_chr2:66922652-66922662(-) ATACAATGCTG >hg38_chr2:66972049-66972059(+) gaacaataaat >hg38_chr2:66976977-66976987(+) atacaataaca >hg38_chr2:66976983-66976993(+) taacagtggtt >hg38_chr2:66976995-66977005(-) gtataatggta >hg38_chr2:67000138-67000148(-) caacaatgaac >hg38_chr2:67000163-67000173(+) caacaatgcac >hg38_chr2:67000170-67000180(-) ctacaatgtgc >hg38_chr2:67092044-67092054(+) gcacaatggag >hg38_chr2:67092073-67092083(-) gtacaataaat >hg38_chr2:67101082-67101092(+) caacaatggca >hg38_chr2:67101736-67101746(-) gtacaatatct >hg38_chr2:67114197-67114207(-) tcacaatgctt >hg38_chr2:67131077-67131087(-) GAACAATGTCC >hg38_chr2:67156772-67156782(-) aaacaatgtat >hg38_chr2:67156984-67156994(+) CAACAATGCAT >hg38_chr2:67156993-67157003(-) ACACAGTGGAT >hg38_chr2:67174073-67174083(-) aaacaatgCAT >hg38_chr2:67209234-67209244(+) ACACAATGTTT >hg38_chr2:67218154-67218164(-) TAACAATGAAA >hg38_chr2:67246437-67246447(+) GCACAAAGGAA >hg38_chr2:67251818-67251828(+) ATACAATGGCA >hg38_chr2:67251828-67251838(+) ACACAATGCAA >hg38_chr2:67269296-67269306(+) GGACAATGGTA >hg38_chr2:67329870-67329880(+) ATATAATGGCT >hg38_chr2:67400981-67400991(+) TAACAATAGAT >hg38_chr2:67410962-67410972(-) GAACAATGAGC >hg38_chr2:67462969-67462979(-) CAACAATAGCA >hg38_chr2:67462983-67462993(+) AAACAATAGGA >hg38_chr2:67464039-67464049(+) TGACAATGGAT >hg38_chr2:67477050-67477060(-) AAACAATGAGT >hg38_chr2:67530550-67530560(-) aaataatggtt >hg38_chr2:67548531-67548541(-) AGACAATGCAG >hg38_chr2:67572301-67572311(-) AAACAATAAGT >hg38_chr2:67597337-67597347(-) gaacaatgcaa >hg38_chr2:67597373-67597383(-) gaacaatatag >hg38_chr2:67626932-67626942(-) AGACAATGTGG >hg38_chr2:67626962-67626972(-) CAACAATGAGA >hg38_chr2:67631632-67631642(-) aaacaaTGCTT >hg38_chr2:67633042-67633052(+) TCACAATAGAG >hg38_chr2:67645828-67645838(-) CAACAATGGTT >hg38_chr2:67651821-67651831(+) AGACAATGAAC >hg38_chr2:67659218-67659228(-) gaacaatagca >hg38_chr2:67661397-67661407(+) ACACAATGGTC >hg38_chr2:67697104-67697114(+) TCACAAAGGTA >hg38_chr2:67704299-67704309(+) CCACAATGAAA >hg38_chr2:67704315-67704325(+) GAACAATGATT >hg38_chr2:67799659-67799669(+) GCATAATGGGA >hg38_chr2:67840687-67840697(+) TCACAATGCTC >hg38_chr2:67849123-67849133(-) gaacaatagct >hg38_chr2:67858949-67858959(+) gaacaatatca >hg38_chr2:67872999-67873009(-) AGACAATAGTC >hg38_chr2:67873034-67873044(-) ATACAATGGAA >hg38_chr2:67930338-67930348(+) ACACAAAGGaa >hg38_chr2:67947051-67947061(-) AAATAATGCGT >hg38_chr2:67967910-67967920(+) aaACAATAGTT >hg38_chr2:67968608-67968618(+) atataatggtt >hg38_chr2:67974811-67974821(+) ATACAATGAAG >hg38_chr2:68031693-68031703(+) ACACAAAGGCA >hg38_chr2:68063176-68063186(-) GTACAAAGGTA >hg38_chr2:68080252-68080262(-) TAACTATGGGA >hg38_chr2:68210222-68210232(-) GGACAATGGTT >hg38_chr2:68236321-68236331(+) ctacaatggca >hg38_chr2:68238430-68238440(+) GTACAAAGGCA >hg38_chr2:68238734-68238744(+) gcacaatgatg >hg38_chr2:68250440-68250450(-) GAACAATGATC >hg38_chr2:68256348-68256358(+) aaacaatggcc >hg38_chr2:68264513-68264523(+) GTACAATAAAA >hg38_chr2:68274241-68274251(+) CTACAATCGAC >hg38_chr2:68280170-68280180(+) TTACAATGGCT >hg38_chr2:68307179-68307189(+) ATACAATTGTA >hg38_chr2:68307180-68307190(-) ATACAATTGTA >hg38_chr2:68307189-68307199(-) TGACAATATAT >hg38_chr2:68307200-68307210(-) TAACAATAGTT >hg38_chr2:68307229-68307239(+) ATACAATTGTA >hg38_chr2:68307230-68307240(-) ATACAATTGTA >hg38_chr2:68385290-68385300(-) TTACAATGAAG >hg38_chr2:68390156-68390166(+) AGACAATAGGG >hg38_chr2:68404298-68404308(-) ttacaatgtga >hg38_chr2:68463445-68463455(-) AGACAAAGGAC >hg38_chr2:68468498-68468508(-) gaacaatgagg >hg38_chr2:68579486-68579496(+) acacaatgtca >hg38_chr2:68581093-68581103(+) GGACAATAGGA >hg38_chr2:68694157-68694167(+) GGACAAAGGAG >hg38_chr2:68701980-68701990(+) tcacaatggct >hg38_chr2:68717381-68717391(-) tcacaatgctt >hg38_chr2:68744728-68744738(-) TAACAATGTGG >hg38_chr2:68754383-68754393(+) gcacaatgcat >hg38_chr2:68756336-68756346(+) acacaaaggta >hg38_chr2:68756383-68756393(-) caacaataggg >hg38_chr2:68806260-68806270(-) GTACAATGCAT >hg38_chr2:68806590-68806600(+) atacactggac >hg38_chr2:68806597-68806607(+) ggacaaaggga >hg38_chr2:68808603-68808613(+) GAACAATAGCC >hg38_chr2:68851395-68851405(+) ttacaatggct >hg38_chr2:68851455-68851465(+) atataatgtaa >hg38_chr2:68853022-68853032(+) GAACAATGTTA >hg38_chr2:68866710-68866720(+) ACACAATGTCA >hg38_chr2:68910988-68910998(-) aaacaatagta >hg38_chr2:68943728-68943738(-) acacaaaggag >hg38_chr2:68956291-68956301(-) agacaataaat >hg38_chr2:68956307-68956317(-) gaacaatagaa >hg38_chr2:68956334-68956344(+) tgacaatgcct >hg38_chr2:68957402-68957412(-) atacaataaaa >hg38_chr2:68964078-68964088(+) gtacaatatat >hg38_chr2:68977696-68977706(+) CAACAATGAAC >hg38_chr2:68977705-68977715(+) ACACAATGTGG >hg38_chr2:69013802-69013812(-) CAACAAAGGCG >hg38_chr2:69032649-69032659(-) AGACAATGGGT >hg38_chr2:69038518-69038528(+) gaacaaaggat >hg38_chr2:69038530-69038540(+) atataatgaac >hg38_chr2:69038668-69038678(+) TAACAGTGGAA >hg38_chr2:69038676-69038686(+) GAACAATGACT >hg38_chr2:69074119-69074129(+) AAATAATGGTC >hg38_chr2:69102323-69102333(-) GAACAATGTAG >hg38_chr2:69111949-69111959(-) AAACAATAGGC >hg38_chr2:69111958-69111968(-) TAACAAAGGAA >hg38_chr2:69118833-69118843(-) AAACAAAGGAG >hg38_chr2:69124589-69124599(+) GAACGATGGCC >hg38_chr2:69169845-69169855(-) ACACAATGGAA >hg38_chr2:69196066-69196076(+) GAACAATGGAA >hg38_chr2:69253698-69253708(+) GGACAATGTTT >hg38_chr2:69322298-69322308(-) GCACAATGTCA >hg38_chr2:69322338-69322348(+) ATACAATAGTT >hg38_chr2:69331192-69331202(+) ggacaatgaac >hg38_chr2:69340368-69340378(+) TCACAATAGAG >hg38_chr2:69344488-69344498(+) gaacaatgaat >hg38_chr2:69344530-69344540(+) taacaatgtga >hg38_chr2:69349317-69349327(-) ATACACTGGAA >hg38_chr2:69435646-69435656(+) GAACAATGGAA >hg38_chr2:69495076-69495086(+) CAACAATGGGA >hg38_chr2:69514820-69514830(+) AAACAATGAAG >hg38_chr2:69593840-69593850(+) gtacaatgcta >hg38_chr2:69635581-69635591(+) atacaatggca >hg38_chr2:69642737-69642747(+) GGACAATGACT >hg38_chr2:69650877-69650887(-) AAACAATGACA >hg38_chr2:69704722-69704732(-) gaacaatggga >hg38_chr2:69717475-69717485(+) TAACAAAGGCC >hg38_chr2:69723498-69723508(-) GAACAATGGTG >hg38_chr2:69772330-69772340(+) GAACAAAGGAA >hg38_chr2:69781659-69781669(-) AAACAATGCTT >hg38_chr2:69783921-69783931(-) caacaatacgg >hg38_chr2:69783959-69783969(-) gaacaataaaa >hg38_chr2:69802070-69802080(+) TTACAATAGTG >hg38_chr2:69832347-69832357(+) gcacaatgtct >hg38_chr2:69843174-69843184(+) AGACAATGAAG >hg38_chr2:69912129-69912139(-) AAACAAAGGTT >hg38_chr2:69916152-69916162(+) ATACAATAACA >hg38_chr2:70002556-70002566(-) GGACAATAGGC >hg38_chr2:70086659-70086669(-) AAACAATAGTG >hg38_chr2:70090195-70090205(+) AAACAATAGTA >hg38_chr2:70090239-70090249(+) AAACAATAGTA >hg38_chr2:70090252-70090262(+) AGACAATAGAC >hg38_chr2:70118950-70118960(+) AGACAATGGGA >hg38_chr2:70124444-70124454(+) AAACAAAGGAA >hg38_chr2:70127718-70127728(+) aaacaataggc >hg38_chr2:70140901-70140911(+) GAACAATGTTT >hg38_chr2:70143058-70143068(-) TAACAATATTA >hg38_chr2:70161142-70161152(-) GAACAAAGGAA >hg38_chr2:70191031-70191041(+) CGACAATCGTT >hg38_chr2:70199519-70199529(+) gaacaatgcag >hg38_chr2:70214076-70214086(-) GTACAAAGGGT >hg38_chr2:70227606-70227616(+) AAACAATGTAA >hg38_chr2:70227997-70228007(+) TAACAATATAG >hg38_chr2:70281031-70281041(-) CCACAATGTAT >hg38_chr2:70286319-70286329(-) TAACAAAGGAC >hg38_chr2:70304020-70304030(+) GAACAAAGGAA >hg38_chr2:70354416-70354426(+) GGACAATAGAC >hg38_chr2:70356958-70356968(+) ACACAATGTTA >hg38_chr2:70416318-70416328(+) ttacaatgtat >hg38_chr2:70416347-70416357(+) caacaatagca >hg38_chr2:70498734-70498744(-) ATACACTGGCA >hg38_chr2:70498741-70498751(+) GTATAATGGCC >hg38_chr2:70507124-70507134(-) AAACAGTGGCG >hg38_chr2:70509236-70509246(+) AGACAATGGAA >hg38_chr2:70570821-70570831(-) GGACAAAGGAA >hg38_chr2:70577950-70577960(-) acacaatgttt >hg38_chr2:70702599-70702609(+) acacaaaggaa >hg38_chr2:70715103-70715113(-) GGACAATGGTT >hg38_chr2:70734245-70734255(+) GGACAATGGCC >hg38_chr2:70740299-70740309(+) taataatggat >hg38_chr2:70744592-70744602(-) ccacaatggac >hg38_chr2:70766496-70766506(-) GAACTATGACG >hg38_chr2:70767039-70767049(+) AGACAATAGGC >hg38_chr2:70828303-70828313(+) ATACAGTGGGG >hg38_chr2:70901015-70901025(-) acacaatagac >hg38_chr2:70909844-70909854(+) aaacaatgctg >hg38_chr2:70914579-70914589(-) taacaatggtg >hg38_chr2:70914598-70914608(-) aaacaatgatg >hg38_chr2:70914656-70914666(-) caacaatggaa >hg38_chr2:70919937-70919947(-) TAACAAAGGCt >hg38_chr2:70939282-70939292(+) AGACAATGGAG >hg38_chr2:70970447-70970457(-) tgacaaaggga >hg38_chr2:70971982-70971992(-) gaacaatacta >hg38_chr2:70973595-70973605(-) aaacaatggag >hg38_chr2:70978097-70978107(+) AAACAATGGCA >hg38_chr2:70978109-70978119(-) TCATAATGGAT >hg38_chr2:71123045-71123055(+) tcactatggac >hg38_chr2:71130637-71130647(-) ACACAATGCAG >hg38_chr2:71136662-71136672(-) TTATAATGGTG >hg38_chr2:71205255-71205265(+) TTACAATATTA >hg38_chr2:71220386-71220396(+) ATACAATACAT >hg38_chr2:71220414-71220424(+) ATACAATAAAC >hg38_chr2:71299869-71299879(-) AAACAATGCTG >hg38_chr2:71311546-71311556(+) acacaatgtat >hg38_chr2:71331965-71331975(-) ATACAATAGTC >hg38_chr2:71360384-71360394(+) taacaataatt >hg38_chr2:71377618-71377628(-) TTACAATGCCT >hg38_chr2:71397827-71397837(-) TTACTATGGCC >hg38_chr2:71407946-71407956(-) agacaaaggga >hg38_chr2:71408756-71408766(-) ATACAATGGAA >hg38_chr2:71461226-71461236(-) tcacaatggtc >hg38_chr2:71527950-71527960(-) TAACAATATCC >hg38_chr2:71589940-71589950(-) AGACAATGGGA >hg38_chr2:71701184-71701194(-) AAACAATAGGG >hg38_chr2:71752354-71752364(-) caacaatggaa >hg38_chr2:71826325-71826335(+) AGACAATCGCA >hg38_chr2:71906512-71906522(-) ctacaaaggac >hg38_chr2:71954857-71954867(-) atacaatggaa >hg38_chr2:71984949-71984959(-) caacaatagca >hg38_chr2:71986300-71986310(+) gaacaataaga >hg38_chr2:71989880-71989890(-) aaacaatagac >hg38_chr2:71992247-71992257(-) TAACAATGTTA >hg38_chr2:71995274-71995284(+) ctacaataggt >hg38_chr2:72045292-72045302(-) ttacaatggct >hg38_chr2:72251457-72251467(-) taacagtggaa >hg38_chr2:72263672-72263682(-) AAACAATGAGG >hg38_chr2:72282268-72282278(+) gcacaatagca >hg38_chr2:72310412-72310422(-) gtacaataagc >hg38_chr2:72311056-72311066(-) ATACAATAGCA >hg38_chr2:72317689-72317699(+) TAATAATGCCG >hg38_chr2:72317706-72317716(-) AAACAATAGTA >hg38_chr2:72330262-72330272(+) TTACAATGAAT >hg38_chr2:72334664-72334674(+) GCACAATGGGT >hg38_chr2:72351041-72351051(-) CGTCAATGGAT >hg38_chr2:72366060-72366070(+) aaacaatgacc >hg38_chr2:72366111-72366121(+) gaacaatatca >hg38_chr2:72366454-72366464(+) ggacaatgtga >hg38_chr2:72366498-72366508(+) acacaaaggag >hg38_chr2:72367133-72367143(+) caacaatagca >hg38_chr2:72367145-72367155(+) aaacaaaggac >hg38_chr2:72384220-72384230(+) CAACAATGAGA >hg38_chr2:72414324-72414334(+) ACACAATGCTT >hg38_chr2:72414391-72414401(-) GGACAATAAGA >hg38_chr2:72451101-72451111(+) TTACAATAGCA >hg38_chr2:72456774-72456784(-) AGACAATGGTA >hg38_chr2:72493116-72493126(+) taacaatacat >hg38_chr2:72513756-72513766(+) ATACAAAGGTT >hg38_chr2:72517689-72517699(-) CTACAATAATA >hg38_chr2:72561657-72561667(+) TAACAAAGACG >hg38_chr2:72578940-72578950(+) AAACAATGAAA >hg38_chr2:72578965-72578975(+) GAATAATGGAT >hg38_chr2:72580864-72580874(-) TGACTATGGAA >hg38_chr2:72597325-72597335(+) ttacaatgtat >hg38_chr2:72608309-72608319(+) AAACAATAAAA >hg38_chr2:72608564-72608574(-) AAACAATAAAA >hg38_chr2:72626902-72626912(+) GCACAATGATT >hg38_chr2:72627383-72627393(+) GTACAATGAAT >hg38_chr2:72629578-72629588(+) gcacaaaggac >hg38_chr2:72629595-72629605(+) acacaatagca >hg38_chr2:72635323-72635333(+) tgacaatgaaa >hg38_chr2:72635362-72635372(+) aaacaatggct >hg38_chr2:72671090-72671100(+) gaacactggat >hg38_chr2:72673545-72673555(-) tgacaatgatg >hg38_chr2:72673558-72673568(-) taacaatgaag >hg38_chr2:72673578-72673588(+) taacaataaag >hg38_chr2:72676543-72676553(+) ACACAATAGGC >hg38_chr2:72681821-72681831(+) AGACAATAGCC >hg38_chr2:72681833-72681843(+) CAACAATAGGG >hg38_chr2:72681861-72681871(+) AAACAATATAA >hg38_chr2:72682238-72682248(+) ACACAATGGCT >hg38_chr2:72683055-72683065(+) AGACAAAGGAA >hg38_chr2:72685778-72685788(+) AAACAATGCCT >hg38_chr2:72705897-72705907(+) TAACAATAATT >hg38_chr2:72726725-72726735(-) acacaatgcaa >hg38_chr2:72726759-72726769(-) TTACAATGAGT >hg38_chr2:72755226-72755236(+) GGATAATGGGC >hg38_chr2:72755427-72755437(+) GAACAATGGCT >hg38_chr2:72766173-72766183(+) ggacaatagca >hg38_chr2:72770772-72770782(-) CAACAATGAAA >hg38_chr2:72778094-72778104(+) ttacaatgaat >hg38_chr2:72803346-72803356(+) ACACAATGACA >hg38_chr2:72803412-72803422(+) ATACAATGAAG >hg38_chr2:72803768-72803778(-) gaataatggAA >hg38_chr2:72803830-72803840(-) agacaatgtag >hg38_chr2:72821207-72821217(+) aaacaatgacc >hg38_chr2:72822406-72822416(+) TTACAATGAAA >hg38_chr2:72822930-72822940(+) TGACAATAGAG >hg38_chr2:72825970-72825980(+) CCACAATGCCG >hg38_chr2:72837990-72838000(-) aaacaatagtc >hg38_chr2:72860013-72860023(-) CTACAATAGAC >hg38_chr2:72862585-72862595(+) GGACAAAGGGC >hg38_chr2:72955387-72955397(+) GAACAATGGCT >hg38_chr2:72967242-72967252(-) acacaatagat >hg38_chr2:73011939-73011949(+) gcacaatcgtt >hg38_chr2:73044045-73044055(+) GGACAATGAAT >hg38_chr2:73050220-73050230(-) gaacaatagag >hg38_chr2:73059230-73059240(+) GCACAATGAAA >hg38_chr2:73090823-73090833(-) taacaatgcat >hg38_chr2:73090893-73090903(+) taacaatgacg >hg38_chr2:73102096-73102106(+) GAACAATGGGT >hg38_chr2:73132033-73132043(+) aaacaatgtga >hg38_chr2:73201642-73201652(+) tcacaatgaac >hg38_chr2:73244840-73244850(-) GTACAATGTAA >hg38_chr2:73338390-73338400(+) atacaaaggaa >hg38_chr2:73348973-73348983(-) gaacaaaggaa >hg38_chr2:73349918-73349928(-) tgacaaaggta >hg38_chr2:73350356-73350366(+) gtagaatggac >hg38_chr2:73350413-73350423(-) ttaCACTGGAT >hg38_chr2:73354360-73354370(+) ggacaatgtgt >hg38_chr2:73354396-73354406(-) aaactatgggt >hg38_chr2:73420841-73420851(-) TCACAATGTTA >hg38_chr2:73475108-73475118(-) atacaatgaaa >hg38_chr2:73475168-73475178(-) tcacaatggcc >hg38_chr2:73476251-73476261(-) atacaatggaa >hg38_chr2:73561653-73561663(+) caacaatgtga >hg38_chr2:73564993-73565003(+) taacaatggca >hg38_chr2:73571577-73571587(-) atataatagta >hg38_chr2:73769724-73769734(-) GTACTATGGAA >hg38_chr2:73821079-73821089(-) atacaaaggta >hg38_chr2:73839095-73839105(-) GAACAATGATT >hg38_chr2:73883704-73883714(+) tcacaatgtaa >hg38_chr2:73883747-73883757(-) ttacaatagtg >hg38_chr2:73887333-73887343(+) atacaatgaag >hg38_chr2:73938420-73938430(-) gtacaatggta >hg38_chr2:73938764-73938774(+) TGACAATGGTA >hg38_chr2:73941714-73941724(-) ctacaatgata >hg38_chr2:73979923-73979933(+) tcataatggac >hg38_chr2:73984407-73984417(-) GGACAATGGGG >hg38_chr2:74008974-74008984(+) AAACAAAGGCA >hg38_chr2:74027164-74027174(-) AAACAATGGTT >hg38_chr2:74168985-74168995(+) gcacaatggtg >hg38_chr2:74174762-74174772(+) TTACAAAGGAT >hg38_chr2:74199867-74199877(-) CAACAATGCCA >hg38_chr2:74199876-74199886(-) TAACAATGCCA >hg38_chr2:74324290-74324300(-) cgacaaagcga >hg38_chr2:74324312-74324322(+) gttcaatggcg >hg38_chr2:74357795-74357805(+) tcacaatggcc >hg38_chr2:74391590-74391600(+) GAACAATGCTA >hg38_chr2:74392210-74392220(+) aaacaatagcg >hg38_chr2:74425713-74425723(-) GGACAAAGGAA >hg38_chr2:74467602-74467612(-) TCACAATGCTC >hg38_chr2:74570804-74570814(+) aaacaatagta >hg38_chr2:74583769-74583779(+) GAACAAAGGGG >hg38_chr2:74587830-74587840(-) AAACAATGTGA >hg38_chr2:74587866-74587876(+) CCACAATGGGA >hg38_chr2:74588500-74588510(-) AGACAATGGTT >hg38_chr2:74617176-74617186(-) GAACAATGGTG >hg38_chr2:74633702-74633712(-) acacaataggt >hg38_chr2:74637773-74637783(-) agacagtggta >hg38_chr2:74663915-74663925(-) CAACAAAGGAC >hg38_chr2:74712856-74712866(-) GAACAATGGAT >hg38_chr2:74716705-74716715(+) GAACAATGTAG >hg38_chr2:74716721-74716731(-) GGACAATGGAC >hg38_chr2:74778811-74778821(-) AAACAAAGGAA >hg38_chr2:74781973-74781983(-) tcacaatggaa >hg38_chr2:74782042-74782052(-) aaacaatgtat >hg38_chr2:74902513-74902523(-) ttacaatgatg >hg38_chr2:74925633-74925643(+) GGACAATAGGG >hg38_chr2:74925669-74925679(+) GGACAAAGGGC >hg38_chr2:74925693-74925703(+) AGACAATGGAA >hg38_chr2:74967604-74967614(-) agactatggat >hg38_chr2:74993503-74993513(-) GGACAATGTTC >hg38_chr2:74993537-74993547(-) TCACAATGCCC >hg38_chr2:74993554-74993564(-) TAACAATGAGG >hg38_chr2:74995946-74995956(+) TAACAATAATA >hg38_chr2:75000024-75000034(+) TTACAATAGtt >hg38_chr2:75021621-75021631(-) AAACAATGCTG >hg38_chr2:75075208-75075218(+) ccacaatagga >hg38_chr2:75107457-75107467(-) AGACTATGGCA >hg38_chr2:75141612-75141622(-) GGACAAAGGCA >hg38_chr2:75147059-75147069(-) AAACAATGCAC >hg38_chr2:75174758-75174768(-) ATACAATTGAA >hg38_chr2:75174791-75174801(+) GCATAATGGTT >hg38_chr2:75182808-75182818(+) gcacaatgaca >hg38_chr2:75182852-75182862(-) taacaatgggg >hg38_chr2:75219918-75219928(-) aaacaataact >hg38_chr2:75230867-75230877(-) gaacaatgttg >hg38_chr2:75241869-75241879(+) atacaataaag >hg38_chr2:75258474-75258484(-) taacaataagc >hg38_chr2:75292939-75292949(+) atacagtggaa >hg38_chr2:75293000-75293010(+) aaacaatggCT >hg38_chr2:75360795-75360805(+) caacaatgtgg >hg38_chr2:75401671-75401681(+) gcacaatagct >hg38_chr2:75404713-75404723(-) caacaatgagc >hg38_chr2:75453949-75453959(+) AAACTATGGGA >hg38_chr2:75457810-75457820(+) GAACAAAGGCC >hg38_chr2:75501139-75501149(-) GGACAATGAAG >hg38_chr2:75506095-75506105(+) taataatggct >hg38_chr2:75535736-75535746(+) acacaaaggca >hg38_chr2:75573391-75573401(+) AAACAATGGCA >hg38_chr2:75573413-75573423(-) GAACAATGAGA >hg38_chr2:75607622-75607632(+) AAACAATGACT >hg38_chr2:75607672-75607682(+) GAACAATGGGA >hg38_chr2:75612790-75612800(+) ttacaatggtg >hg38_chr2:75612827-75612837(+) agacaatgagg >hg38_chr2:75675918-75675928(-) ACACAAAGGGT >hg38_chr2:75679646-75679656(-) GAACAATGGTT >hg38_chr2:75679693-75679703(+) GAACAAAGGTA >hg38_chr2:75735507-75735517(-) aaacaatgtgt >hg38_chr2:75773071-75773081(+) gaacaatgtct >hg38_chr2:75802396-75802406(+) ACACAATGCTT >hg38_chr2:75802432-75802442(+) CAACAATGCTA >hg38_chr2:75882598-75882608(-) ctacaatggtg >hg38_chr2:75921194-75921204(+) GAACAAAGGGG >hg38_chr2:75921479-75921489(-) TGACAAAGGAT >hg38_chr2:75925784-75925794(-) CTACAATGACT >hg38_chr2:75983677-75983687(+) ACACAATGACA >hg38_chr2:76009664-76009674(+) AGACAGTGGAA >hg38_chr2:76013612-76013622(-) ATACAAAGGCA >hg38_chr2:76016940-76016950(-) ttacaataaca >hg38_chr2:76019245-76019255(+) GCACAAAGGTC >hg38_chr2:76054464-76054474(+) AAACAATAATC >hg38_chr2:76054479-76054489(+) AAATAATGGCC >hg38_chr2:76082608-76082618(-) GAACAATAAAT >hg38_chr2:76160053-76160063(+) acacaatgaca >hg38_chr2:76256587-76256597(-) gtacAATGAGC >hg38_chr2:76256594-76256604(+) gtacactggtt >hg38_chr2:76256896-76256906(-) gtacaatgagg >hg38_chr2:76277838-76277848(-) TAACAATAGAC >hg38_chr2:76295341-76295351(+) ATACAAAGGGA >hg38_chr2:76312413-76312423(+) TCACAATATAT >hg38_chr2:76322227-76322237(+) taataatggtt >hg38_chr2:76322286-76322296(-) gtacaatgaaa >hg38_chr2:76330063-76330073(-) taacaatgcaa >hg38_chr2:76354621-76354631(+) AAACAATGAAA >hg38_chr2:76361279-76361289(+) AGACAATAGAG >hg38_chr2:76363677-76363687(+) GAACAATAAGT >hg38_chr2:76421862-76421872(-) tcacaatggcc >hg38_chr2:76451324-76451334(+) aaacaatgcct >hg38_chr2:76459401-76459411(+) GAACAAAGGTA >hg38_chr2:76459409-76459419(+) GTACAATAATA >hg38_chr2:76459888-76459898(-) AAACAATGGCA >hg38_chr2:76478413-76478423(+) TTACAATGAAC >hg38_chr2:76501835-76501845(+) ACACAATAGTT >hg38_chr2:76507650-76507660(-) acacaatggca >hg38_chr2:76567671-76567681(-) TAACAAAGGCA >hg38_chr2:76599976-76599986(-) ggacaatgtaa >hg38_chr2:76610608-76610618(-) ttacaatagac >hg38_chr2:76668509-76668519(+) TAACAGTGACG >hg38_chr2:76733823-76733833(-) aaacaatggtt >hg38_chr2:76839994-76840004(+) acacaatgatg >hg38_chr2:76931454-76931464(+) TCACAATGTCT >hg38_chr2:76946951-76946961(-) AAACAAAGGAA >hg38_chr2:76958999-76959009(-) AAACAATGACC >hg38_chr2:77010596-77010606(-) tcacaatagcc >hg38_chr2:77010605-77010615(+) gaacaatgctg >hg38_chr2:77052881-77052891(+) ATACAATGGAA >hg38_chr2:77087083-77087093(-) atacactggtt >hg38_chr2:77087145-77087155(+) taataatggct >hg38_chr2:77269734-77269744(-) GAACAAAGGCC >hg38_chr2:77297061-77297071(-) aaacaataaga >hg38_chr2:77327425-77327435(+) TAACAATGAGA >hg38_chr2:77521670-77521680(-) TGACAAAGGAT >hg38_chr2:77523077-77523087(-) TTACAATAAGT >hg38_chr2:77618970-77618980(+) caacaatgatc >hg38_chr2:77662310-77662320(-) GTACAATAGGA >hg38_chr2:77723978-77723988(+) TGACAGTGGTA >hg38_chr2:77736766-77736776(-) TAACAGTGGGT >hg38_chr2:78245867-78245877(+) ATACCATGGTA >hg38_chr2:78245868-78245878(-) TTACCATGGTA >hg38_chr2:78263732-78263742(-) TAACTATGGGG >hg38_chr2:78304905-78304915(-) gaacaatagag >hg38_chr2:78318428-78318438(+) TAACAAAGGTC >hg38_chr2:78339352-78339362(+) agacaatagtg >hg38_chr2:78350115-78350125(+) ACACAAAGGCA >hg38_chr2:78350133-78350143(+) GCACAATGTAC >hg38_chr2:78568339-78568349(+) aaacaatgcag >hg38_chr2:78568359-78568369(-) acacaaaggca >hg38_chr2:78592751-78592761(+) tgacAATGAGA >hg38_chr2:78592783-78592793(+) GAACAATGACT >hg38_chr2:78722390-78722400(+) acacaatgcct >hg38_chr2:78913744-78913754(+) ACATAATGGCT >hg38_chr2:78978521-78978531(+) AGACAAAGGAG >hg38_chr2:78979295-78979305(-) caacaatggca >hg38_chr2:79042672-79042682(-) tcacaatggga >hg38_chr2:79083959-79083969(-) tgacaatggcc >hg38_chr2:79083984-79083994(-) gcacaatgaca >hg38_chr2:79161057-79161067(+) ATACACTGGTT >hg38_chr2:79276185-79276195(-) TTACAATAACC >hg38_chr2:79292341-79292351(-) GAACTATGGCA >hg38_chr2:79332120-79332130(+) CTACAATAGCC >hg38_chr2:79345473-79345483(+) AGACAATGACC >hg38_chr2:79374235-79374245(+) TAACAATGCAT >hg38_chr2:79383986-79383996(-) CCACAATGATT >hg38_chr2:79393674-79393684(+) CTACAATGGGC >hg38_chr2:79393995-79394005(-) AGATAATGGGA >hg38_chr2:79437964-79437974(-) GGACAAAGGCA >hg38_chr2:79439164-79439174(-) ggacagtggat >hg38_chr2:79451107-79451117(+) aaacaatggtt >hg38_chr2:79530630-79530640(-) atacagtggcc >hg38_chr2:79646087-79646097(-) GCACAATAGCC >hg38_chr2:79675199-79675209(+) GAACAATGGGA >hg38_chr2:79675237-79675247(-) TTACAATGGCT >hg38_chr2:79675245-79675255(+) TAATAATGGAG >hg38_chr2:79733898-79733908(+) GGATAATGGGA >hg38_chr2:79741650-79741660(-) taacaaaggag >hg38_chr2:79741678-79741688(-) aaacaataaaa >hg38_chr2:79741981-79741991(-) GCACAAAGGGA >hg38_chr2:79756211-79756221(+) CAACAATAGCA >hg38_chr2:79762002-79762012(-) ATACAATGGGG >hg38_chr2:79775078-79775088(+) AAACAATAACA >hg38_chr2:79782717-79782727(+) gaacaatgaaa >hg38_chr2:79797077-79797087(+) AAACAATGTAT >hg38_chr2:79797098-79797108(-) TGACAATGTTT >hg38_chr2:79807758-79807768(+) GCACAATGCTA >hg38_chr2:79832650-79832660(-) AGACAAAGGGC >hg38_chr2:79860807-79860817(+) AGACAATACGT >hg38_chr2:79901730-79901740(-) TGACAATGGTC >hg38_chr2:79935217-79935227(-) agacaatagtg >hg38_chr2:79935639-79935649(-) CTACAATGTGA >hg38_chr2:79936985-79936995(-) AAACAATGGAA >hg38_chr2:79971987-79971997(+) ACACAaaggca >hg38_chr2:79990215-79990225(+) GAACAATGACT >hg38_chr2:79998368-79998378(+) AGACAATGAAT >hg38_chr2:80058768-80058778(+) gtacaatgaat >hg38_chr2:80059800-80059810(+) AAACAATGGGG >hg38_chr2:80084355-80084365(+) acacaatgctt >hg38_chr2:80087134-80087144(+) GAACAGTGGGA >hg38_chr2:80091311-80091321(-) GGACAATGGCC >hg38_chr2:80184202-80184212(+) agacaatgctc >hg38_chr2:80184418-80184428(+) TCACAGTGGTA >hg38_chr2:80205775-80205785(-) AAACAATCGCA >hg38_chr2:80206000-80206010(+) TTACAATGAAT >hg38_chr2:80208781-80208791(-) acacaacggat >hg38_chr2:80256135-80256145(-) TGACAAAGGAA >hg38_chr2:80281220-80281230(-) TGACAATGCAC >hg38_chr2:80286845-80286855(-) atacaatgggt >hg38_chr2:80299093-80299103(+) TCACAATGCCC >hg38_chr2:80304187-80304197(-) ACACAAAGGGA >hg38_chr2:80464857-80464867(-) gaacaatggct >hg38_chr2:80465336-80465346(-) GGACAATGGAA >hg38_chr2:80466036-80466046(-) TAACAAAGGAA >hg38_chr2:80482477-80482487(-) ttacaatggca >hg38_chr2:80482503-80482513(+) agacaataggt >hg38_chr2:80497225-80497235(-) ACACAATGGCA >hg38_chr2:80511394-80511404(-) AGACAATGCTA >hg38_chr2:80519539-80519549(-) TAACAATGCTG >hg38_chr2:80572105-80572115(-) gaacaatggtt >hg38_chr2:80572136-80572146(-) acacaatggta >hg38_chr2:80583492-80583502(-) TAACAATGCTG >hg38_chr2:80589426-80589436(-) GTACAATGATA >hg38_chr2:80608303-80608313(-) ACACAATGAGC >hg38_chr2:80608337-80608347(+) TCACAATGTAA >hg38_chr2:80679525-80679535(-) TCACAAAGGCG >hg38_chr2:80679534-80679544(+) GAACAATGGAT >hg38_chr2:80684199-80684209(+) ATACTATGGTA >hg38_chr2:80827688-80827698(-) aaacaatgaca >hg38_chr2:80873477-80873487(-) taacaatgcat >hg38_chr2:80898195-80898205(-) ATACAATACAT >hg38_chr2:81077609-81077619(+) aaacaatagca >hg38_chr2:81249370-81249380(+) TCACAAAGGAC >hg38_chr2:81249395-81249405(-) AGACAATGCCT >hg38_chr2:81249432-81249442(-) ATACAATGTTT >hg38_chr2:81395957-81395967(-) gaacaaaggtt >hg38_chr2:81437664-81437674(+) TTACAATAAAT >hg38_chr2:81481560-81481570(+) caacaatggag >hg38_chr2:81481757-81481767(+) agacgatggaa >hg38_chr2:81732229-81732239(-) AGACAATAGAA >hg38_chr2:81901221-81901231(+) caacaatgtct >hg38_chr2:81978836-81978846(+) gaACAATAAAG >hg38_chr2:82013915-82013925(-) acacaaaggac >hg38_chr2:82076051-82076061(+) ACACAAAGGAG >hg38_chr2:82281120-82281130(-) ACACAATAGTG >hg38_chr2:82362649-82362659(-) taacaatagag >hg38_chr2:82370440-82370450(+) gaacaatgaga >hg38_chr2:82370460-82370470(+) aaacaatgcag >hg38_chr2:82473546-82473556(-) taacaatgcac >hg38_chr2:82596701-82596711(-) caacaatgggg >hg38_chr2:82596748-82596758(+) aaacaatggtc >hg38_chr2:82789399-82789409(+) AGACAATGTGT >hg38_chr2:82798398-82798408(-) aaacaatgaat >hg38_chr2:82838687-82838697(+) GAACAATGTGA >hg38_chr2:82905176-82905186(-) taacaatggat >hg38_chr2:82921382-82921392(-) CCACAATGCAT >hg38_chr2:83037132-83037142(-) ATACAATGTGT >hg38_chr2:83065879-83065889(-) acacaatagaa >hg38_chr2:83080829-83080839(-) AAATAATAGCG >hg38_chr2:83080871-83080881(-) GGACAATGTAC >hg38_chr2:83080885-83080895(-) ATACAATGTGC >hg38_chr2:83163297-83163307(+) TTACAATGTGC >hg38_chr2:83178915-83178925(+) gtacaataaca >hg38_chr2:83178980-83178990(-) ttacaatagcc >hg38_chr2:83210809-83210819(-) GGACAATGCAA >hg38_chr2:83210853-83210863(+) ATACAATGTTG >hg38_chr2:83224788-83224798(-) TAACAATGTCC >hg38_chr2:83385360-83385370(+) GTACAGTGGTT >hg38_chr2:83526409-83526419(-) GCATAATGGTC >hg38_chr2:83560860-83560870(+) ctacaatgtaa >hg38_chr2:83585092-83585102(+) caacaATAGAC >hg38_chr2:83589912-83589922(+) aaacaatggct >hg38_chr2:83625448-83625458(+) ccacaatgagt >hg38_chr2:83745043-83745053(-) TGACAATGTCC >hg38_chr2:83802892-83802902(-) taacgatgcga >hg38_chr2:83827878-83827888(+) TAACAATAAAA >hg38_chr2:83931600-83931610(-) ttataatggtc >hg38_chr2:83986169-83986179(+) ctataatggta >hg38_chr2:83986191-83986201(+) gtataatgtaa >hg38_chr2:84096407-84096417(+) aaacaatggaa >hg38_chr2:84139047-84139057(+) gaacagtgggt >hg38_chr2:84139362-84139372(+) ccacaatgtga >hg38_chr2:84175509-84175519(-) TGACAATAGCA >hg38_chr2:84215934-84215944(+) TTACAATGACT >hg38_chr2:84221358-84221368(-) agacaatgact >hg38_chr2:84221371-84221381(-) gaacactggat >hg38_chr2:84245726-84245736(-) CAACAATGCAT >hg38_chr2:84283883-84283893(+) ATACAATAAAG >hg38_chr2:84297512-84297522(-) acacaatgaca >hg38_chr2:84299914-84299924(+) ccacaatgaga >hg38_chr2:84353134-84353144(+) gaacaaTGGAG >hg38_chr2:84429050-84429060(-) TTACAATGATG >hg38_chr2:84443513-84443523(+) AGACAATAACA >hg38_chr2:84449262-84449272(+) taacaatacgg >hg38_chr2:84487536-84487546(+) CAACAATGCTT >hg38_chr2:84524470-84524480(-) acacaatgaca >hg38_chr2:84525051-84525061(-) TTATAATGGCT >hg38_chr2:84529877-84529887(-) AAACTATGGTG >hg38_chr2:84565695-84565705(-) caacaatagtg >hg38_chr2:84597015-84597025(+) atacaatagta >hg38_chr2:84597069-84597079(-) ctacaaaggga >hg38_chr2:84655334-84655344(-) TAACAATGTAT >hg38_chr2:84656451-84656461(-) tgacaatgcca >hg38_chr2:84656500-84656510(-) aaacaatatac >hg38_chr2:84694528-84694538(+) TTACAATCGGG >hg38_chr2:84735078-84735088(-) gaacaatagac >hg38_chr2:84739008-84739018(-) ctacaatgcat >hg38_chr2:84779405-84779415(-) taacaaaggac >hg38_chr2:84779439-84779449(+) ctacaatataa >hg38_chr2:84805453-84805463(-) gtacaatgttg >hg38_chr2:84838779-84838789(-) TGACAATGAGC >hg38_chr2:84855883-84855893(+) AAACAAAGGCC >hg38_chr2:84902191-84902201(-) aaacaaaggag >hg38_chr2:84902324-84902334(+) GTACAattgaa >hg38_chr2:84904742-84904752(+) ctacaatgggt >hg38_chr2:84920022-84920032(+) aaacactggac >hg38_chr2:84920029-84920039(+) ggacaaaggga >hg38_chr2:84926162-84926172(+) GGACAAAGGGC >hg38_chr2:84968262-84968272(-) ATACTATGGCC >hg38_chr2:84977376-84977386(-) GTACAATAAGT >hg38_chr2:84987272-84987282(+) GAACAATGAAA >hg38_chr2:84995578-84995588(-) taacaaaggat >hg38_chr2:85014919-85014929(-) CAACAATAGCC >hg38_chr2:85015306-85015316(-) ggacaatgtgg >hg38_chr2:85015473-85015483(-) CAACAATGGAC >hg38_chr2:85019368-85019378(+) GGACAATGGGC >hg38_chr2:85024817-85024827(-) ggacaataaat >hg38_chr2:85035428-85035438(-) TCACAATGCAT >hg38_chr2:85035561-85035571(-) TGACAATGGAT >hg38_chr2:85035574-85035584(-) CTACAATGAGA >hg38_chr2:85053426-85053436(+) AGACAATGTCC >hg38_chr2:85081819-85081829(+) tgacaaaggaa >hg38_chr2:85130317-85130327(+) ccacaatgtca >hg38_chr2:85135119-85135129(-) ACACAATACGC >hg38_chr2:85175205-85175215(+) GTACAATGCTC >hg38_chr2:85175555-85175565(+) CAACAATGAGG >hg38_chr2:85179869-85179879(-) TAACAATAGTG >hg38_chr2:85215316-85215326(-) TGACAATGACA >hg38_chr2:85220979-85220989(-) taacaatatat >hg38_chr2:85221033-85221043(-) taacaatagac >hg38_chr2:85232185-85232195(-) CGAGAATGGAT >hg38_chr2:85232194-85232204(-) AGACAAAGGCG >hg38_chr2:85256025-85256035(-) GGACAATGCTC >hg38_chr2:85266593-85266603(-) AAACAAAGGCC >hg38_chr2:85291049-85291059(-) ggacaaaggga >hg38_chr2:85294514-85294524(-) AGACAATGTCC >hg38_chr2:85429388-85429398(+) GAACAATGGAG >hg38_chr2:85437769-85437779(+) AAACAAAGGGC >hg38_chr2:85455938-85455948(+) GAACAATAGCT >hg38_chr2:85458046-85458056(+) ATACAATAGGA >hg38_chr2:85468878-85468888(+) AAACAATGGAT >hg38_chr2:85474217-85474227(+) gcacaatgtgt >hg38_chr2:85535168-85535178(-) TGACAATAGCT >hg38_chr2:85537742-85537752(-) AAACAAAGGAA >hg38_chr2:85561672-85561682(-) TAACAATGCCC >hg38_chr2:85750615-85750625(+) CCACAATGGGC >hg38_chr2:85753752-85753762(+) GGACAAAGGAG >hg38_chr2:85754786-85754796(+) TTACAATAACC >hg38_chr2:85794244-85794254(+) gaacaatgctc >hg38_chr2:85794252-85794262(-) atacaatggag >hg38_chr2:85796898-85796908(-) GAACAATGGGG >hg38_chr2:85827590-85827600(-) TTACAATGGTC >hg38_chr2:85828331-85828341(-) tgacaaaggcg >hg38_chr2:85843629-85843639(-) GTACAATGAGA >hg38_chr2:85844220-85844230(+) AAACAATGAGG >hg38_chr2:85893934-85893944(+) GCACAAAGGAA >hg38_chr2:86036656-86036666(+) TGACAAAGGGA >hg38_chr2:86057599-86057609(+) aaacaatggaa >hg38_chr2:86171536-86171546(+) GGACAATGGTT >hg38_chr2:86175616-86175626(+) AGACAATGTTA >hg38_chr2:86183217-86183227(+) GCACAATGGAT >hg38_chr2:86183867-86183877(-) taacaatggaa >hg38_chr2:86192540-86192550(-) taacaatagtc >hg38_chr2:86192584-86192594(-) ccacaatggaa >hg38_chr2:86195847-86195857(+) AAACAATGGAA >hg38_chr2:86201871-86201881(-) TTACAATGGCC >hg38_chr2:86201901-86201911(-) GTACAAAGGTG >hg38_chr2:86235498-86235508(+) ATACAAAGGTT >hg38_chr2:86235539-86235549(+) ACACAAAGGTT >hg38_chr2:86238422-86238432(+) ACACAATGATA >hg38_chr2:86238442-86238452(-) agacaatagCA >hg38_chr2:86263637-86263647(-) AAACAATGCAC >hg38_chr2:86296046-86296056(+) AAACAATATAC >hg38_chr2:86373047-86373057(-) gaacaatgcct >hg38_chr2:86383304-86383314(-) gaacaatatga >hg38_chr2:86383386-86383396(-) ATATAAtggta >hg38_chr2:86393899-86393909(+) tcacaatggac >hg38_chr2:86442441-86442451(+) TAATAATGGGC >hg38_chr2:86443076-86443086(-) GTACAATGGCT >hg38_chr2:86461496-86461506(-) atacagtggat >hg38_chr2:86481566-86481576(-) gtacaatgctt >hg38_chr2:86492560-86492570(-) ATACAATAAGA >hg38_chr2:86514618-86514628(+) TAACAATATGT >hg38_chr2:86520754-86520764(+) GGACAAAGGTA >hg38_chr2:86522105-86522115(+) tgacaatgtat >hg38_chr2:86526105-86526115(-) TAACAATATGT >hg38_chr2:86584924-86584934(+) ctacaatgtgg >hg38_chr2:86585280-86585290(+) TTACAATGGTT >hg38_chr2:86585295-86585305(+) TTACAATGGGG >hg38_chr2:86594736-86594746(-) GCATAATGGGA >hg38_chr2:86594751-86594761(+) AAACAAAGGGA >hg38_chr2:86697842-86697852(-) AGACAATGTAG >hg38_chr2:86750443-86750453(-) aaactatggag >hg38_chr2:86759051-86759061(+) TTACAGTGGAA >hg38_chr2:86761227-86761237(+) taacaatgatc >hg38_chr2:86831928-86831938(-) GCACAATGAAG >hg38_chr2:86831945-86831955(+) TTACAATGCCA >hg38_chr2:86831976-86831986(-) TTACAATGGCC >hg38_chr2:88003187-88003197(-) ACACAAAGGGA >hg38_chr2:88025692-88025702(+) aaacaatgttt >hg38_chr2:88030591-88030601(+) ccacaatgaga >hg38_chr2:88036257-88036267(-) TTACAATGGCT >hg38_chr2:88067866-88067876(+) TGACAATAGGG >hg38_chr2:88082927-88082937(+) caacaatgaac >hg38_chr2:88082951-88082961(+) aaacaatgaac >hg38_chr2:88095262-88095272(+) GAACAAAGGAA >hg38_chr2:88149524-88149534(+) gaacaaaggat >hg38_chr2:88254545-88254555(-) taacaatgcaC >hg38_chr2:88281560-88281570(-) TAACAAAGGAG >hg38_chr2:88321605-88321615(+) GCACAATGGGA >hg38_chr2:88340403-88340413(-) TAACAATACAT >hg38_chr2:88350366-88350376(+) GGACAAAGGGC >hg38_chr2:88376023-88376033(-) gaacaatgctt >hg38_chr2:88376995-88377005(+) CTACAATGAGC >hg38_chr2:88443304-88443314(-) TTACAATAATA >hg38_chr2:88518862-88518872(+) AGACAATGAGA >hg38_chr2:88550254-88550264(+) CAACAAAGGCG >hg38_chr2:88583813-88583823(+) AGACAATGCAC >hg38_chr2:88601194-88601204(-) TAACAAAGGGG >hg38_chr2:88605250-88605260(-) TCACAATGAAT >hg38_chr2:88628292-88628302(-) gtacaaagcgt >hg38_chr2:88631597-88631607(+) gtacaataaac >hg38_chr2:88631766-88631776(+) tcacaatagat >hg38_chr2:88657949-88657959(-) TCACAATGCAA >hg38_chr2:88658942-88658952(+) ACACAAAGGTC >hg38_chr2:88674687-88674697(+) caacaatgagg >hg38_chr2:88749948-88749958(+) AAACAATGTTT >hg38_chr2:88750890-88750900(-) AAACAATATAA >hg38_chr2:88834497-88834507(-) ttacaatgcca >hg38_chr2:88843022-88843032(-) TGACAATGCTT >hg38_chr2:88843384-88843394(-) aaacaataata >hg38_chr2:88875818-88875828(+) caacaatagac >hg38_chr2:89000453-89000463(-) tgacaatgggc >hg38_chr2:90070673-90070683(-) GAACAATGTCT >hg38_chr2:90191740-90191750(+) TCACAATGAGC >hg38_chr2:90191785-90191795(-) ACACAATAGGT >hg38_chr2:94913293-94913303(-) aaacaatagca >hg38_chr2:95111701-95111711(-) taacaatggta >hg38_chr2:95164468-95164478(-) taactatggcc >hg38_chr2:95164487-95164497(-) gtacaatggag >hg38_chr2:95204358-95204368(-) acacaatggta >hg38_chr2:95207571-95207581(-) CGACAAAGGCA >hg38_chr2:95231904-95231914(-) ACACAATAGCA >hg38_chr2:95315199-95315209(+) GGATAATGGGA >hg38_chr2:95564714-95564724(-) ATACAATGTAC >hg38_chr2:95663807-95663817(-) GGACAATGATT >hg38_chr2:95663821-95663831(+) GGACAATGGCC >hg38_chr2:95710136-95710146(-) atacaatgtct >hg38_chr2:96094699-96094709(+) agacaatatat >hg38_chr2:96152534-96152544(+) ggacaatggga >hg38_chr2:96329549-96329559(-) atacaaaGGAG >hg38_chr2:96329582-96329592(+) TGACAAAGGGA >hg38_chr2:96336231-96336241(-) ATACAACGGTA >hg38_chr2:96360025-96360035(+) ACACAATGCTG >hg38_chr2:96408739-96408749(+) tcacaatgaag >hg38_chr2:96409381-96409391(-) taataatgggc >hg38_chr2:96436649-96436659(+) tgataatggcc >hg38_chr2:96437133-96437143(-) tgacaatggaa >hg38_chr2:96478096-96478106(+) aaacaatggga >hg38_chr2:96478104-96478114(+) ggacaatgaat >hg38_chr2:96534901-96534911(-) TTACAATGGCC >hg38_chr2:96538779-96538789(-) CAATAATGGTA >hg38_chr2:96565236-96565246(+) AAACAATGCCT >hg38_chr2:96583881-96583891(-) caacaataccg >hg38_chr2:96648819-96648829(+) ttacaatggat >hg38_chr2:96648850-96648860(+) ttacaatgcgt >hg38_chr2:96679681-96679691(-) aaacaatggaa >hg38_chr2:96711079-96711089(+) GGACAAAGGGT >hg38_chr2:96715973-96715983(+) gtacaatgtct >hg38_chr2:96716286-96716296(+) gaacaatgtaa >hg38_chr2:96716988-96716998(+) ggacaataggt >hg38_chr2:96717024-96717034(+) agataatggta >hg38_chr2:96763096-96763106(+) GAACAATGCCA >hg38_chr2:96832991-96833001(-) ACACAAAGGCA >hg38_chr2:96855230-96855240(+) agacaaaggga >hg38_chr2:96868968-96868978(+) AAACAAAGGGT >hg38_chr2:96953311-96953321(+) AAACAATGTCT >hg38_chr2:96954690-96954700(+) ATACAATAGAA >hg38_chr2:96977777-96977787(+) TAACAATGTAA >hg38_chr2:96977818-96977828(-) GAACAAAGGCC >hg38_chr2:97590970-97590980(-) TAACAATCGCA >hg38_chr2:97653510-97653520(+) caacaatgatc >hg38_chr2:97675867-97675877(+) GAACAATGCTG >hg38_chr2:97700425-97700435(-) GAACAATGGAG >hg38_chr2:97704514-97704524(-) acacaatggag >hg38_chr2:97704591-97704601(-) tcacaatagcc >hg38_chr2:97746714-97746724(+) aaacaatggag >hg38_chr2:97770645-97770655(+) ATACAATGTAA >hg38_chr2:97783593-97783603(+) atataatgtat >hg38_chr2:97844823-97844833(+) AAACAATAGGG >hg38_chr2:97855303-97855313(-) TAATAATGGTG >hg38_chr2:97891791-97891801(+) ctacaatgttt >hg38_chr2:97902411-97902421(-) caacaatgaga >hg38_chr2:97929794-97929804(+) GTACAATAAGC >hg38_chr2:97935990-97936000(+) gaacaatgctg >hg38_chr2:97961255-97961265(-) atacaatgaaa >hg38_chr2:97961275-97961285(+) atacaatcggt >hg38_chr2:97961324-97961334(+) atacaatatac >hg38_chr2:97963237-97963247(-) AAACAATGCTG >hg38_chr2:97981839-97981849(+) tcacaatgttt >hg38_chr2:98002020-98002030(+) GAACAATGTAT >hg38_chr2:98025904-98025914(-) atacaatgaca >hg38_chr2:98028215-98028225(-) AGACAATAGGT >hg38_chr2:98028226-98028236(-) AGACAATGAGA >hg38_chr2:98028240-98028250(+) GAACAATGCTT >hg38_chr2:98110244-98110254(-) gaacaataaaa >hg38_chr2:98110694-98110704(-) gtacaatgtgc >hg38_chr2:98169553-98169563(-) acacactggta >hg38_chr2:98179523-98179533(+) taacaaagggg >hg38_chr2:98190332-98190342(-) caacaatagaa >hg38_chr2:98190991-98191001(-) gaacaatatca >hg38_chr2:98227770-98227780(+) ttacaatgggg >hg38_chr2:98242233-98242243(+) ACACAATGCTG >hg38_chr2:98263315-98263325(-) caacaatgtcc >hg38_chr2:98263373-98263383(+) gtacaatagta >hg38_chr2:98282236-98282246(-) GTATAATGGTT >hg38_chr2:98282254-98282264(-) GCACAATGGCC >hg38_chr2:98286298-98286308(+) atacaatgttg >hg38_chr2:98286962-98286972(+) gaacaatggga >hg38_chr2:98286994-98287004(+) tcacaatgcca >hg38_chr2:98437939-98437949(+) acacaatgtgc >hg38_chr2:98438648-98438658(-) tgacaataata >hg38_chr2:98439513-98439523(-) ggacaatagca >hg38_chr2:98439526-98439536(+) ctataatggat >hg38_chr2:98440084-98440094(-) aaacaaaggaa >hg38_chr2:98442663-98442673(-) tgacaatgtaa >hg38_chr2:98454312-98454322(-) AAATAATGGGG >hg38_chr2:98459684-98459694(+) ggacaatagct >hg38_chr2:98461822-98461832(-) GGACAATGGAA >hg38_chr2:98488062-98488072(-) acacaatgaat >hg38_chr2:98494834-98494844(+) aaacaatagag >hg38_chr2:98502716-98502726(+) GAACAAAGGGA >hg38_chr2:98527769-98527779(-) agataatggtt >hg38_chr2:98557267-98557277(+) TAATAATGGGA >hg38_chr2:98598948-98598958(-) gaacaatagtg >hg38_chr2:98599532-98599542(+) CAACAATGACC >hg38_chr2:98611490-98611500(+) aaacaatgggg >hg38_chr2:98629203-98629213(-) GGACAATGGAT >hg38_chr2:98649559-98649569(+) GAACAAAGGCC >hg38_chr2:98679782-98679792(+) AAACAATGAGT >hg38_chr2:98687408-98687418(+) ACACTATGGAG >hg38_chr2:98691495-98691505(-) ttacaatggct >hg38_chr2:98691513-98691523(+) acacaatgcat >hg38_chr2:98721113-98721123(+) ggacaaaggca >hg38_chr2:98730191-98730201(-) GCACAATGTAT >hg38_chr2:98814919-98814929(-) atataatgata >hg38_chr2:98819423-98819433(+) CTACAATAGCT >hg38_chr2:98842696-98842706(+) gcacaatgcat >hg38_chr2:98860931-98860941(+) agacaaaggat >hg38_chr2:98912287-98912297(-) AGACAATGCAT >hg38_chr2:98917267-98917277(-) tcacaatgtta >hg38_chr2:98944953-98944963(-) GAACAAAGGAG >hg38_chr2:98994029-98994039(-) AAACAATGTTG >hg38_chr2:99030716-99030726(+) tgacaatgaca >hg38_chr2:99030745-99030755(+) ttacaatagct >hg38_chr2:99038230-99038240(+) aaacaataaca >hg38_chr2:99043695-99043705(+) aaacaatggaa >hg38_chr2:99054294-99054304(+) tgataatggga >hg38_chr2:99079031-99079041(+) TAACAATGAAT >hg38_chr2:99091426-99091436(+) gcacaatgaat >hg38_chr2:99091884-99091894(+) aaacaatggat >hg38_chr2:99112186-99112196(-) acacaatggca >hg38_chr2:99118879-99118889(-) GTACTATGGAA >hg38_chr2:99118895-99118905(-) acacaatgaGA >hg38_chr2:99280775-99280785(+) GTACCATGGAC >hg38_chr2:99281056-99281066(+) TAATAATGgca >hg38_chr2:99337183-99337193(-) GGACAATGAAG >hg38_chr2:99347614-99347624(-) tcacaatgaaa >hg38_chr2:99347637-99347647(+) ttacaatggat >hg38_chr2:99350222-99350232(-) ttacaatagcc >hg38_chr2:99350585-99350595(-) aaacaatgggc >hg38_chr2:99350616-99350626(-) caacaatagca >hg38_chr2:99357473-99357483(+) GCACAATGCCT >hg38_chr2:99357514-99357524(-) TAACAATATAA >hg38_chr2:99357547-99357557(+) TAATAATGTAC >hg38_chr2:99360127-99360137(-) GCACAATGAGA >hg38_chr2:99360560-99360570(-) TAACAAAGGTC >hg38_chr2:99361878-99361888(-) GCACAATGATT >hg38_chr2:99368012-99368022(+) atacaatggaa >hg38_chr2:99368030-99368040(+) caacaatgaaa >hg38_chr2:99382144-99382154(-) AAACAATGGGG >hg38_chr2:99446088-99446098(+) TTACAATGACA >hg38_chr2:99446096-99446106(+) ACATAATGGAC >hg38_chr2:99461936-99461946(+) tgacaataggc >hg38_chr2:99472126-99472136(+) tcacaatagcc >hg38_chr2:99472951-99472961(-) AGACAATGATG >hg38_chr2:99496141-99496151(-) GAACAATAGCG >hg38_chr2:99570294-99570304(-) AGACAATATAT >hg38_chr2:99648762-99648772(+) AGACAATGTGG >hg38_chr2:99661168-99661178(+) GGACAAAGGGC >hg38_chr2:99661196-99661206(+) TAACAATGTCC >hg38_chr2:99662897-99662907(+) GCACAATGATT >hg38_chr2:99705189-99705199(+) CCACAATGCAC >hg38_chr2:99706550-99706560(-) GAACAATGAAA >hg38_chr2:99706577-99706587(+) ACACAATGCAC >hg38_chr2:99708515-99708525(+) GAACAATGCAA >hg38_chr2:99726010-99726020(-) ATATAATGGGA >hg38_chr2:99738386-99738396(-) aaacaatagaa >hg38_chr2:99821785-99821795(-) taacaatgttt >hg38_chr2:99837023-99837033(+) AAACAATAGAG >hg38_chr2:99864663-99864673(+) caacaatgagc >hg38_chr2:99881187-99881197(+) GCACAAAGGAC >hg38_chr2:99881194-99881204(+) GGACAATAAAA >hg38_chr2:99957762-99957772(+) AAACAAAGGCT >hg38_chr2:99984223-99984233(+) ACACAATGTAT >hg38_chr2:99988035-99988045(+) gaacaataaaa >hg38_chr2:99988117-99988127(+) gaacaaaggga >hg38_chr2:100014554-100014564(+) AAACAATGTGA >hg38_chr2:100035906-100035916(+) TTACAATAGTA >hg38_chr2:100036215-100036225(+) ACACAATGGCA >hg38_chr2:100036235-100036245(+) TCACAATGTGC >hg38_chr2:100053692-100053702(+) GCACAAAGGGA >hg38_chr2:100066659-100066669(+) TAATAATGGCA >hg38_chr2:100105917-100105927(-) GAACAAAGGGG >hg38_chr2:100106547-100106557(+) GAACAAAGGCT >hg38_chr2:100119513-100119523(+) aaacaatggta >hg38_chr2:100119547-100119557(+) aaacaatgaaa >hg38_chr2:100119563-100119573(+) caacaatagtt >hg38_chr2:100174110-100174120(+) GGACAATGGCC >hg38_chr2:100182632-100182642(+) GTATAATGGCC >hg38_chr2:100183107-100183117(-) GGACAATGGCC >hg38_chr2:100183158-100183168(-) GCACAATGGGA >hg38_chr2:100188573-100188583(-) taacaatgtaa >hg38_chr2:100197841-100197851(-) TGATAATGGTG >hg38_chr2:100225098-100225108(+) gaactatggga >hg38_chr2:100286036-100286046(+) GAACAAAGGCT >hg38_chr2:100338101-100338111(-) caacaatgcca >hg38_chr2:100367501-100367511(-) gaacaatggct >hg38_chr2:100413003-100413013(-) AAACAATGCAG >hg38_chr2:100413211-100413221(-) GAACAAAGGGG >hg38_chr2:100424615-100424625(+) GCACAGTGGCG >hg38_chr2:100451576-100451586(-) AGATAATGGCT >hg38_chr2:100502967-100502977(-) cgacaatgaat >hg38_chr2:100502978-100502988(+) aaacaatgaag >hg38_chr2:100516416-100516426(+) CCACAATAGTC >hg38_chr2:100524543-100524553(+) CAATAATGGAA >hg38_chr2:100548932-100548942(-) ccacaatggga >hg38_chr2:100563114-100563124(-) AGACAAAGGAA >hg38_chr2:100584956-100584966(+) ggacaatggta >hg38_chr2:100604117-100604127(-) AAACAATGGCA >hg38_chr2:100606951-100606961(+) gaacaaaggaa >hg38_chr2:100634941-100634951(-) gtacaaaggca >hg38_chr2:100639717-100639727(+) AGACAATGCAG >hg38_chr2:100688070-100688080(-) ctataatggct >hg38_chr2:100718522-100718532(+) ccacaatgaga >hg38_chr2:100853726-100853736(+) GAACAAAGGGG >hg38_chr2:100875777-100875787(+) GAACAATGGCA >hg38_chr2:100909769-100909779(-) TCACAATGCCT >hg38_chr2:100949473-100949483(+) CAACAATGGTA >hg38_chr2:101026367-101026377(+) AAACAATAAAA >hg38_chr2:101087763-101087773(+) gtacaatgtaa >hg38_chr2:101127837-101127847(-) CAACAATGGGA >hg38_chr2:101152331-101152341(+) taacaaaggaa >hg38_chr2:101163155-101163165(+) agacaaaggaa >hg38_chr2:101172019-101172029(-) atacaatgtgg >hg38_chr2:101176238-101176248(-) TGACAATGAAA >hg38_chr2:101176271-101176281(+) GAACAATGATT >hg38_chr2:101327864-101327874(+) GCACAAAGGAA >hg38_chr2:101330558-101330568(-) GAACAAAGGCT >hg38_chr2:101341742-101341752(+) tcacaatagaa >hg38_chr2:101372981-101372991(+) agacaataaca >hg38_chr2:101385622-101385632(+) TGATAATGGTG >hg38_chr2:101397003-101397013(-) CAACAATGTCT >hg38_chr2:101442294-101442304(-) atacaatacac >hg38_chr2:101442325-101442335(-) aaacaatggga >hg38_chr2:101486679-101486689(+) AAACAATGGCT >hg38_chr2:101517542-101517552(+) CTACAATAGAA >hg38_chr2:101546382-101546392(+) AAACAATGACT >hg38_chr2:101546424-101546434(-) gtacaatgcca >hg38_chr2:101558993-101559003(-) TAACAATAAGC >hg38_chr2:101697435-101697445(-) GAACAATGGCC >hg38_chr2:101705078-101705088(+) TCACAATGATA >hg38_chr2:101705412-101705422(+) ACACAATGAAT >hg38_chr2:101709702-101709712(-) AGACAATAGCT >hg38_chr2:101740877-101740887(+) TAACAATAGTA >hg38_chr2:101752971-101752981(+) GGACAATGCCA >hg38_chr2:101753015-101753025(+) Taacaatagcc >hg38_chr2:101753029-101753039(-) acacaataaat >hg38_chr2:101755284-101755294(-) AGACAAAGGGC >hg38_chr2:101767204-101767214(+) AAACAATGGGC >hg38_chr2:101772041-101772051(-) GGACAATAGGG >hg38_chr2:101773293-101773303(+) AGACAATGCCA >hg38_chr2:101781267-101781277(-) TTACAAAGGAT >hg38_chr2:101797139-101797149(+) GCACAATGTAA >hg38_chr2:101800672-101800682(-) AAACCATGGTA >hg38_chr2:101804110-101804120(-) GAACAATAGAA >hg38_chr2:101828132-101828142(-) GAACAATGCCT >hg38_chr2:101830278-101830288(-) tcacaatgcta >hg38_chr2:101834938-101834948(-) CAACAATGAAT >hg38_chr2:101834974-101834984(+) GAACAAAGGAA >hg38_chr2:101835514-101835524(-) atacaatgcct >hg38_chr2:101851838-101851848(-) agacaaTGTGG >hg38_chr2:101864201-101864211(-) TAACTATGGAA >hg38_chr2:101864591-101864601(-) AAACAATGGTC >hg38_chr2:101881894-101881904(+) ccacaatgtat >hg38_chr2:101893654-101893664(+) GCATAATGGTC >hg38_chr2:101893887-101893897(-) CAACAATGGAT >hg38_chr2:101893922-101893932(-) GGACAAAGGGT >hg38_chr2:101903170-101903180(+) taacaataatt >hg38_chr2:101914191-101914201(+) atacaatgtag >hg38_chr2:101988119-101988129(+) TAACAAAGGCT >hg38_chr2:102025848-102025858(-) AGATAATGGGG >hg38_chr2:102027802-102027812(-) GAATAATGGAG >hg38_chr2:102046535-102046545(+) GGACAATGCAG >hg38_chr2:102050183-102050193(-) ACACAATAAAA >hg38_chr2:102098994-102099004(+) ATATAATGAAT >hg38_chr2:102166133-102166143(+) TGACAATATAC >hg38_chr2:102241850-102241860(+) tgataatggta >hg38_chr2:102241859-102241869(-) taacaatgcta >hg38_chr2:102277573-102277583(+) gcacaatgagc >hg38_chr2:102277581-102277591(-) ttacaatggct >hg38_chr2:102288324-102288334(-) aaacaatatat >hg38_chr2:102300631-102300641(-) gtacaatatca >hg38_chr2:102305083-102305093(-) GAACAGTGGGT >hg38_chr2:102391882-102391892(-) gaacaataggc >hg38_chr2:102391882-102391892(-) gaacaataggc >hg38_chr2:102475017-102475027(+) GGACACTGGTA >hg38_chr2:102489812-102489822(-) TAACAATGTGA >hg38_chr2:102541092-102541102(+) AAACAATGCTT >hg38_chr2:102544171-102544181(+) CCACAATGGAC >hg38_chr2:102586287-102586297(+) GCACAATGGAC >hg38_chr2:102618886-102618896(+) GCACAATGTGG >hg38_chr2:102711190-102711200(-) GTACAATAAAT >hg38_chr2:102769461-102769471(+) AAACAATAAGT >hg38_chr2:102776264-102776274(-) atactatggtg >hg38_chr2:102778898-102778908(-) atacaatatat >hg38_chr2:102778928-102778938(-) aaacaatggaa >hg38_chr2:102808858-102808868(+) ttataatgacg >hg38_chr2:102810474-102810484(-) AAACAAAGGGC >hg38_chr2:102829942-102829952(+) CCACAATAGGT >hg38_chr2:102836498-102836508(+) aaacaatgatc >hg38_chr2:102851124-102851134(+) TGACAATGTGC >hg38_chr2:102891747-102891757(+) AAACAATGAAA >hg38_chr2:102891805-102891815(-) ACACAAAGGGA >hg38_chr2:102891824-102891834(+) TCACAATGCAC >hg38_chr2:102893174-102893184(+) gaacaataact >hg38_chr2:102932710-102932720(+) ACACAATGTAG >hg38_chr2:102934102-102934112(-) GAACAATAATA >hg38_chr2:102934111-102934121(-) TAACAAAGGGA >hg38_chr2:103031274-103031284(-) ATACAATAAAC >hg38_chr2:103094150-103094160(+) tcacaatggga >hg38_chr2:103140179-103140189(+) AAACAATAGGG >hg38_chr2:103162400-103162410(+) AGACAATGGAT >hg38_chr2:103264320-103264330(-) gcacaatgtct >hg38_chr2:103320022-103320032(+) CCACAATGATA >hg38_chr2:103328172-103328182(-) GAACAATAGTT >hg38_chr2:103384290-103384300(-) TCACAATGGCC >hg38_chr2:103401987-103401997(-) TGACAAAGGAA >hg38_chr2:103459431-103459441(-) CCACAATACGT >hg38_chr2:103485055-103485065(+) gaacaaaggtc >hg38_chr2:103569861-103569871(+) GAACAAAGGGA >hg38_chr2:103740052-103740062(+) GTACAATGCAG >hg38_chr2:103776841-103776851(+) agacaatgtaa >hg38_chr2:103784005-103784015(+) CAACAATGTGT >hg38_chr2:103785549-103785559(-) ACACAATGAAT >hg38_chr2:103785629-103785639(-) TAACAAAGGAA >hg38_chr2:103789450-103789460(+) TAACAATGATT >hg38_chr2:103818283-103818293(-) TAACAATTGTA >hg38_chr2:103874146-103874156(-) TGACAATAGGC >hg38_chr2:103880298-103880308(-) TTACAATAAAC >hg38_chr2:104026943-104026953(-) GTACAATCGGT >hg38_chr2:104026953-104026963(-) GGACAATGTTG >hg38_chr2:104040999-104041009(+) TCACAATGAAA >hg38_chr2:104052501-104052511(+) GTATAATGGAC >hg38_chr2:104052522-104052532(+) GTACAATAGCA >hg38_chr2:104091958-104091968(+) tgacaatgccc >hg38_chr2:104098543-104098553(-) GGACAATAAGA >hg38_chr2:104145592-104145602(-) ATACAATGGTC >hg38_chr2:104164837-104164847(-) TTACAATGGGG >hg38_chr2:104194567-104194577(+) taacaataata >hg38_chr2:104272146-104272156(-) TGACAATAGGG >hg38_chr2:104272906-104272916(+) AAACAATGTGG >hg38_chr2:104272962-104272972(-) GCACAATAGTT >hg38_chr2:104375319-104375329(-) TCACAATGGCA >hg38_chr2:104378874-104378884(+) gaacagtggga >hg38_chr2:104378885-104378895(+) taacaatgcaa >hg38_chr2:104406430-104406440(-) CAACAATGCAG >hg38_chr2:104417481-104417491(+) TTACAATGCCA >hg38_chr2:104430492-104430502(+) AGACAATAGCG >hg38_chr2:104433730-104433740(+) GTACAATACAC >hg38_chr2:104447720-104447730(-) TGATAATGGGA >hg38_chr2:104472263-104472273(+) acacaatgagc >hg38_chr2:104503708-104503718(-) TAATAATGGGT >hg38_chr2:104521411-104521421(-) GAACAAAGGGC >hg38_chr2:104521466-104521476(+) TAACAATAAGT >hg38_chr2:104600113-104600123(-) gcacaatgtat >hg38_chr2:104688855-104688865(-) ACACAAAGGCA >hg38_chr2:104701504-104701514(+) AGACAATAGTG >hg38_chr2:104702698-104702708(-) CGACAATATCT >hg38_chr2:104703529-104703539(-) AAACAATAGGA >hg38_chr2:104710063-104710073(+) TCACAATGCTT >hg38_chr2:104710083-104710093(-) AGATAATGGAA >hg38_chr2:104769289-104769299(-) AAACAATAGTA >hg38_chr2:104789311-104789321(+) CCACAATAGAT >hg38_chr2:104796255-104796265(+) aaacgatggtg >hg38_chr2:104834647-104834657(-) AAACAATGAAG >hg38_chr2:104834661-104834671(+) CAACAATGCCA >hg38_chr2:104846667-104846677(+) AGACAATAGCT >hg38_chr2:104860735-104860745(-) CAACAATAGCA >hg38_chr2:104866432-104866442(-) GAACAAAGGGG >hg38_chr2:104870549-104870559(-) GAACAATGAAC >hg38_chr2:104870587-104870597(+) AAACTATGGGA >hg38_chr2:104870595-104870605(+) GGACAATGAGC >hg38_chr2:104888093-104888103(+) ATATAATGCGC >hg38_chr2:104931127-104931137(-) GAACAAAGGGC >hg38_chr2:104966140-104966150(-) agaCAATAAAT >hg38_chr2:104969662-104969672(-) gaacaatggat >hg38_chr2:105002129-105002139(+) acacaatgact >hg38_chr2:105003211-105003221(+) AAACAATGCCA >hg38_chr2:105044813-105044823(+) ATACAAAGGGA >hg38_chr2:105062556-105062566(+) TAACAATAGAT >hg38_chr2:105139291-105139301(-) taataatagta >hg38_chr2:105166906-105166916(-) GGACAATGAGG >hg38_chr2:105169155-105169165(+) GAACAATGCCC >hg38_chr2:105203110-105203120(+) gtacagtggGT >hg38_chr2:105228427-105228437(+) atacaatggaa >hg38_chr2:105228948-105228958(+) caacaatgaga >hg38_chr2:105229673-105229683(+) agacaatggaa >hg38_chr2:105238168-105238178(+) AGACAAAGGTT >hg38_chr2:105266025-105266035(-) TAACAATAAAG >hg38_chr2:105266056-105266066(+) GCACAATGAAG >hg38_chr2:105299949-105299959(-) AAACAATAGAC >hg38_chr2:105339231-105339241(-) TAACAATGATT >hg38_chr2:105339279-105339289(-) atacaaaggGG >hg38_chr2:105341006-105341016(-) AGACAATGAGC >hg38_chr2:105371825-105371835(-) TAACAATAAGA >hg38_chr2:105373998-105374008(+) AAACAAAGGGA >hg38_chr2:105376809-105376819(+) atacaatggaa >hg38_chr2:105405052-105405062(-) GAACTATGGGT >hg38_chr2:105415254-105415264(-) acacaatgact >hg38_chr2:105503176-105503186(+) TTACAAAGGCA >hg38_chr2:105584406-105584416(-) gaacaaaggtc >hg38_chr2:105584432-105584442(+) CCACAATGACT >hg38_chr2:105655467-105655477(+) TAACAATTGTA >hg38_chr2:105712800-105712810(+) AGACAATGCAT >hg38_chr2:105771786-105771796(-) AAACAATGGTT >hg38_chr2:105771839-105771849(-) TCACAAAGGAA >hg38_chr2:105809033-105809043(+) TTACAATGTGA >hg38_chr2:105814524-105814534(-) GAACAATGAGC >hg38_chr2:105857800-105857810(+) GGACAAAGGGA >hg38_chr2:105874058-105874068(+) GGACAAAGGGT >hg38_chr2:105874082-105874092(+) AGACAATAGAA >hg38_chr2:105890856-105890866(+) AGACAATGGCC >hg38_chr2:105890869-105890879(-) TAACAAAGGAC >hg38_chr2:105919420-105919430(-) ACACAATAGCT >hg38_chr2:105937893-105937903(-) AAACAATGGCT >hg38_chr2:105950945-105950955(-) GAACAATGCTG >hg38_chr2:105961762-105961772(-) CAACAATGAGG >hg38_chr2:105963583-105963593(+) TAACAATGGGT >hg38_chr2:105964985-105964995(+) gtacaatatta >hg38_chr2:105965039-105965049(-) gaacaaaggtt >hg38_chr2:105978546-105978556(+) ggacaatgact >hg38_chr2:106080419-106080429(-) agacaatagaa >hg38_chr2:106110136-106110146(+) TGACAAAGGAA >hg38_chr2:106110336-106110346(+) CAACAATGCAT >hg38_chr2:106157665-106157675(+) atacaatggag >hg38_chr2:106162516-106162526(-) GAACAATAAGA >hg38_chr2:106163861-106163871(+) TCACAATGCTA >hg38_chr2:106165829-106165839(-) AAACAATGGTC >hg38_chr2:106192842-106192852(+) agacaatggca >hg38_chr2:106283682-106283692(+) acacaaaggcg >hg38_chr2:106286918-106286928(+) agacagtggac >hg38_chr2:106286944-106286954(-) caacaatgcat >hg38_chr2:106295215-106295225(-) CTACAATGAGT >hg38_chr2:106305380-106305390(-) ttacaatgatc >hg38_chr2:106314437-106314447(+) GTATAATGAAT >hg38_chr2:106515266-106515276(+) TAACACTGGAC >hg38_chr2:106566064-106566074(-) ATACAATACAT >hg38_chr2:106572655-106572665(+) AAACAATAGCT >hg38_chr2:106576233-106576243(+) aaacactggaa >hg38_chr2:106602293-106602303(-) aaacaatggga >hg38_chr2:106619196-106619206(+) taacactggga >hg38_chr2:106702312-106702322(-) ctacaatgatt >hg38_chr2:106784839-106784849(-) acacaatgtgt >hg38_chr2:106815606-106815616(+) TTACAATAACA >hg38_chr2:106825126-106825136(-) atacaaaggca >hg38_chr2:106825149-106825159(+) taacaatggtg >hg38_chr2:106855430-106855440(+) GAACAATAGAG >hg38_chr2:106919482-106919492(-) TAACAATATCA >hg38_chr2:106924329-106924339(-) ccacaatgcaa >hg38_chr2:106932480-106932490(+) GTACAATGAGG >hg38_chr2:106936959-106936969(-) ACACAATGGAC >hg38_chr2:106956644-106956654(-) GGACAATGGGT >hg38_chr2:107009837-107009847(+) TTACAAAGGCC >hg38_chr2:107009844-107009854(-) GGACAATGGCC >hg38_chr2:107083049-107083059(+) TAACAATGAAC >hg38_chr2:107083633-107083643(+) AGACAATCGCA >hg38_chr2:107083648-107083658(-) TGACAATGATC >hg38_chr2:107083656-107083666(-) AGACAATGTGA >hg38_chr2:107115686-107115696(+) AGACAATGGTC >hg38_chr2:107135313-107135323(-) caacaatgcag >hg38_chr2:107136415-107136425(+) TTACAATAGTA >hg38_chr2:107243202-107243212(-) GGACAATGGTG >hg38_chr2:107380947-107380957(-) agacaatgggt >hg38_chr2:107386117-107386127(-) CAATAATGGGA >hg38_chr2:107394321-107394331(+) ccaCAATGAAA >hg38_chr2:107409531-107409541(+) TTACTATGGTG >hg38_chr2:107417255-107417265(+) atactatggtg >hg38_chr2:107430959-107430969(+) AGACAATGCAC >hg38_chr2:107502522-107502532(-) AAACAATAGTG >hg38_chr2:107502833-107502843(+) TAACAAAGGAC >hg38_chr2:107507662-107507672(-) aaacaatgtgg >hg38_chr2:107509848-107509858(+) ACACAATGCAA >hg38_chr2:107579366-107579376(-) TAACAATGTCT >hg38_chr2:107579630-107579640(-) ACACAATGACA >hg38_chr2:107615066-107615076(+) ggataatggtt >hg38_chr2:107615108-107615118(+) taacaaaggag >hg38_chr2:107685304-107685314(-) AAACAAAGGAA >hg38_chr2:107685369-107685379(+) GCACAAAGGAC >hg38_chr2:107697574-107697584(-) GCATAATGGTG >hg38_chr2:107700229-107700239(-) GCACAATGTAG >hg38_chr2:107702003-107702013(+) aaacaataact >hg38_chr2:107702020-107702030(+) aaataatgggg >hg38_chr2:107717787-107717797(+) AAACAATAGCA >hg38_chr2:107783672-107783682(+) AGACAATGGGA >hg38_chr2:107793847-107793857(+) aaacaatgtgg >hg38_chr2:107938945-107938955(+) GTACAATAATT >hg38_chr2:107939601-107939611(-) GGACAATGGAG >hg38_chr2:107990338-107990348(+) ACACAATGACG >hg38_chr2:108086477-108086487(+) TTACTATGGCT >hg38_chr2:108096471-108096481(-) ttacaaaggat >hg38_chr2:108128403-108128413(+) ACACAATAGCT >hg38_chr2:108128427-108128437(-) AAACAATGAAC >hg38_chr2:108133674-108133684(-) agacaatggga >hg38_chr2:108139689-108139699(+) AGACAATATAC >hg38_chr2:108162831-108162841(-) GTACAATGCCT >hg38_chr2:108193371-108193381(+) acactatggaa >hg38_chr2:108263503-108263513(-) ttacaatgccc >hg38_chr2:108263542-108263552(-) taacaatgaca >hg38_chr2:108315681-108315691(+) atacaaaggct >hg38_chr2:108320728-108320738(-) GAACAATGGGC >hg38_chr2:108444674-108444684(-) TGATAAtggaa >hg38_chr2:108471408-108471418(+) AAACAATAAAC >hg38_chr2:108535522-108535532(-) ttacaatgggt >hg38_chr2:108589245-108589255(+) gtacaatgtga >hg38_chr2:108589271-108589281(-) gtacaatgtac >hg38_chr2:108589278-108589288(+) gtacaatgacc >hg38_chr2:108593888-108593898(-) CTACAATGGTC >hg38_chr2:108595566-108595576(-) GGACAAAGGCA >hg38_chr2:108595601-108595611(-) gtacaaTGGGA >hg38_chr2:108620624-108620634(-) ACACAATGCTG >hg38_chr2:108620683-108620693(+) TTACAATGAAT >hg38_chr2:108621901-108621911(+) TAACAAAGGAA >hg38_chr2:108623499-108623509(-) TAACAATGCCA >hg38_chr2:108623535-108623545(-) AAACAATGAAT >hg38_chr2:108637281-108637291(-) AAACAATGAAC >hg38_chr2:108823455-108823465(-) taacaatacat >hg38_chr2:108823481-108823491(-) ctacaatgttt >hg38_chr2:108916457-108916467(+) ACACAATGACG >hg38_chr2:108916473-108916483(+) AAACAATGCCT >hg38_chr2:108918736-108918746(+) CCACAATAGCT >hg38_chr2:109020503-109020513(+) GAACAATGGTT >hg38_chr2:109037615-109037625(+) gtacaatagca >hg38_chr2:109037657-109037667(+) taacaatgaac >hg38_chr2:109048509-109048519(+) TAACAATATCT >hg38_chr2:109074010-109074020(+) acacaaaggaa >hg38_chr2:109098586-109098596(-) agacaaagggt >hg38_chr2:109132763-109132773(-) aaacaataaac >hg38_chr2:109135999-109136009(+) ACACAATAGCT >hg38_chr2:109218553-109218563(+) AAACAATAGAC >hg38_chr2:109271676-109271686(-) TGACAATGCAG >hg38_chr2:109349261-109349271(-) GAACAATAGAA >hg38_chr2:109359062-109359072(-) tgacaaaggaa >hg38_chr2:109363413-109363423(-) ttacaatgctc >hg38_chr2:109373451-109373461(+) atacaatggaa >hg38_chr2:109393342-109393352(+) CAACAATGGCA >hg38_chr2:109439168-109439178(-) ACACAATGTTG >hg38_chr2:109439192-109439202(-) TTACTATGGTG >hg38_chr2:109483669-109483679(+) ACACAAAGGAG >hg38_chr2:109546307-109546317(+) ACACAAAGGCG >hg38_chr2:109549519-109549529(-) GAATAATGGAA >hg38_chr2:109575887-109575897(-) GAACAATGTGT >hg38_chr2:109575914-109575924(+) AAACAATGTGA >hg38_chr2:109585329-109585339(+) ACACAAAGGTA >hg38_chr2:109612423-109612433(+) gtactatgggt >hg38_chr2:109667619-109667629(+) CAACAATGATT >hg38_chr2:109668874-109668884(-) TTATAATGGCC >hg38_chr2:109677366-109677376(-) GCAcaaaggcg >hg38_chr2:109699026-109699036(-) gaacaaaggcc >hg38_chr2:109699329-109699339(+) gaacaatgcgg >hg38_chr2:109701589-109701599(+) aaacaataggg >hg38_chr2:109735438-109735448(-) gcataatggcc >hg38_chr2:110219587-110219597(+) GTACAATAGCC >hg38_chr2:110637277-110637287(-) TTACAATGAAA >hg38_chr2:110648758-110648768(-) AAACAATGGTA >hg38_chr2:110651839-110651849(+) atacaataaca >hg38_chr2:110688960-110688970(+) agacaaaggat >hg38_chr2:110689014-110689024(+) taacaaaggca >hg38_chr2:110772267-110772277(+) gcacaatagaa >hg38_chr2:110834292-110834302(-) TGACAATGGCT >hg38_chr2:110837010-110837020(-) ggataatgggg >hg38_chr2:110861847-110861857(-) AAACAATGCTG >hg38_chr2:110895511-110895521(+) aaataatggct >hg38_chr2:110895974-110895984(+) atacaatagac >hg38_chr2:110899927-110899937(-) GCACAATGCGT >hg38_chr2:110942019-110942029(+) tgacaatagca >hg38_chr2:110942059-110942069(-) tcacaatagtg >hg38_chr2:110954628-110954638(-) caacaatagtt >hg38_chr2:110954893-110954903(-) caacaatgaat >hg38_chr2:110991338-110991348(-) taacaataaac >hg38_chr2:111011443-111011453(-) taacaatgttt >hg38_chr2:111055167-111055177(-) AGACAAAGGCA >hg38_chr2:111102492-111102502(-) GGACAATGCAG >hg38_chr2:111106273-111106283(-) ggacagtggaa >hg38_chr2:111106327-111106337(-) gtacaatgacc >hg38_chr2:111123283-111123293(+) AAACAATGGGG >hg38_chr2:111142540-111142550(-) TTACAATGGTG >hg38_chr2:111155779-111155789(-) AAACAATGAAA >hg38_chr2:111164312-111164322(-) TAATAATGGCA >hg38_chr2:111174507-111174517(-) AGACAATAGAA >hg38_chr2:111221168-111221178(+) ACACAATGTTG >hg38_chr2:111221220-111221230(+) gaacaatagtc >hg38_chr2:111269911-111269921(+) TGAcaatgtca >hg38_chr2:111269947-111269957(+) tgacaatgtca >hg38_chr2:111626606-111626616(+) gaacaatagaa >hg38_chr2:111640614-111640624(+) caacaatagaa >hg38_chr2:111644761-111644771(+) gaacaatggaa >hg38_chr2:111644816-111644826(-) ataCAATGTTT >hg38_chr2:111678202-111678212(+) TCACAATAGTT >hg38_chr2:111702775-111702785(+) GGACAATGGAG >hg38_chr2:111888021-111888031(+) AAACAATGGTC >hg38_chr2:111900881-111900891(-) CCACAATGTGT >hg38_chr2:111900933-111900943(+) AGACAATGAGA >hg38_chr2:111908779-111908789(-) gaacaatgtgt >hg38_chr2:111918604-111918614(-) ATACAATATCA >hg38_chr2:111955159-111955169(-) AAACAATCGGC >hg38_chr2:111955184-111955194(-) AAACAATGCTT >hg38_chr2:111976316-111976326(-) ttacaaagggg >hg38_chr2:111986668-111986678(-) GAACAAAGGGC >hg38_chr2:111987477-111987487(-) GTACAAAGACG >hg38_chr2:111987531-111987541(+) GCACTATGGAT >hg38_chr2:112016040-112016050(-) agacaatgtga >hg38_chr2:112031774-112031784(-) GAACAATGGTA >hg38_chr2:112043046-112043056(-) atacaaaggcc >hg38_chr2:112064170-112064180(-) GAACAATGGAA >hg38_chr2:112064196-112064206(-) ACACTATGGTA >hg38_chr2:112064229-112064239(+) TCACAATGAGC >hg38_chr2:112066228-112066238(-) taacaaaggaa >hg38_chr2:112066658-112066668(-) CTACAATGAAA >hg38_chr2:112066684-112066694(-) TTACAATAAGA >hg38_chr2:112109207-112109217(+) TAACAATACAC >hg38_chr2:112192092-112192102(-) ttacaatggaa >hg38_chr2:112192121-112192131(-) aaacaatggta >hg38_chr2:112244079-112244089(+) CCACAATagca >hg38_chr2:112259573-112259583(-) ctacaatgcgc >hg38_chr2:112275147-112275157(+) GAACAAAGGTT >hg38_chr2:112294522-112294532(-) gtacaatgaca >hg38_chr2:112295567-112295577(-) gtataatggaa >hg38_chr2:112492116-112492126(-) ggacaatagat >hg38_chr2:112576311-112576321(-) aaacaaagggg >hg38_chr2:112648490-112648500(-) TTACAATGGAT >hg38_chr2:112698392-112698402(-) caacaataggc >hg38_chr2:112751242-112751252(+) taacattggta >hg38_chr2:112786092-112786102(-) TCACAATGTGC >hg38_chr2:112786141-112786151(+) TGACAATGCTA >hg38_chr2:112818186-112818196(+) aaactatggcc >hg38_chr2:112820418-112820428(+) agacaaaggtt >hg38_chr2:112834491-112834501(+) TGATAATGGTT >hg38_chr2:112853172-112853182(+) TAATAATGGAG >hg38_chr2:112871060-112871070(+) agacaaaggtc >hg38_chr2:112871197-112871207(+) CCACAATGGCA >hg38_chr2:112871252-112871262(-) GCACAATGTGC >hg38_chr2:112872384-112872394(+) tgacaatggca >hg38_chr2:112872408-112872418(+) caacaatggaa >hg38_chr2:112896028-112896038(-) agacaatgagg >hg38_chr2:112935934-112935944(+) gcataatggag >hg38_chr2:113012709-113012719(+) ctacaatggat >hg38_chr2:113069093-113069103(+) TGACAATGAGA >hg38_chr2:113069127-113069137(+) GAACAATGGGA >hg38_chr2:113111090-113111100(-) aaacaatgctt >hg38_chr2:113124750-113124760(-) acacaatgtgc >hg38_chr2:113156646-113156656(-) gaacaaaggga >hg38_chr2:113156667-113156677(-) aaacaaaggtg >hg38_chr2:113165391-113165401(+) TGACAATGGAT >hg38_chr2:113202648-113202658(-) AGACAATGGTG >hg38_chr2:113218454-113218464(-) ACACAAAGGAA >hg38_chr2:113226346-113226356(+) TGACAATGGCT >hg38_chr2:113229379-113229389(+) GCACAATGGAC >hg38_chr2:113240396-113240406(-) GGACAATGGAG >hg38_chr2:113244911-113244921(-) ATACAATGGCT >hg38_chr2:113249307-113249317(-) CAACAATGATG >hg38_chr2:113390543-113390553(+) TCACAATAGCG >hg38_chr2:113690431-113690441(+) aaacaatgttt >hg38_chr2:113717227-113717237(-) TAACAATGCTT >hg38_chr2:113719579-113719589(-) GTACAATGCCT >hg38_chr2:113720459-113720469(+) TCACAATGCAT >hg38_chr2:113730444-113730454(-) AAACAATATAT >hg38_chr2:113754732-113754742(-) TTACAATGCCC >hg38_chr2:113831432-113831442(+) GAACAATGACA >hg38_chr2:113867739-113867749(-) GGACAATAGAA >hg38_chr2:113868212-113868222(-) GGATAATGGAA >hg38_chr2:113876701-113876711(+) GAACAAAGGGG >hg38_chr2:113889485-113889495(+) GAACAAAGGCA >hg38_chr2:113894434-113894444(+) TCACAATAGCT >hg38_chr2:113898015-113898025(+) TTACAATGAGG >hg38_chr2:113928243-113928253(+) atactatggac >hg38_chr2:113938231-113938241(-) caacaatgagg >hg38_chr2:113938275-113938285(-) ggacaataaaa >hg38_chr2:113943210-113943220(+) agataatggtt >hg38_chr2:113943866-113943876(+) gcacaaaggaa >hg38_chr2:113966406-113966416(+) CTATAATGGGT >hg38_chr2:113970882-113970892(-) CAACAATGAAC >hg38_chr2:113970902-113970912(-) AGACAATGCTG >hg38_chr2:113975083-113975093(+) GGACAATGCTA >hg38_chr2:113975110-113975120(+) GAACAAAGGTT >hg38_chr2:113978201-113978211(-) AAACAAAGGTG >hg38_chr2:113992363-113992373(-) tcacaatagag >hg38_chr2:114034969-114034979(-) atacaaaggaa >hg38_chr2:114035040-114035050(-) tcacaatagcc >hg38_chr2:114044459-114044469(+) TTACAATGGCT >hg38_chr2:114063582-114063592(+) tgacaatgcct >hg38_chr2:114082878-114082888(-) GTACAGTGGAT >hg38_chr2:114088668-114088678(+) aaactatggcc >hg38_chr2:114157251-114157261(-) GCACAATGAGG >hg38_chr2:114222633-114222643(+) TCACAATAGCC >hg38_chr2:114246155-114246165(-) GGACAATGTTA >hg38_chr2:114317413-114317423(-) ggacaatgact >hg38_chr2:114368850-114368860(-) ggacagtggaa >hg38_chr2:114368857-114368867(-) agacagtggac >hg38_chr2:114368867-114368877(-) caacaatggaa >hg38_chr2:114392429-114392439(+) agacaatagct >hg38_chr2:114459667-114459677(+) TAACAATGGAT >hg38_chr2:114485172-114485182(-) CAACAATGCAA >hg38_chr2:114493828-114493838(+) GAACGAtggta >hg38_chr2:114537589-114537599(+) CAACAATGCAT >hg38_chr2:114595762-114595772(-) ccacaatggtc >hg38_chr2:114681589-114681599(+) TAACAAAGGGA >hg38_chr2:114710239-114710249(+) ccacaaaggcg >hg38_chr2:114710270-114710280(+) agacaatgaac >hg38_chr2:114717234-114717244(+) aaacaaaggcc >hg38_chr2:114816177-114816187(-) TAACAAAGGGA >hg38_chr2:114821321-114821331(+) ggacaatggtg >hg38_chr2:114822483-114822493(-) agacaacgggg >hg38_chr2:114877559-114877569(-) aaacaatgagc >hg38_chr2:114877595-114877605(+) acacaatgccc >hg38_chr2:114950961-114950971(+) TGACAATAGCA >hg38_chr2:114950982-114950992(+) CTACAATGAAA >hg38_chr2:114951035-114951045(-) GAACAATGCAT >hg38_chr2:114955172-114955182(+) aaacaaagggt >hg38_chr2:115003255-115003265(+) aaacaaaggaa >hg38_chr2:115036999-115037009(-) TGACAATGGCT >hg38_chr2:115077132-115077142(-) ATACAATGCTC >hg38_chr2:115285540-115285550(-) TAACAATGGGT >hg38_chr2:115314267-115314277(+) CAACAATGGCC >hg38_chr2:115315374-115315384(-) TAACAATAACA >hg38_chr2:115427699-115427709(-) agacaatgaga >hg38_chr2:115474820-115474830(+) agacaatgggg >hg38_chr2:115533214-115533224(+) ACATAATGGGA >hg38_chr2:115596024-115596034(+) gaacaaaggac >hg38_chr2:115596804-115596814(+) aaacaatggta >hg38_chr2:115694890-115694900(+) ggacaatgagg >hg38_chr2:115694933-115694943(-) aaacaataaga >hg38_chr2:115739651-115739661(+) GTACAATGGAG >hg38_chr2:115741632-115741642(+) GCACAAAGGGA >hg38_chr2:115836791-115836801(+) TTACAAAGGGA >hg38_chr2:115880669-115880679(+) gaacaataggt >hg38_chr2:116133732-116133742(+) TCACAATGCCG >hg38_chr2:116143041-116143051(+) tcacaatgtta >hg38_chr2:116160626-116160636(-) taacaatgacc >hg38_chr2:116160659-116160669(-) gaacaataggc >hg38_chr2:116380412-116380422(+) ggacaatgatt >hg38_chr2:116400107-116400117(+) taacaatacta >hg38_chr2:116411356-116411366(-) TAACAAAGGCA >hg38_chr2:116585892-116585902(+) CAACAATGGAG >hg38_chr2:116595417-116595427(-) ATACAATGGCT >hg38_chr2:116762036-116762046(-) ATACAATGGCT >hg38_chr2:116767945-116767955(-) atacaaagggc >hg38_chr2:116791966-116791976(+) taacaATGAGG >hg38_chr2:116862210-116862220(-) ccacaatggga >hg38_chr2:117087690-117087700(+) aaacaaaggta >hg38_chr2:117205674-117205684(+) GAACAATGAGG >hg38_chr2:117277436-117277446(+) AAACAAAGGTC >hg38_chr2:117318224-117318234(+) acacaaaggac >hg38_chr2:117318298-117318308(-) tgacaatgtga >hg38_chr2:117517918-117517928(-) GGACAATGGTG >hg38_chr2:117553673-117553683(-) TAACAATAAGC >hg38_chr2:117688903-117688913(+) ACATAATGGAG >hg38_chr2:117693299-117693309(+) TAATAATGCCG >hg38_chr2:117741684-117741694(+) CAACAATAGAA >hg38_chr2:117745534-117745544(+) TTACAAAGGCC >hg38_chr2:117775344-117775354(-) tgacaatgcaa >hg38_chr2:117775713-117775723(+) TGACAATAGAC >hg38_chr2:117817777-117817787(-) GAACAATAACC >hg38_chr2:117817798-117817808(-) ACACAATAGTA >hg38_chr2:117837364-117837374(-) TCACAATATAC >hg38_chr2:117892325-117892335(-) gcacaaaggat >hg38_chr2:118046109-118046119(-) caataatggag >hg38_chr2:118046860-118046870(-) GAACAATGTCC >hg38_chr2:118046926-118046936(+) AAACAATGAAC >hg38_chr2:118062861-118062871(+) ATACAATGGtt >hg38_chr2:118067890-118067900(-) gcacaatggta >hg38_chr2:118083176-118083186(-) ACATAATGGGG >hg38_chr2:118091878-118091888(-) ccacaaTGAGA >hg38_chr2:118115479-118115489(-) TCACAATGAAT >hg38_chr2:118119434-118119444(-) TAACAATAGCA >hg38_chr2:118157390-118157400(+) ctacaatagtt >hg38_chr2:118158735-118158745(-) ttataatggtc >hg38_chr2:118223848-118223858(+) CCACAATGAAA >hg38_chr2:118223900-118223910(+) GAACAATGTCT >hg38_chr2:118258805-118258815(-) tgacaatgctc >hg38_chr2:118294781-118294791(+) AGACAGTGGAC >hg38_chr2:118331878-118331888(+) ACACAATGGCC >hg38_chr2:118331907-118331917(+) TCACAATAGGG >hg38_chr2:118387851-118387861(+) agacaatgcat >hg38_chr2:118433706-118433716(-) ATACAATGCCT >hg38_chr2:118459707-118459717(-) CTACAATGGTG >hg38_chr2:118460625-118460635(-) AAACAATAGCA >hg38_chr2:118479465-118479475(+) GCACAATGCCC >hg38_chr2:118490423-118490433(-) TGACAATGCTA >hg38_chr2:118490429-118490439(-) TAACAATGACA >hg38_chr2:118591838-118591848(-) AGACAATGAGA >hg38_chr2:118636031-118636041(+) caacaatgcGG >hg38_chr2:118648443-118648453(+) CTACAATGCAG >hg38_chr2:118648873-118648883(-) tgacaatataa >hg38_chr2:118668907-118668917(-) CGACAATGCAA >hg38_chr2:118734000-118734010(-) agacaaaggag >hg38_chr2:118755860-118755870(+) GAACAATGAGC >hg38_chr2:118839968-118839978(+) agataatgGGC >hg38_chr2:118851894-118851904(+) ACACAATAGCG >hg38_chr2:118873888-118873898(+) atacaatgcaa >hg38_chr2:118975336-118975346(+) AGACAAAGGGC >hg38_chr2:119008201-119008211(+) atacaaaggca >hg38_chr2:119094809-119094819(-) gaacaaaggat >hg38_chr2:119094820-119094830(-) tgacaatagtg >hg38_chr2:119094844-119094854(+) atacaatgtta >hg38_chr2:119155120-119155130(-) TGACAATGTTC >hg38_chr2:119196637-119196647(+) TGACAATGGAA >hg38_chr2:119196649-119196659(-) ATACAAAGGCT >hg38_chr2:119218704-119218714(-) GAACAATGGGA >hg38_chr2:119229968-119229978(+) GAACAATGAGG >hg38_chr2:119230535-119230545(-) ACATAATGGCT >hg38_chr2:119230547-119230557(-) ATACAAAGGCA >hg38_chr2:119255939-119255949(-) AAACAATGTCC >hg38_chr2:119255966-119255976(-) GAACAGTGGGA >hg38_chr2:119259442-119259452(-) TAACAAAGGGC >hg38_chr2:119317354-119317364(-) TTATAATAGCG >hg38_chr2:119317359-119317369(+) TTATAATGGGA >hg38_chr2:119357735-119357745(+) aaacaatgcaa >hg38_chr2:119371272-119371282(-) ATATAATGGCT >hg38_chr2:119398833-119398843(+) GAACAATGCAA >hg38_chr2:119413764-119413774(-) tgacaatgaac >hg38_chr2:119413797-119413807(-) gaacaatgtct >hg38_chr2:119434793-119434803(-) GAACAAAGGGG >hg38_chr2:119499300-119499310(+) taacaatggat >hg38_chr2:119508871-119508881(-) taacaatgtat >hg38_chr2:119551111-119551121(-) acacaatggaa >hg38_chr2:119591654-119591664(+) GGACAATGCCT >hg38_chr2:119651773-119651783(-) GCATAATGGTA >hg38_chr2:119651785-119651795(-) AAACAATGGTA >hg38_chr2:119759981-119759991(-) GAACAATGTTG >hg38_chr2:119808923-119808933(-) gaacaatgagt >hg38_chr2:119810232-119810242(-) ATACTATGGAT >hg38_chr2:119810501-119810511(-) taacaatgaac >hg38_chr2:119829033-119829043(-) ACACAAtgaat >hg38_chr2:119833244-119833254(-) taacaataagc >hg38_chr2:119846161-119846171(-) caacaatagca >hg38_chr2:119846217-119846227(-) gaacaatgcca >hg38_chr2:119874449-119874459(-) taacaataact >hg38_chr2:119874464-119874474(+) ccacaatgtag >hg38_chr2:119899095-119899105(+) CTACAATGTGC >hg38_chr2:119908375-119908385(-) acacaatgtga >hg38_chr2:119908428-119908438(-) acacaataaaa >hg38_chr2:119947041-119947051(-) tcataatggat >hg38_chr2:119947065-119947075(-) gaacaatgagt >hg38_chr2:119982279-119982289(-) AAACAATGAAA >hg38_chr2:119982517-119982527(+) ATATAATGTAC >hg38_chr2:119982714-119982724(-) CAACAATGTCC >hg38_chr2:120029795-120029805(-) tgacaaaggcg >hg38_chr2:120095077-120095087(-) gaacaatgaaa >hg38_chr2:120095139-120095149(-) agacaatagtt >hg38_chr2:120097718-120097728(-) ATACAATAACT >hg38_chr2:120102641-120102651(+) GAATAATGGAC >hg38_chr2:120108304-120108314(+) TAATAATGTAC >hg38_chr2:120113896-120113906(-) tgacaatggat >hg38_chr2:120134458-120134468(+) aaacaatagtc >hg38_chr2:120243138-120243148(-) GCACAATGCAC >hg38_chr2:120286749-120286759(-) GGACAATGGAG >hg38_chr2:120290932-120290942(+) ACACAGTGGAC >hg38_chr2:120310425-120310435(-) TCACAATGGAA >hg38_chr2:120442180-120442190(+) AAACAAAGGCT >hg38_chr2:120473137-120473147(+) ATACAAAGGAG >hg38_chr2:120476193-120476203(-) GAACAATGACT >hg38_chr2:120500850-120500860(-) ttactatggtc >hg38_chr2:120500879-120500889(-) Caacaatagca >hg38_chr2:120526502-120526512(-) AAACAATGAAT >hg38_chr2:120564667-120564677(-) aaacaaaggat >hg38_chr2:120597133-120597143(-) TTACGATGGGG >hg38_chr2:120660562-120660572(+) AAACAATAGCA >hg38_chr2:120662283-120662293(+) ACACAAAGGAA >hg38_chr2:120662331-120662341(+) GTACAATTGAC >hg38_chr2:120722927-120722937(+) AGACAATGTTC >hg38_chr2:120740529-120740539(-) AGACAATGGCA >hg38_chr2:120741810-120741820(+) AAACAATGGCC >hg38_chr2:120741819-120741829(-) CGACAATGTGG >hg38_chr2:120742376-120742386(+) GGACAATGCAG >hg38_chr2:120786666-120786676(+) TTACAATGTAA >hg38_chr2:120792091-120792101(+) GAACAATGCTC >hg38_chr2:120826045-120826055(-) TAACAAAGGCG >hg38_chr2:120858465-120858475(+) CAACAATGGGC >hg38_chr2:120874638-120874648(-) AAACAATGCAT >hg38_chr2:120879249-120879259(+) GGACAATGGGT >hg38_chr2:120887633-120887643(-) CAACAATGATT >hg38_chr2:120887665-120887675(-) GGATAATGGCC >hg38_chr2:120894069-120894079(-) CCACAATAGGC >hg38_chr2:120899167-120899177(-) TCACAATGAGC >hg38_chr2:120899177-120899187(-) TCACAATGGCT >hg38_chr2:120899886-120899896(-) TCACAATGGCC >hg38_chr2:120920925-120920935(+) CAACAATGAAA >hg38_chr2:120943832-120943842(-) GAACAATGTGG >hg38_chr2:120951918-120951928(-) aCACAATGCCA >hg38_chr2:121085055-121085065(-) GAACAATGGTT >hg38_chr2:121092274-121092284(+) taacaatgtga >hg38_chr2:121132454-121132464(+) GCACAATGACT >hg38_chr2:121185827-121185837(+) GAACAATGGGT >hg38_chr2:121312134-121312144(+) taacaatgaac >hg38_chr2:121341707-121341717(+) caataatggat >hg38_chr2:121376323-121376333(+) acacaatggaa >hg38_chr2:121408870-121408880(+) CAACAATGATG >hg38_chr2:121418826-121418836(-) GAACAATGTCG >hg38_chr2:121424887-121424897(+) GTACAATGACT >hg38_chr2:121427477-121427487(+) AGACAATAACA >hg38_chr2:121427515-121427525(+) ACACAAAGGTC >hg38_chr2:121428916-121428926(+) aaacaatggct >hg38_chr2:121475177-121475187(+) aaacaaaggat >hg38_chr2:121477894-121477904(-) Tgacaatagtc >hg38_chr2:121477974-121477984(-) acacaatgtgc >hg38_chr2:121485242-121485252(+) GAACAATGAAG >hg38_chr2:121486104-121486114(+) acacaaaggca >hg38_chr2:121492897-121492907(+) tcacaatagac >hg38_chr2:121502155-121502165(+) CAACAATAGAC >hg38_chr2:121503336-121503346(+) AAACAATGGTA >hg38_chr2:121503389-121503399(+) Taacaatagcc >hg38_chr2:121503665-121503675(+) TTACAAAGGCT >hg38_chr2:121507059-121507069(+) atacaaaggaa >hg38_chr2:121507767-121507777(+) caacaatggag >hg38_chr2:121507783-121507793(-) gcacaatgagt >hg38_chr2:121508223-121508233(+) tgacaatagca >hg38_chr2:121519877-121519887(+) GGACAATGCAG >hg38_chr2:121520962-121520972(+) caacaatgaaa >hg38_chr2:121577289-121577299(+) tcacaatgcac >hg38_chr2:121634576-121634586(-) GGACAAAGGGA >hg38_chr2:121695275-121695285(+) TGACAAAGGAT >hg38_chr2:121776587-121776597(-) acacaatgaaa >hg38_chr2:121790418-121790428(-) TGACAATGCTA >hg38_chr2:121791526-121791536(+) TTACAATGTTG >hg38_chr2:121791797-121791807(+) TCACAATGTCC >hg38_chr2:121791827-121791837(-) GAACAATGCCT >hg38_chr2:121833024-121833034(-) taacaaaggca >hg38_chr2:121955879-121955889(+) TCACAATGTTG >hg38_chr2:121956094-121956104(-) GCACAAAGGAA >hg38_chr2:121988963-121988973(+) gtacaatggaa >hg38_chr2:122042860-122042870(+) GGACAGTGGAA >hg38_chr2:122103969-122103979(-) aaacaatggga >hg38_chr2:122105313-122105323(-) TGACAATGATG >hg38_chr2:122105602-122105612(-) GAACAAAGGGT >hg38_chr2:122193141-122193151(+) TGACAATGCTG >hg38_chr2:122194628-122194638(+) gaacaatggag >hg38_chr2:122203607-122203617(+) TAACAGTGGGA >hg38_chr2:122352888-122352898(+) caacaatagct >hg38_chr2:122481023-122481033(+) GAACAATGCAG >hg38_chr2:122531484-122531494(+) AGACAATGAGA >hg38_chr2:122620197-122620207(+) taataatggct >hg38_chr2:122623056-122623066(-) ACACAAAGGGA >hg38_chr2:122623072-122623082(-) GCACAAAGGGA >hg38_chr2:122646297-122646307(-) gaacaatagca >hg38_chr2:122661606-122661616(-) AAACAAAGGAA >hg38_chr2:122675415-122675425(+) AAACAATCGAA >hg38_chr2:122822053-122822063(-) ATACAATGATC >hg38_chr2:122832602-122832612(+) atacaatattt >hg38_chr2:122851293-122851303(-) ACACAATGTGT >hg38_chr2:122961024-122961034(-) TGACAATGTCA >hg38_chr2:123001261-123001271(+) GAACAATGGGT >hg38_chr2:123068912-123068922(-) AAACAAAGGGA >hg38_chr2:123098471-123098481(-) aaacaatgaca >hg38_chr2:123098482-123098492(-) ACACAATGGca >hg38_chr2:123303938-123303948(+) GAACAATGCCT >hg38_chr2:123436316-123436326(-) gtacaatggga >hg38_chr2:123438737-123438747(+) TTACTATGGGG >hg38_chr2:123609179-123609189(-) GAACAAAGGTT >hg38_chr2:123692912-123692922(+) agacaatgaat >hg38_chr2:123864873-123864883(+) CTACAATGCCA >hg38_chr2:123876555-123876565(+) ACACAATGGAA >hg38_chr2:123881356-123881366(+) GGACAATATAG >hg38_chr2:123881366-123881376(-) GCACAATGTCC >hg38_chr2:123915033-123915043(+) taacaataggg >hg38_chr2:124070610-124070620(+) atataatgata >hg38_chr2:124107244-124107254(+) gaacaatgagg >hg38_chr2:124180850-124180860(-) GAACAATAAGA >hg38_chr2:124188171-124188181(-) GCACAATGACC >hg38_chr2:124238849-124238859(-) AAACAATAAAT >hg38_chr2:124353129-124353139(+) AGACAAAGGAA >hg38_chr2:124533453-124533463(+) gaacAATGCTG >hg38_chr2:124559439-124559449(-) ACACAATGGCT >hg38_chr2:124559473-124559483(-) GCACAATGTTT >hg38_chr2:124663829-124663839(+) CCACAATGAAT >hg38_chr2:124667206-124667216(-) CTATAATGGTC >hg38_chr2:124694887-124694897(+) aaacaatgaag >hg38_chr2:124738184-124738194(+) acacaatagag >hg38_chr2:124804283-124804293(-) TGATAATGGAA >hg38_chr2:124830431-124830441(-) acactatggga >hg38_chr2:124906003-124906013(-) aaacaataggc >hg38_chr2:124915949-124915959(+) AAACAATGACC >hg38_chr2:124954144-124954154(+) CAACAATGCAT >hg38_chr2:125056447-125056457(-) AAACAAAGGTC >hg38_chr2:125071378-125071388(-) GAACAATAAAA >hg38_chr2:125071408-125071418(-) AAACAATGGCC >hg38_chr2:125182529-125182539(-) tgacaatgata >hg38_chr2:125184888-125184898(+) GAACAATAAAA >hg38_chr2:125212597-125212607(-) caacaatagga >hg38_chr2:125212610-125212620(+) tAACAATAATC >hg38_chr2:125212679-125212689(+) tcacaatggaa >hg38_chr2:125336688-125336698(+) gcacaataggc >hg38_chr2:125441039-125441049(-) taacaatggaa >hg38_chr2:125464518-125464528(+) taacaatggaa >hg38_chr2:125485238-125485248(-) aaacaataggt >hg38_chr2:125485636-125485646(-) AAACAATGTAC >hg38_chr2:125485655-125485665(+) GAATAATGGAA >hg38_chr2:125513496-125513506(+) ACACAATGTAA >hg38_chr2:125513535-125513545(-) TAACAATGTCT >hg38_chr2:125528460-125528470(+) TAACAATAGGG >hg38_chr2:125553947-125553957(-) AGATAATGGGA >hg38_chr2:125656351-125656361(-) TGATAATGGAT >hg38_chr2:125656361-125656371(-) TGATAATGGAT >hg38_chr2:125690369-125690379(-) gaacaattgta >hg38_chr2:125690393-125690403(+) caataatggat >hg38_chr2:125838341-125838351(-) gaacaaaggtt >hg38_chr2:125858883-125858893(+) GCACAATAGGT >hg38_chr2:125859820-125859830(-) AGACAATGCTC >hg38_chr2:125937099-125937109(-) agacaatataa >hg38_chr2:125952493-125952503(+) ttacaaaggca >hg38_chr2:125959287-125959297(+) TAACAATGGCA >hg38_chr2:126029515-126029525(-) gaacaaaggac >hg38_chr2:126059059-126059069(+) ttacaatgtgg >hg38_chr2:126199421-126199431(-) gaacaaaggcc >hg38_chr2:126364248-126364258(+) CCACAATGGGA >hg38_chr2:126364295-126364305(-) GCACAATGATT >hg38_chr2:126521942-126521952(-) CTACAAAGGGA >hg38_chr2:126521951-126521961(-) CCACAATGACT >hg38_chr2:126554005-126554015(-) TCACAATGAAG >hg38_chr2:126562714-126562724(-) acacaatggat >hg38_chr2:126628188-126628198(+) aaacaatatta >hg38_chr2:126632758-126632768(-) ttacaatgtca >hg38_chr2:126655195-126655205(-) ATACAATGAGA >hg38_chr2:126655527-126655537(+) CAACAAAGGTA >hg38_chr2:126700153-126700163(-) AAACAATGGAG >hg38_chr2:126713390-126713400(-) tgataatgggc >hg38_chr2:126713864-126713874(-) ccacaatacga >hg38_chr2:126725751-126725761(+) ggacaatatat >hg38_chr2:126823108-126823118(-) TAATAATGGGT >hg38_chr2:126831555-126831565(+) AGACAAAGGAA >hg38_chr2:126831594-126831604(+) GAACAATGGGC >hg38_chr2:126874660-126874670(-) tcacaatagat >hg38_chr2:126874680-126874690(+) aaacaatgact >hg38_chr2:126896392-126896402(-) CAACAAtagca >hg38_chr2:126896421-126896431(-) ggacaaaggat >hg38_chr2:126968029-126968039(-) ggacaatgctt >hg38_chr2:126968055-126968065(+) gcacaatgcaa >hg38_chr2:126968096-126968106(+) tcacaataggc >hg38_chr2:127067854-127067864(+) GGACAATGGCT >hg38_chr2:127144622-127144632(-) gaacaatgtct >hg38_chr2:127144647-127144657(-) caacaatggcc >hg38_chr2:127144878-127144888(-) ATACAATGGTA >hg38_chr2:127197087-127197097(-) TCACAATGAGG >hg38_chr2:127299303-127299313(+) GTACAATATAA >hg38_chr2:127301633-127301643(+) GAACAATGGTA >hg38_chr2:127320328-127320338(+) aaacaatgaca >hg38_chr2:127327304-127327314(-) TCACAATGACT >hg38_chr2:127359241-127359251(+) taacaaaggta >hg38_chr2:127365334-127365344(+) TCACTATGGAC >hg38_chr2:127385449-127385459(-) ttacaatatac >hg38_chr2:127395183-127395193(-) TAACAATGGAA >hg38_chr2:127425569-127425579(-) TCATAATGGGA >hg38_chr2:127448598-127448608(-) acataatggga >hg38_chr2:127455969-127455979(-) GAACAATGAAC >hg38_chr2:127462930-127462940(+) atacaaaggga >hg38_chr2:127504611-127504621(+) ATACAATGTTC >hg38_chr2:127504637-127504647(+) AGACAATGAAT >hg38_chr2:127649337-127649347(+) CCACAATGGGC >hg38_chr2:127722295-127722305(+) AAACAATGGAG >hg38_chr2:127742741-127742751(+) agacaatggaa >hg38_chr2:127743455-127743465(-) gtataatgggg >hg38_chr2:127777104-127777114(-) CAACAATAGGC >hg38_chr2:127777129-127777139(+) TAACAATACAA >hg38_chr2:127811294-127811304(-) GAACAAAGGAA >hg38_chr2:127932726-127932736(-) caacaatggct >hg38_chr2:127992910-127992920(-) TTACAATGAAT >hg38_chr2:128028362-128028372(+) AGACAGTGGAT >hg38_chr2:128054723-128054733(+) tgacaatgccc >hg38_chr2:128238149-128238159(+) tgacaatggcc >hg38_chr2:128317477-128317487(-) GAACAAAGGCC >hg38_chr2:128322086-128322096(-) CAACAATGGGC >hg38_chr2:128334434-128334444(+) aaacaaaggtg >hg38_chr2:128348072-128348082(-) AGACAATGGTT >hg38_chr2:128364267-128364277(+) AAACAATGGAC >hg38_chr2:128369352-128369362(-) GAACAATGCTC >hg38_chr2:128369770-128369780(-) GGACAATGCCT >hg38_chr2:128431274-128431284(-) gaacaatgtaa >hg38_chr2:128431974-128431984(+) taacaatagtc >hg38_chr2:128479837-128479847(-) taacaatacat >hg38_chr2:128517169-128517179(-) Tgacaatgaca >hg38_chr2:128523557-128523567(-) GAATAATGGGT >hg38_chr2:128537281-128537291(-) gtacaatggaa >hg38_chr2:128537307-128537317(-) acacaaaggtc >hg38_chr2:128586462-128586472(-) ttataatggag >hg38_chr2:128631439-128631449(-) GAACAATGAGA >hg38_chr2:128632709-128632719(-) caacaatgatg >hg38_chr2:128633644-128633654(-) aaacaatggaa >hg38_chr2:128633678-128633688(-) agacaataaaa >hg38_chr2:128639070-128639080(+) GGACAATAGGG >hg38_chr2:128691686-128691696(-) AAACAATGTGG >hg38_chr2:128786861-128786871(-) AAACAATGAGG >hg38_chr2:128801719-128801729(-) atataatggtg >hg38_chr2:128817255-128817265(-) agacaatggca >hg38_chr2:128879312-128879322(-) GGACAAAGGTC >hg38_chr2:129008485-129008495(-) CCACAATAGGC >hg38_chr2:129055925-129055935(-) GGATAATGGGA >hg38_chr2:129056542-129056552(+) GCACAATGAAC >hg38_chr2:129185480-129185490(-) aaacaatgcaa >hg38_chr2:129187393-129187403(-) GGACAAAGGGT >hg38_chr2:129238075-129238085(-) atacaatgatg >hg38_chr2:129238111-129238121(+) ttacaaaggct >hg38_chr2:129242350-129242360(-) TGACAATGAAA >hg38_chr2:129354853-129354863(+) TGACAATGCCC >hg38_chr2:129388688-129388698(+) agacaatgcaa >hg38_chr2:129406795-129406805(-) atacaataagc >hg38_chr2:129473765-129473775(-) gtacactggaa >hg38_chr2:129474395-129474405(-) caacaatgaaa >hg38_chr2:129481462-129481472(+) ACACAATAGAG >hg38_chr2:129481516-129481526(-) AAACAAAGGGA >hg38_chr2:129485613-129485623(-) GAACAATGAAC >hg38_chr2:129548240-129548250(-) atacaaaggcc >hg38_chr2:129565849-129565859(-) GCACAGTGGCG >hg38_chr2:129568288-129568298(+) TAACAAAGGGG >hg38_chr2:129589076-129589086(+) aaacaatgtca >hg38_chr2:129590341-129590351(-) gaacaatggct >hg38_chr2:129645048-129645058(-) ACATAATGGAT >hg38_chr2:129673966-129673976(+) TCACAATGCCA >hg38_chr2:129713111-129713121(-) agacaaaggag >hg38_chr2:129732067-129732077(-) GTACAATGGAG >hg38_chr2:129786381-129786391(+) TGACAATGCAA >hg38_chr2:129802754-129802764(-) ggacaatgaca >hg38_chr2:129810656-129810666(-) ccacaatgggt >hg38_chr2:129845885-129845895(+) ccacaatgaag >hg38_chr2:129849702-129849712(-) gaataatggcg >hg38_chr2:129852359-129852369(+) gtacaatagat >hg38_chr2:129852771-129852781(+) ccacaatgtat >hg38_chr2:129877513-129877523(-) GGACAATCGCG >hg38_chr2:129920237-129920247(+) acacaatagaa >hg38_chr2:129920292-129920302(+) acacaaaggca >hg38_chr2:130241265-130241275(+) CCACAATGAGT >hg38_chr2:130258254-130258264(-) ctacaatgcac >hg38_chr2:130295500-130295510(+) atataatggac >hg38_chr2:130330002-130330012(+) TGACAATAGAC >hg38_chr2:130365242-130365252(-) atacaatggtg >hg38_chr2:130365293-130365303(+) tgacaatgaca >hg38_chr2:130396208-130396218(+) caacaatagca >hg38_chr2:130808947-130808957(+) aaacaatggaa >hg38_chr2:130827560-130827570(-) GAACAATGGGG >hg38_chr2:130869624-130869634(+) GAACAATGACC >hg38_chr2:130872571-130872581(+) GTACAATCGCA >hg38_chr2:130896963-130896973(-) TAACAATAACT >hg38_chr2:130934031-130934041(-) acacaatagtg >hg38_chr2:130966884-130966894(-) AATCAATGGCG >hg38_chr2:130966895-130966905(-) ACACAATGTGC >hg38_chr2:130994860-130994870(-) acacaatgtaa >hg38_chr2:131000453-131000463(-) aaacaatgccc >hg38_chr2:131022531-131022541(+) ttacaaaggta >hg38_chr2:131065284-131065294(-) gaacaatagca >hg38_chr2:131070719-131070729(+) taacaataaaa >hg38_chr2:131095401-131095411(-) gcacaatgctg >hg38_chr2:131112659-131112669(-) gaacaatatac >hg38_chr2:131126981-131126991(-) CCACAATGGGA >hg38_chr2:131131681-131131691(-) TAACAAAGGAA >hg38_chr2:131134565-131134575(-) gaacaatggag >hg38_chr2:131138111-131138121(-) tgacaaaggaa >hg38_chr2:131138123-131138133(-) acataatggag >hg38_chr2:131236083-131236093(+) gaacaaaggca >hg38_chr2:131413231-131413241(+) TAACAATATGA >hg38_chr2:131685279-131685289(-) aaacaataaac >hg38_chr2:132268529-132268539(+) GAACAATAGGG >hg38_chr2:132373941-132373951(-) gaacaatgcat >hg38_chr2:132381436-132381446(+) GCATAATGGTC >hg38_chr2:132384783-132384793(-) GCACAATAGAT >hg38_chr2:132439953-132439963(+) CTACAAAGGGA >hg38_chr2:132439976-132439986(-) TAACAATGCAC >hg38_chr2:132446476-132446486(-) gcacaatgcct >hg38_chr2:132550438-132550448(-) ATACCATGGAA >hg38_chr2:132594093-132594103(+) TTACAATGTGA >hg38_chr2:132608464-132608474(-) AAACAGTGGGA >hg38_chr2:132632391-132632401(-) ACACAATGTCA >hg38_chr2:132642661-132642671(-) AGACAAAGGCA >hg38_chr2:132653986-132653996(+) tgacaatgaaa >hg38_chr2:132672928-132672938(-) TAACAATAAAC >hg38_chr2:132718651-132718661(-) ATACAATAAGG >hg38_chr2:132741964-132741974(-) GAACAAAGGAG >hg38_chr2:132752458-132752468(-) AAACAGTGGTA >hg38_chr2:132754618-132754628(+) CAACAATGGCT >hg38_chr2:132831057-132831067(-) gaacaatgtgc >hg38_chr2:132838096-132838106(-) AAACAAAGggt >hg38_chr2:132843155-132843165(+) gtacaatgaat >hg38_chr2:132857502-132857512(-) gaacaatgccc >hg38_chr2:132901533-132901543(+) CCACAATGCAA >hg38_chr2:132901585-132901595(+) AAACAATGCTG >hg38_chr2:132910838-132910848(+) AAACAATGGCC >hg38_chr2:132918609-132918619(+) TGACAATGCTA >hg38_chr2:132951538-132951548(-) CTACAAAGGGA >hg38_chr2:132964167-132964177(+) GCACAATGAAA >hg38_chr2:132978696-132978706(+) TGACAATAGTC >hg38_chr2:133016963-133016973(+) GAATAATGGTA >hg38_chr2:133016990-133017000(-) TAACAATGGGC >hg38_chr2:133034924-133034934(+) acacaatgtaa >hg38_chr2:133050074-133050084(-) GAACAATGGGT >hg38_chr2:133079186-133079196(+) Atacaatgata >hg38_chr2:133148919-133148929(-) agacaatgatc >hg38_chr2:133171722-133171732(+) CAACAATAGAT >hg38_chr2:133198966-133198976(+) agacaaagggt >hg38_chr2:133232774-133232784(-) AGACAATAGGC >hg38_chr2:133267167-133267177(-) AGACAATAGAA >hg38_chr2:133273361-133273371(-) ggacaaaggag >hg38_chr2:133283314-133283324(-) GAACAAAGGAA >hg38_chr2:133283330-133283340(-) GAACAAAGGAC >hg38_chr2:133335103-133335113(-) aaacaatggga >hg38_chr2:133359261-133359271(-) GCACAAAGGAC >hg38_chr2:133360539-133360549(+) TGacaaaggac >hg38_chr2:133360596-133360606(-) agacaatgaaa >hg38_chr2:133377720-133377730(+) GAACAAAGGTG >hg38_chr2:133394094-133394104(+) gcacaatgggT >hg38_chr2:133418916-133418926(+) TCACAAtgaaa >hg38_chr2:133439516-133439526(-) GAACAATGGCC >hg38_chr2:133453522-133453532(+) ttataatggca >hg38_chr2:133508762-133508772(-) CAACAATGGGA >hg38_chr2:133523585-133523595(-) tcacaatgacc >hg38_chr2:133573681-133573691(-) ACACAATGAGG >hg38_chr2:133600062-133600072(+) AAACAATGCCA >hg38_chr2:133600470-133600480(+) GAACAATGAGC >hg38_chr2:133610183-133610193(+) ACACAATGGGG >hg38_chr2:133636342-133636352(+) TGACAATGACT >hg38_chr2:133640678-133640688(-) ACACAATGAAT >hg38_chr2:133661625-133661635(-) tgataatggaa >hg38_chr2:133683459-133683469(+) GAACAATGGGG >hg38_chr2:133683473-133683483(+) TAACAAAGGCT >hg38_chr2:133711423-133711433(+) GGACAATGAAG >hg38_chr2:133711451-133711461(-) AAACAAAGGCC >hg38_chr2:133714630-133714640(-) TAACAATGCCT >hg38_chr2:133744447-133744457(-) TTATAAtggag >hg38_chr2:133744507-133744517(+) AAACAAAGGAG >hg38_chr2:133780220-133780230(-) ATACAAAGGAG >hg38_chr2:133996108-133996118(+) atacaatggaa >hg38_chr2:133996116-133996126(-) taacaatattc >hg38_chr2:134010238-134010248(+) AAACAATGGAC >hg38_chr2:134055573-134055583(+) gtacaatatct >hg38_chr2:134106482-134106492(-) ataccatggaa >hg38_chr2:134107631-134107641(+) TAACAATGGAA >hg38_chr2:134121457-134121467(-) GGACAATGCAA >hg38_chr2:134133971-134133981(+) gaacaatgggg >hg38_chr2:134134039-134134049(+) gaacaatgggg >hg38_chr2:134147182-134147192(+) ggataatggta >hg38_chr2:134163980-134163990(+) GGACAATGAAC >hg38_chr2:134177702-134177712(+) AAACAATGGAA >hg38_chr2:134201687-134201697(+) TAACAGTGGCA >hg38_chr2:134201695-134201705(+) GCACAATGCTA >hg38_chr2:134261705-134261715(+) ttacaatgtaa >hg38_chr2:134296651-134296661(-) TTACAATGTCT >hg38_chr2:134384299-134384309(+) ATACAATGCTC >hg38_chr2:134545017-134545027(-) atacaaaggag >hg38_chr2:134558544-134558554(-) TAACAATGGGT >hg38_chr2:134589895-134589905(-) tcacaataggc >hg38_chr2:134614097-134614107(+) acacaatataa >hg38_chr2:134671633-134671643(-) TAACAATGGCA >hg38_chr2:134722982-134722992(+) tcacaatgatg >hg38_chr2:134729152-134729162(+) AAACAATGTAT >hg38_chr2:134730658-134730668(-) atacaatgcag >hg38_chr2:134772989-134772999(+) GAACAATGGGC >hg38_chr2:134876593-134876603(+) cgacaatgcct >hg38_chr2:134880151-134880161(-) gaacaatgact >hg38_chr2:134880159-134880169(-) acacaatggaa >hg38_chr2:134899688-134899698(+) tcacaatgata >hg38_chr2:134899703-134899713(+) gtacaagggta >hg38_chr2:134899713-134899723(-) ttacaatgagt >hg38_chr2:134915693-134915703(-) gaacaaaggca >hg38_chr2:134915701-134915711(-) tgacaaaggaa >hg38_chr2:134935502-134935512(-) AAACAATGCCA >hg38_chr2:134956883-134956893(-) TAACAATATAC >hg38_chr2:134965443-134965453(-) CCACAATAGTA >hg38_chr2:134965669-134965679(-) GCACAATGTAG >hg38_chr2:134966154-134966164(-) taacaaaggta >hg38_chr2:135024244-135024254(-) GTATAATGAAA >hg38_chr2:135043620-135043630(-) acactatggac >hg38_chr2:135043672-135043682(+) ggacaaaggta >hg38_chr2:135067668-135067678(-) gcacaatgcca >hg38_chr2:135067702-135067712(-) AAACAATAAAT >hg38_chr2:135077931-135077941(-) atacaatatat >hg38_chr2:135092939-135092949(-) AGACAATGCTG >hg38_chr2:135120674-135120684(-) AAACAATAGTG >hg38_chr2:135139979-135139989(-) acacaatgtat >hg38_chr2:135140009-135140019(-) atataatgtat >hg38_chr2:135155671-135155681(+) aaacaatgagg >hg38_chr2:135170251-135170261(-) TCACAATGCCT >hg38_chr2:135191160-135191170(+) ttacaataaga >hg38_chr2:135225508-135225518(+) caacaatggca >hg38_chr2:135226528-135226538(-) AGACAATGCCA >hg38_chr2:135252964-135252974(-) TGACAATAGAG >hg38_chr2:135261424-135261434(+) ATACAATAAAT >hg38_chr2:135421198-135421208(+) TAACTATGGAA >hg38_chr2:135421276-135421286(+) ATACAATGGAT >hg38_chr2:135447985-135447995(-) GTACAATACTC >hg38_chr2:135457307-135457317(+) gtacaatacat >hg38_chr2:135457358-135457368(-) tcacaaaggta >hg38_chr2:135473675-135473685(+) AGATAATGGTG >hg38_chr2:135473714-135473724(-) TGACAATGACC >hg38_chr2:135473741-135473751(+) ACACAATGGCC >hg38_chr2:135475116-135475126(+) TAACAATGGGA >hg38_chr2:135484317-135484327(+) GTACAATGCTA >hg38_chr2:135491858-135491868(+) ATACAAAGGTG >hg38_chr2:135524700-135524710(+) agataatgggt >hg38_chr2:135524735-135524745(+) gaacaatgaaa >hg38_chr2:135525174-135525184(+) agacaatgaca >hg38_chr2:135549824-135549834(-) tcacaatgttt >hg38_chr2:135576696-135576706(+) ctacaatgtgg >hg38_chr2:135591636-135591646(+) CCACAATGACT >hg38_chr2:135596991-135597001(+) tcacaatgtat >hg38_chr2:135597007-135597017(-) agacaatgggc >hg38_chr2:135598167-135598177(-) GAACAATGAGA >hg38_chr2:135663365-135663375(-) ACACAATGTCA >hg38_chr2:135664819-135664829(+) GAACAATGCAC >hg38_chr2:135667275-135667285(-) GGACAATATAA >hg38_chr2:135687527-135687537(-) TTACAATGATC >hg38_chr2:135695354-135695364(+) AAACAATGCCA >hg38_chr2:135725116-135725126(-) AAACAATGAAA >hg38_chr2:135773859-135773869(-) caacaatgttt >hg38_chr2:135773926-135773936(-) taacaatgtta >hg38_chr2:135849809-135849819(+) aaataaTGGGA >hg38_chr2:135865175-135865185(+) GAACAAAGGAA >hg38_chr2:135891530-135891540(+) ACACAAAGGAA >hg38_chr2:135961890-135961900(+) CTACTATGGAA >hg38_chr2:135963087-135963097(+) TTACAAAGGGT >hg38_chr2:135977539-135977549(+) GAACAAAGGCT >hg38_chr2:135977591-135977601(+) ATACAATGTGA >hg38_chr2:135994147-135994157(-) TAACAATGTGT >hg38_chr2:136000214-136000224(-) AAACAATAGAA >hg38_chr2:136016091-136016101(-) GGACAAAGGAA >hg38_chr2:136026021-136026031(-) AGACAATGGAA >hg38_chr2:136026032-136026042(-) ACACAATAGGC >hg38_chr2:136032462-136032472(+) ATACAATAAGG >hg38_chr2:136032476-136032486(+) AAACAATGAAA >hg38_chr2:136037169-136037179(-) atacaatggaa >hg38_chr2:136049401-136049411(+) AAACAATGCAA >hg38_chr2:136056081-136056091(+) ACACAATGCCT >hg38_chr2:136112524-136112534(-) TGACAATGTGG >hg38_chr2:136141070-136141080(-) GGACAATAGCA >hg38_chr2:136173865-136173875(-) TCACAATAGAC >hg38_chr2:136173909-136173919(+) GGACAATGGAA >hg38_chr2:136183241-136183251(+) TGATAATGGGC >hg38_chr2:136183318-136183328(-) acacaatagcc >hg38_chr2:136236271-136236281(-) aaacaataggg >hg38_chr2:136257746-136257756(+) TGACAATGGTT >hg38_chr2:136301211-136301221(-) CAACAATGTAC >hg38_chr2:136310992-136311002(+) AGACAATGAAG >hg38_chr2:136323361-136323371(-) aaacaatgaca >hg38_chr2:136328568-136328578(-) CAACAATGGCC >hg38_chr2:136370561-136370571(+) gtacaatgcag >hg38_chr2:136437048-136437058(-) TTACAAAGGGT >hg38_chr2:136439677-136439687(-) TAACAATGCTG >hg38_chr2:136439697-136439707(-) CAACAATGAAA >hg38_chr2:136442149-136442159(-) TGACAATGAAT >hg38_chr2:136706609-136706619(-) aaacaatgata >hg38_chr2:136738685-136738695(+) AGACTATGGAC >hg38_chr2:136774493-136774503(-) acacaatgaaa >hg38_chr2:136774529-136774539(-) tcacaaaggaa >hg38_chr2:136774564-136774574(+) TGACAATGTGA >hg38_chr2:136853138-136853148(-) gtacagtggaa >hg38_chr2:136870627-136870637(+) gcacaatgaag >hg38_chr2:136874410-136874420(+) AGACAATAGCC >hg38_chr2:136905429-136905439(+) AGACAAAGGTT >hg38_chr2:136905459-136905469(+) GAACAATGGAA >hg38_chr2:137008219-137008229(-) taacaatgcac >hg38_chr2:137008234-137008244(+) gtataatgata >hg38_chr2:137015452-137015462(-) ggacaatgggg >hg38_chr2:137019316-137019326(+) ACACAATGCTT >hg38_chr2:137040154-137040164(+) GAATAATGGAG >hg38_chr2:137067087-137067097(-) agacaatgtgc >hg38_chr2:137069424-137069434(-) AAACAATAAGT >hg38_chr2:137179247-137179257(-) ATACAATAGGG >hg38_chr2:137179257-137179267(-) TTACAGTGGAA >hg38_chr2:137194684-137194694(-) ttacaatagta >hg38_chr2:137207228-137207238(-) atacaatgccc >hg38_chr2:137220819-137220829(+) atacaatgcaa >hg38_chr2:137256185-137256195(+) taaTAATGGAT >hg38_chr2:137262121-137262131(+) GCACAATGGTC >hg38_chr2:137348216-137348226(-) GTACAGTGGAG >hg38_chr2:137357846-137357856(-) GAACAAAGGAT >hg38_chr2:137463149-137463159(-) TGACAATCGAA >hg38_chr2:137479883-137479893(+) taacaatgctg >hg38_chr2:137504900-137504910(+) atacagtggtg >hg38_chr2:137507156-137507166(+) TTACAATGACA >hg38_chr2:137524900-137524910(-) CCACAATGCAA >hg38_chr2:137540580-137540590(-) aaacaatgaca >hg38_chr2:137540596-137540606(-) aaacactggac >hg38_chr2:137572185-137572195(-) GAACAATGGAA >hg38_chr2:137599997-137600007(-) agacaaaggaA >hg38_chr2:137690537-137690547(-) taacaaaggca >hg38_chr2:137690561-137690571(-) agacaatggaa >hg38_chr2:137690605-137690615(-) aaataatggag >hg38_chr2:137742037-137742047(-) TGACAATGACA >hg38_chr2:137776147-137776157(-) TTACAATGAAA >hg38_chr2:137819701-137819711(-) atacaatatca >hg38_chr2:137824701-137824711(+) TAACAAAGGTC >hg38_chr2:137832245-137832255(-) agacaatgcat >hg38_chr2:137864780-137864790(-) TAACAATACAT >hg38_chr2:137865031-137865041(+) TGACAATAGAG >hg38_chr2:137910932-137910942(+) TCACAATGAAG >hg38_chr2:137910967-137910977(-) GAACAAAGGCC >hg38_chr2:137953088-137953098(-) ctacaaaggaa >hg38_chr2:137955667-137955677(+) TCACAATGGTA >hg38_chr2:137983331-137983341(-) GTATAATGGAA >hg38_chr2:137983339-137983349(-) CAACAATGGTA >hg38_chr2:138024708-138024718(+) ACACAATGCCC >hg38_chr2:138024733-138024743(+) TTACAATGTGA >hg38_chr2:138032432-138032442(-) TCACAACGGTA >hg38_chr2:138060397-138060407(+) AGACAATGTCA >hg38_chr2:138072554-138072564(-) ACACAATAGTC >hg38_chr2:138073634-138073644(-) GGACACTGGAC >hg38_chr2:138074236-138074246(+) CTACAAAGGAA >hg38_chr2:138122678-138122688(-) tcacaatgctc >hg38_chr2:138155088-138155098(-) tgacaaaggac >hg38_chr2:138218712-138218722(+) ACACAATATTA >hg38_chr2:138218739-138218749(-) GAACAGTGGTT >hg38_chr2:138231550-138231560(-) GGACAATGAGA >hg38_chr2:138251842-138251852(+) tcataatggaa >hg38_chr2:138271616-138271626(-) ggacaacggtg >hg38_chr2:138277222-138277232(-) aaacaaaggag >hg38_chr2:138321978-138321988(+) gcacaatagga >hg38_chr2:138327546-138327556(-) taacaatgttg >hg38_chr2:138356282-138356292(+) GAACAATATAT >hg38_chr2:138454894-138454904(+) CTACAATGAGA >hg38_chr2:138482068-138482078(-) atacaatgaat >hg38_chr2:138503013-138503023(-) CCACAATGGGT >hg38_chr2:138509860-138509870(-) tcataatggta >hg38_chr2:138522298-138522308(+) ATACAATTGAC >hg38_chr2:138533268-138533278(-) AAACAATAGCA >hg38_chr2:138536686-138536696(-) atacaaaggct >hg38_chr2:138546351-138546361(-) GTACAATGAAA >hg38_chr2:138589557-138589567(-) taataatggca >hg38_chr2:138596589-138596599(+) ggacaatggaa >hg38_chr2:138626328-138626338(-) AAACAAAGGGG >hg38_chr2:138724482-138724492(+) AAACAATGCAA >hg38_chr2:138724527-138724537(-) GAACAATGACC >hg38_chr2:138755445-138755455(-) gaacaaaggca >hg38_chr2:138755453-138755463(-) tgacaaaggaa >hg38_chr2:138778191-138778201(-) GGACAATAAAA >hg38_chr2:138818621-138818631(-) TCACAATGTAA >hg38_chr2:138865253-138865263(-) GAACAATGCTG >hg38_chr2:138894506-138894516(+) AGACAATAGTA >hg38_chr2:138949602-138949612(-) CCACAATGAAT >hg38_chr2:138949621-138949631(-) TAACAAAGGGA >hg38_chr2:138959337-138959347(-) TAACAATGACT >hg38_chr2:139000599-139000609(-) AAACAATGGAG >hg38_chr2:139079433-139079443(+) GAACAAAGGGC >hg38_chr2:139107638-139107648(+) tgacaatgaag >hg38_chr2:139157012-139157022(-) AAACAAAGGTA >hg38_chr2:139157912-139157922(+) taataatggaa >hg38_chr2:139165796-139165806(-) TCACAATGCCA >hg38_chr2:139178764-139178774(-) TCACAATGCAG >hg38_chr2:139202632-139202642(+) AAACAATGAAG >hg38_chr2:139447476-139447486(-) ACACAATAGAT >hg38_chr2:139478289-139478299(+) AAACAATAAAA >hg38_chr2:139478349-139478359(+) CAACAATGAAA >hg38_chr2:139480364-139480374(+) gaacaatggct >hg38_chr2:139572765-139572775(+) tgacaatggaa >hg38_chr2:139630703-139630713(+) GAACAAAGGTG >hg38_chr2:139630759-139630769(-) AAACAATGGAA >hg38_chr2:139686310-139686320(+) GCACAATGGTG >hg38_chr2:139686359-139686369(+) AAACAATATAA >hg38_chr2:139686394-139686404(+) AAACAATAAGA >hg38_chr2:139694502-139694512(-) CTACAACGGAA >hg38_chr2:139725082-139725092(+) AGACAATAGCT >hg38_chr2:139725114-139725124(-) AAACAAAGGAA >hg38_chr2:139807903-139807913(+) TAACAATGCAA >hg38_chr2:139828316-139828326(-) ggacaaaggaa >hg38_chr2:139839409-139839419(-) aaacaatagag >hg38_chr2:139935710-139935720(+) tcacaatgtgg >hg38_chr2:139935767-139935777(-) gtataatggtt >hg38_chr2:139992210-139992220(-) gaacaaagggc >hg38_chr2:139996810-139996820(+) gaacaatgctt >hg38_chr2:140063341-140063351(-) TGACAATATAA >hg38_chr2:140119243-140119253(-) TAACAATAGAC >hg38_chr2:140270285-140270295(-) TTACAATGGTG >hg38_chr2:140270374-140270384(-) TAACAATGAAA >hg38_chr2:140280976-140280986(+) GGACAATGATG >hg38_chr2:140297640-140297650(+) ttacaataaga >hg38_chr2:140297871-140297881(+) TCACAATGCAG >hg38_chr2:140349023-140349033(+) tcacaataggg >hg38_chr2:140353799-140353809(+) GCACAATGACT >hg38_chr2:140378574-140378584(-) TGACAATAGGT >hg38_chr2:140378590-140378600(+) TAACAATGATC >hg38_chr2:140384009-140384019(+) GTACAATGATA >hg38_chr2:140435930-140435940(-) GCATAATGGCA >hg38_chr2:140465504-140465514(+) GAACAATGCAC >hg38_chr2:140465511-140465521(+) GCACAATAGTC >hg38_chr2:140551432-140551442(+) gaacaaaggct >hg38_chr2:140569127-140569137(+) ccacaatgata >hg38_chr2:140584635-140584645(-) GAATAATGGTC >hg38_chr2:140589516-140589526(+) tcacaatagcc >hg38_chr2:140757102-140757112(+) ccacaatgaga >hg38_chr2:140757161-140757171(+) agacaatgtgc >hg38_chr2:140786737-140786747(-) TAACAATGAAT >hg38_chr2:140792154-140792164(-) taacaaaggga >hg38_chr2:140822273-140822283(+) CAACAATGGAT >hg38_chr2:140823492-140823502(+) CAACAATAGGT >hg38_chr2:140880753-140880763(-) TTACAATAACA >hg38_chr2:140882932-140882942(+) TGACAATGACC >hg38_chr2:140904286-140904296(-) ACACAGTGGTA >hg38_chr2:140906076-140906086(-) GAACAATGAAA >hg38_chr2:140916317-140916327(+) CCACAATGATT >hg38_chr2:140916360-140916370(+) CAACAATAGGG >hg38_chr2:140920222-140920232(+) GCACAATGCAC >hg38_chr2:140921431-140921441(+) ACACAATATAT >hg38_chr2:140944075-140944085(+) ggacaatggtg >hg38_chr2:140988940-140988950(-) TTACAATGGAG >hg38_chr2:140989012-140989022(+) TTACAATAGAG >hg38_chr2:141025308-141025318(-) GAACAATAGCT >hg38_chr2:141036043-141036053(+) taacaataagc >hg38_chr2:141038277-141038287(+) ACACAATGaat >hg38_chr2:141063570-141063580(-) TGACAATGAGA >hg38_chr2:141078791-141078801(+) AAACAATGCTG >hg38_chr2:141097970-141097980(+) GAACAATGGTA >hg38_chr2:141119538-141119548(-) TGACAATGGCA >hg38_chr2:141119598-141119608(+) TGACAAAGGAA >hg38_chr2:141119611-141119621(-) GGACAATGTGC >hg38_chr2:141153933-141153943(-) TAACAATAGTT >hg38_chr2:141170611-141170621(+) CAACAATGGTA >hg38_chr2:141191922-141191932(+) ATACAATTGTA >hg38_chr2:141290475-141290485(-) TTACAATAAAT >hg38_chr2:141290504-141290514(+) TAACAATAAAT >hg38_chr2:141302494-141302504(-) TAACAATAGGG >hg38_chr2:141316423-141316433(-) ATACAATGGAA >hg38_chr2:141321572-141321582(+) atacaatgccc >hg38_chr2:141341556-141341566(+) ACACAGTGgaa >hg38_chr2:141373785-141373795(-) taacaaaggct >hg38_chr2:141373802-141373812(-) aaacaataata >hg38_chr2:141377994-141378004(-) aaacaaaggag >hg38_chr2:141381130-141381140(+) aaataatggtc >hg38_chr2:141436899-141436909(+) AGACAATGAAA >hg38_chr2:141436931-141436941(-) GCACAATATAT >hg38_chr2:141437706-141437716(-) AGACAATGCTG >hg38_chr2:141458006-141458016(-) TTACAATGAAA >hg38_chr2:141458407-141458417(-) AGACAATGAAT >hg38_chr2:141463066-141463076(-) AAACAAAGGGT >hg38_chr2:141491044-141491054(+) AAACAATGAAT >hg38_chr2:141492459-141492469(+) AGACAATGTGT >hg38_chr2:141531703-141531713(+) AGACAATGTAA >hg38_chr2:141581765-141581775(-) TGACAAAGGAA >hg38_chr2:141674070-141674080(-) taacaatggag >hg38_chr2:141804053-141804063(-) GAACAATGCAA >hg38_chr2:141856443-141856453(-) gaacagtggtt >hg38_chr2:141872429-141872439(+) acacaatagac >hg38_chr2:141889953-141889963(-) AGATAATGGCA >hg38_chr2:141889992-141890002(+) GAACAATAGAA >hg38_chr2:141925571-141925581(+) AGACAATGTCC >hg38_chr2:141944198-141944208(-) aaacaatgcca >hg38_chr2:141958742-141958752(-) GAACAATATTA >hg38_chr2:141958992-141959002(+) CAACAATGGAT >hg38_chr2:141973558-141973568(-) tcacaatgctt >hg38_chr2:142040840-142040850(-) CTACAATGCAA >hg38_chr2:142046381-142046391(+) GAACTATGGTA >hg38_chr2:142061444-142061454(+) ATACAATAAAG >hg38_chr2:142063927-142063937(+) TGACTATGGAC >hg38_chr2:142063963-142063973(+) ATACAATGATG >hg38_chr2:142076287-142076297(+) TAACAATAGAT >hg38_chr2:142112886-142112896(-) TTACACTGGAA >hg38_chr2:142113924-142113934(+) TAACAATGCCC >hg38_chr2:142156464-142156474(-) caacaatgagc >hg38_chr2:142196992-142197002(-) GAATAATGGCT >hg38_chr2:142216663-142216673(-) acacaataata >hg38_chr2:142266700-142266710(-) ATACAATGATG >hg38_chr2:142298585-142298595(-) agacaatgtgt >hg38_chr2:142364599-142364609(+) agacaatggat >hg38_chr2:142417386-142417396(-) AAACAATGCAT >hg38_chr2:142476991-142477001(+) TCACAATGTGA >hg38_chr2:142537887-142537897(-) AAACAAAGGGC >hg38_chr2:142554826-142554836(+) ttacaataacc >hg38_chr2:142582480-142582490(-) GAACAATGGTT >hg38_chr2:142585904-142585914(+) CCACAATGTCA >hg38_chr2:142603064-142603074(+) TTACAATGACA >hg38_chr2:142603070-142603080(+) TGACAATAATA >hg38_chr2:142654700-142654710(+) agacaatggTC >hg38_chr2:142679731-142679741(+) ATACAATAATA >hg38_chr2:142689298-142689308(+) GGACAAAGGGA >hg38_chr2:142696278-142696288(+) agacaatgctt >hg38_chr2:142696351-142696361(+) taacaatgtgg >hg38_chr2:142722262-142722272(+) ATATAATGGAG >hg38_chr2:142722311-142722321(+) gaataatggta >hg38_chr2:142758485-142758495(+) taacaataatt >hg38_chr2:142758493-142758503(-) aaacaataaat >hg38_chr2:142759181-142759191(+) caacaatgtca >hg38_chr2:142759214-142759224(+) gtacaataaaa >hg38_chr2:142795001-142795011(+) AGATAATGGCA >hg38_chr2:142836439-142836449(-) aaacaatggga >hg38_chr2:142843822-142843832(-) atacaatggcc >hg38_chr2:142843858-142843868(+) atacaatgtga >hg38_chr2:142858622-142858632(+) aaacaataact >hg38_chr2:142865969-142865979(+) AGACAAAGGAG >hg38_chr2:142958488-142958498(-) AAACAATGACT >hg38_chr2:142973679-142973689(-) AGACAATGGGA >hg38_chr2:142974574-142974584(+) TAACAATGGTG >hg38_chr2:142980904-142980914(+) AAACAATGAAA >hg38_chr2:142987442-142987452(+) GGACAAAGGGA >hg38_chr2:143002068-143002078(+) AGACAATGGAT >hg38_chr2:143054791-143054801(+) atacaatagga >hg38_chr2:143104171-143104181(-) GAACAATGTCC >hg38_chr2:143129862-143129872(+) TAACAATGAGA >hg38_chr2:143129910-143129920(-) ATACAATGCTT >hg38_chr2:143130554-143130564(-) AGACAATAAAA >hg38_chr2:143136482-143136492(+) AAACAATGTTG >hg38_chr2:143165787-143165797(+) TGACAATGGGT >hg38_chr2:143211894-143211904(+) GAACAAAGGGG >hg38_chr2:143211909-143211919(+) GAACAATAACT >hg38_chr2:143216711-143216721(+) AGACAATGGAT >hg38_chr2:143226769-143226779(-) GCACAATGTGT >hg38_chr2:143230102-143230112(-) AGACAAAGGAC >hg38_chr2:143234393-143234403(-) taacaatgatt >hg38_chr2:143237618-143237628(-) ACACAATGCAT >hg38_chr2:143237662-143237672(-) TGACAATGAGT >hg38_chr2:143274541-143274551(-) GAACAATGGTT >hg38_chr2:143274550-143274560(-) TTACAATGTGA >hg38_chr2:143282988-143282998(-) AAACAATGACA >hg38_chr2:143338565-143338575(-) GTACAATGCCT >hg38_chr2:143395382-143395392(+) aaacaaagtcg >hg38_chr2:143476392-143476402(+) GCACAATGCAA >hg38_chr2:143476424-143476434(+) GTACAATATGT >hg38_chr2:143478088-143478098(-) CAACAATGAAC >hg38_chr2:143481911-143481921(+) TAAGAATGGTA >hg38_chr2:143481964-143481974(-) TAACAATAGGC >hg38_chr2:143511851-143511861(+) CAACAATGACA >hg38_chr2:143530992-143531002(+) GAACAATGTGG >hg38_chr2:143545891-143545901(+) GGACAATGAGG >hg38_chr2:143546214-143546224(+) AAACAATGAGG >hg38_chr2:143546630-143546640(+) TGATAATGGCA >hg38_chr2:143546652-143546662(-) GAACAATGAAT >hg38_chr2:143601858-143601868(+) TAACAATGAAC >hg38_chr2:143615716-143615726(+) GAACAATAGCA >hg38_chr2:143615890-143615900(-) ACACAATGGTT >hg38_chr2:143622462-143622472(-) TAACAATAGCA >hg38_chr2:143646451-143646461(-) caacaatgcag >hg38_chr2:143689429-143689439(-) gaacaatgctc >hg38_chr2:143701010-143701020(-) AAACAAAGGGG >hg38_chr2:143718748-143718758(-) CAACAATGTTT >hg38_chr2:143729153-143729163(-) GAACAATACTA >hg38_chr2:143795716-143795726(+) GAACAATGACT >hg38_chr2:143811799-143811809(+) ATACAATTGAC >hg38_chr2:143811806-143811816(+) TGACAATGAAA >hg38_chr2:143817138-143817148(+) GAACAATGGCA >hg38_chr2:143817186-143817196(-) AAACAATAGCC >hg38_chr2:143833553-143833563(+) TTACAAAGGCA >hg38_chr2:143853111-143853121(+) GAACAATAGAG >hg38_chr2:143853123-143853133(+) CAACAATGGTC >hg38_chr2:143953680-143953690(-) TGACAGTGGTA >hg38_chr2:143985196-143985206(-) gaacactggaa >hg38_chr2:144009952-144009962(+) GAACAATAAAG >hg38_chr2:144038620-144038630(+) TTACAATGTGA >hg38_chr2:144038648-144038658(+) CAACAATAGCA >hg38_chr2:144077701-144077711(+) ACACAAAGGCA >hg38_chr2:144078131-144078141(-) GAACAATAAAT >hg38_chr2:144079153-144079163(+) GGACAATGAAA >hg38_chr2:144079731-144079741(-) ATACAATGAAC >hg38_chr2:144079778-144079788(+) AAACTATGGTC >hg38_chr2:144087174-144087184(+) ggacaatgagg >hg38_chr2:144096743-144096753(-) GAACAATGTAA >hg38_chr2:144127189-144127199(+) ATATAATGATA >hg38_chr2:144137959-144137969(-) gaacaaagggg >hg38_chr2:144157475-144157485(+) TAACAATGGTC >hg38_chr2:144229243-144229253(-) ATACAATAGGA >hg38_chr2:144229884-144229894(+) GAACAATGCTA >hg38_chr2:144230650-144230660(-) CAACAATGGGT >hg38_chr2:144234886-144234896(+) AAACAATATAC >hg38_chr2:144245417-144245427(-) AAACAATGAAC >hg38_chr2:144248893-144248903(-) AGACAAAGGAC >hg38_chr2:144261050-144261060(-) TAACAATAAAG >hg38_chr2:144262103-144262113(+) CAACAATGATA >hg38_chr2:144268498-144268508(+) atacaatgaga >hg38_chr2:144305464-144305474(-) gtataatgata >hg38_chr2:144307685-144307695(+) aaacaatgaca >hg38_chr2:144307923-144307933(+) acacaatggaa >hg38_chr2:144308908-144308918(-) ttacaatagtt >hg38_chr2:144309414-144309424(+) atacaatagaa >hg38_chr2:144352018-144352028(+) TGACAATGTGA >hg38_chr2:144352504-144352514(+) AAACAATGACG >hg38_chr2:144352542-144352552(+) AGAcaatgtgt >hg38_chr2:144370429-144370439(-) AGATAATGGAC >hg38_chr2:144373867-144373877(-) ACACAATAGCC >hg38_chr2:144424994-144425004(+) AAACAATAGCT >hg38_chr2:144426655-144426665(-) ACACAATGTGC >hg38_chr2:144427261-144427271(-) CCACAATGGGG >hg38_chr2:144444010-144444020(+) AAACAAAGACG >hg38_chr2:144444391-144444401(+) ACACAATGAAA >hg38_chr2:144454626-144454636(-) ACACAATAGAT >hg38_chr2:144466691-144466701(+) TAACAATAAAA >hg38_chr2:144470478-144470488(-) AAACAAAGGCA >hg38_chr2:144478599-144478609(+) ACACAATGAAA >hg38_chr2:144479269-144479279(-) AAACAATGGCA >hg38_chr2:144495905-144495915(-) GCACAATGGCA >hg38_chr2:144495967-144495977(+) GTACAAAGGCA >hg38_chr2:144503377-144503387(-) GAACAAAGGGC >hg38_chr2:144512089-144512099(+) GTACAGTGGAC >hg38_chr2:144517437-144517447(+) CGACAATGTGG >hg38_chr2:144518532-144518542(-) AGACAATAGGT >hg38_chr2:144523017-144523027(+) GGACAATGGGG >hg38_chr2:144568074-144568084(-) GGACAAAGGAC >hg38_chr2:144588013-144588023(+) GAACAAAGGAG >hg38_chr2:144603268-144603278(-) AGACAAAGGTA >hg38_chr2:144603873-144603883(+) AAATAATGGCC >hg38_chr2:144616215-144616225(+) TAACAACGGAT >hg38_chr2:144620375-144620385(-) ATACAATGTAT >hg38_chr2:144630095-144630105(+) GGACAATGTTG >hg38_chr2:144673799-144673809(+) TCACAATGGAG >hg38_chr2:144674218-144674228(-) AAACAATGACC >hg38_chr2:144725811-144725821(-) taacaatatga >hg38_chr2:144731490-144731500(-) GGACAATATAA >hg38_chr2:144740740-144740750(-) ACACAAAGGCG >hg38_chr2:144781238-144781248(-) TCATAATGGTA >hg38_chr2:144796269-144796279(+) ACACAATATAC >hg38_chr2:144811230-144811240(+) GGATAATGGCA >hg38_chr2:144874607-144874617(-) AAACAATGAAA >hg38_chr2:144895154-144895164(+) CTACAATGCTG >hg38_chr2:144921144-144921154(+) CCACAATAGCA >hg38_chr2:144931832-144931842(-) ttacaataacc >hg38_chr2:144938072-144938082(-) GAACAATGAGA >hg38_chr2:144939572-144939582(-) TGACAATGAAT >hg38_chr2:144944781-144944791(+) aaacaatgaat >hg38_chr2:144976069-144976079(+) TCACAATGTGT >hg38_chr2:144976134-144976144(-) AAACAAAGGCA >hg38_chr2:145000180-145000190(-) GAACAACGGCC >hg38_chr2:145015787-145015797(-) AAACAATAGGC >hg38_chr2:145069973-145069983(+) AAACAATGACT >hg38_chr2:145070297-145070307(-) AGACAATGATG >hg38_chr2:145072703-145072713(-) gaactatggtg >hg38_chr2:145090927-145090937(-) ttacaataggc >hg38_chr2:145111723-145111733(+) GGACAATAGTG >hg38_chr2:145145223-145145233(+) ctacaatggtg >hg38_chr2:145157038-145157048(+) AAACAAAGGGT >hg38_chr2:145200460-145200470(-) aaacaatgatc >hg38_chr2:145220893-145220903(-) GAACAATCGTC >hg38_chr2:145231941-145231951(-) taacaatagaa >hg38_chr2:145249797-145249807(-) TTACAATAACT >hg38_chr2:145250214-145250224(-) TAACAATAAAC >hg38_chr2:145327040-145327050(+) gtacaatggca >hg38_chr2:145352827-145352837(-) ACACAATGGCA >hg38_chr2:145380798-145380808(-) AGACAATGGCC >hg38_chr2:145497524-145497534(-) ATACACTGGAA >hg38_chr2:145503318-145503328(-) AAATAATGGAA >hg38_chr2:145514163-145514173(+) tgacaatgcaa >hg38_chr2:145529384-145529394(+) gaacaatgcat >hg38_chr2:145539070-145539080(+) ACACAATGCAA >hg38_chr2:145592609-145592619(+) TAACAAAGGGA >hg38_chr2:145597877-145597887(-) GAACAAAGGTT >hg38_chr2:145619135-145619145(+) CTATAATGGTA >hg38_chr2:145677256-145677266(-) AAACAAAGGCC >hg38_chr2:145682706-145682716(-) ACACAATAGTG >hg38_chr2:145713210-145713220(+) TTACAATAGAA >hg38_chr2:145737123-145737133(-) AAACAATGCAG >hg38_chr2:145737186-145737196(-) ATACAATGGCC >hg38_chr2:145742065-145742075(+) CAACAATGCAG >hg38_chr2:145752625-145752635(-) CAACAATGAAG >hg38_chr2:145760947-145760957(+) AAACAATGAAA >hg38_chr2:145850137-145850147(-) ATACAATGCCT >hg38_chr2:145856727-145856737(+) AAACAATAGTC >hg38_chr2:145862053-145862063(-) ATACAATGAGA >hg38_chr2:145865519-145865529(-) GCACAAAGGGA >hg38_chr2:145869814-145869824(-) GAACAATAGCT >hg38_chr2:145905930-145905940(-) ATACAATAAAA >hg38_chr2:145914667-145914677(+) GGACAAAGGAT >hg38_chr2:145919224-145919234(-) CTACAATGTAA >hg38_chr2:145920920-145920930(-) GAACAATAACA >hg38_chr2:145933124-145933134(-) GTATAATGGCA >hg38_chr2:145940896-145940906(+) AAACAATGCTG >hg38_chr2:145963683-145963693(-) GAACAAAGGCA >hg38_chr2:145963713-145963723(-) ACACAAAGGTA >hg38_chr2:145963751-145963761(-) AGACAATGGCC >hg38_chr2:145966538-145966548(-) gaacaatggat >hg38_chr2:146014167-146014177(+) CAACAATAGGT >hg38_chr2:146014203-146014213(-) ATACAATGAAG >hg38_chr2:146023626-146023636(+) GAACAATAATT >hg38_chr2:146114681-146114691(-) TTACAATAAAT >hg38_chr2:146143385-146143395(-) ATACAATGTGC >hg38_chr2:146143949-146143959(+) CGACAATGGTC >hg38_chr2:146143968-146143978(-) AGATAATGGTG >hg38_chr2:146174875-146174885(+) ATACAGTGACG >hg38_chr2:146184598-146184608(-) agacaatgcta >hg38_chr2:146184676-146184686(+) atataatggga >hg38_chr2:146186369-146186379(+) TTACAATGGTG >hg38_chr2:146191441-146191451(-) ACACAATGTGA >hg38_chr2:146191472-146191482(-) AAACAATGCAT >hg38_chr2:146191951-146191961(-) CTACAATGCTC >hg38_chr2:146228679-146228689(+) TTACAATGTCC >hg38_chr2:146228942-146228952(-) AGACAATGTGG >hg38_chr2:146235045-146235055(+) GTATAATGGCA >hg38_chr2:146239425-146239435(-) GAACAAAGGCA >hg38_chr2:146271585-146271595(-) AAACAAAGGAT >hg38_chr2:146314796-146314806(-) ACACAATGTTA >hg38_chr2:146318127-146318137(+) GCACAATGCCT >hg38_chr2:146321343-146321353(-) TAATAATGGTT >hg38_chr2:146325217-146325227(-) TAACTATGGAC >hg38_chr2:146335796-146335806(-) aaacaatgcag >hg38_chr2:146363592-146363602(+) GGACAATAAAC >hg38_chr2:146372917-146372927(-) ctacaatgaga >hg38_chr2:146374425-146374435(+) GAACAATAAAA >hg38_chr2:146397103-146397113(-) ACACAATAATA >hg38_chr2:146397623-146397633(+) aaacaatggct >hg38_chr2:146397660-146397670(+) gaacaatgatg >hg38_chr2:146436795-146436805(+) CCACAATAGGA >hg38_chr2:146501204-146501214(+) AGACAATGGAT >hg38_chr2:146529300-146529310(-) GGACAATAGTC >hg38_chr2:146572559-146572569(-) GGACAATGGGG >hg38_chr2:146594182-146594192(+) ttacaaaggga >hg38_chr2:146609160-146609170(+) AGACAATGGGC >hg38_chr2:146658570-146658580(+) ggacaataaat >hg38_chr2:146661315-146661325(-) AAACAATGTTT >hg38_chr2:146665313-146665323(+) TCATAATGGCA >hg38_chr2:146666483-146666493(-) AAACAATGAGC >hg38_chr2:146696982-146696992(-) TAACAATGAGA >hg38_chr2:146697025-146697035(-) aaataatggga >hg38_chr2:146715926-146715936(-) ATACAATGTCT >hg38_chr2:146734215-146734225(+) ATACAATATAA >hg38_chr2:146848294-146848304(-) AGACAATGTGA >hg38_chr2:146857484-146857494(+) gcacaatgggg >hg38_chr2:146861100-146861110(+) acacaatggaa >hg38_chr2:146869364-146869374(-) tcacaATGCCC >hg38_chr2:146874065-146874075(+) CTACAATGACA >hg38_chr2:146921115-146921125(+) gaacaaaggca >hg38_chr2:146927575-146927585(-) AGACAATGCTC >hg38_chr2:146928154-146928164(+) TAACAAAGGGA >hg38_chr2:146934698-146934708(-) ttacaatagcc >hg38_chr2:146979098-146979108(+) ACACAATGGGC >hg38_chr2:146986606-146986616(-) GCACAATGGGA >hg38_chr2:146986654-146986664(+) TAACAATGCAA >hg38_chr2:146986685-146986695(-) AGACAATGCAG >hg38_chr2:146990588-146990598(-) AGATAATGGCA >hg38_chr2:147016411-147016421(+) AGACAATAGAT >hg38_chr2:147025960-147025970(-) ttataatgggc >hg38_chr2:147041334-147041344(-) ATACAATATCC >hg38_chr2:147046715-147046725(+) CGACCATGGAG >hg38_chr2:147083045-147083055(-) AAACAATGCAA >hg38_chr2:147094236-147094246(+) TCACAATGCCT >hg38_chr2:147096825-147096835(-) CTACAATGCAC >hg38_chr2:147115258-147115268(-) ttacaaaggaa >hg38_chr2:147125302-147125312(+) agacaatggga >hg38_chr2:147165489-147165499(+) ccacaataggc >hg38_chr2:147175116-147175126(+) TAACAATGGGG >hg38_chr2:147199498-147199508(-) caacaatgaca >hg38_chr2:147216100-147216110(+) TGACAATGTGG >hg38_chr2:147223745-147223755(-) AGACAATAAAT >hg38_chr2:147235847-147235857(+) CAACAATAGAA >hg38_chr2:147235873-147235883(-) GCACAATGCTG >hg38_chr2:147255422-147255432(+) gaacaataaca >hg38_chr2:147255448-147255458(-) caacaatggtc >hg38_chr2:147286726-147286736(-) ccacaatgatg >hg38_chr2:147303193-147303203(-) GAACAATGAAT >hg38_chr2:147332088-147332098(+) ATACAATAACT >hg38_chr2:147339714-147339724(+) TGACAATAGAC >hg38_chr2:147349009-147349019(-) acataatggtc >hg38_chr2:147355948-147355958(-) GGACACTGGTA >hg38_chr2:147355955-147355965(-) GGACAATGGAC >hg38_chr2:147440880-147440890(+) GCACAATAGGC >hg38_chr2:147449690-147449700(-) TAACAATGCAG >hg38_chr2:147450818-147450828(+) GGATAATGGCA >hg38_chr2:147465337-147465347(-) GCACAGTGGTA >hg38_chr2:147465356-147465366(+) TCACAATGCAA >hg38_chr2:147467991-147468001(-) tgacaatgagg >hg38_chr2:147553981-147553991(+) AGACAATGGGG >hg38_chr2:147615259-147615269(+) AAACAATGAAG >hg38_chr2:147683600-147683610(+) TAACAATACAC >hg38_chr2:147704762-147704772(+) CTATAATGGCA >hg38_chr2:147724594-147724604(+) ACACAATAGCT >hg38_chr2:147747730-147747740(+) AGACAATGCAG >hg38_chr2:147747730-147747740(+) AGACAATGCAG >hg38_chr2:147747876-147747886(+) AAACAATAACT >hg38_chr2:147781302-147781312(+) AAACAATATAA >hg38_chr2:147790075-147790085(-) TAACAGTGGCA >hg38_chr2:147823756-147823766(+) taacaataatt >hg38_chr2:147834424-147834434(-) taacaatagag >hg38_chr2:147834447-147834457(-) aaacaatgata >hg38_chr2:147835888-147835898(+) TAACAAAGGGT >hg38_chr2:147844213-147844223(-) CGACAAAGGGG >hg38_chr2:147845917-147845927(-) ATACAAAGCGT >hg38_chr2:147845930-147845940(-) AAACAATGCTT >hg38_chr2:147847928-147847938(-) CCACAATAGCT >hg38_chr2:147884733-147884743(+) TGACAATGCAT >hg38_chr2:147884768-147884778(-) ATACAATATCT >hg38_chr2:147903400-147903410(-) ctacaatagga >hg38_chr2:147904326-147904336(+) GTAAAATGGCG >hg38_chr2:147934715-147934725(+) acacaatggta >hg38_chr2:147959237-147959247(-) TTACAATGATA >hg38_chr2:147972324-147972334(+) taacaaaggga >hg38_chr2:147973802-147973812(-) TTACAATGGCC >hg38_chr2:147996695-147996705(+) aaacaatgaga >hg38_chr2:147996722-147996732(+) ttacaatggct >hg38_chr2:147996740-147996750(+) aaacaatgaca >hg38_chr2:148010082-148010092(+) aaacaatacgt >hg38_chr2:148011996-148012006(-) taacaatacaa >hg38_chr2:148020928-148020938(+) CTACAATGTCT >hg38_chr2:148030930-148030940(+) GAACAATGGAT >hg38_chr2:148056949-148056959(-) atacaatgtat >hg38_chr2:148058946-148058956(+) ACACAATGAAA >hg38_chr2:148085237-148085247(-) TAACAATAATA >hg38_chr2:148135621-148135631(-) TAATAATGTAT >hg38_chr2:148135644-148135654(+) TAACAATGGCT >hg38_chr2:148142158-148142168(+) aaacaatagag >hg38_chr2:148201434-148201444(-) agacaatggga >hg38_chr2:148206896-148206906(+) AGACAATGCAC >hg38_chr2:148212327-148212337(+) atacaatacat >hg38_chr2:148218581-148218591(-) acacaatgagg >hg38_chr2:148234565-148234575(+) TAACAATAGTA >hg38_chr2:148278197-148278207(-) Taacaatacga >hg38_chr2:148359596-148359606(-) ACACAAAGGAG >hg38_chr2:148482030-148482040(-) AAACAATAGTT >hg38_chr2:148482043-148482053(-) TGACAATGAAT >hg38_chr2:148491906-148491916(+) TTACAATGTCT >hg38_chr2:148494168-148494178(-) aaacaataact >hg38_chr2:148494183-148494193(-) ggacaatgcag >hg38_chr2:148501758-148501768(+) AGACAAAGGAC >hg38_chr2:148512553-148512563(+) GGACAATGGGG >hg38_chr2:148512610-148512620(-) AAACAAAGGAT >hg38_chr2:148523466-148523476(+) TTACAATGGGC >hg38_chr2:148571133-148571143(-) CTACAATAGCG >hg38_chr2:148617635-148617645(-) gaacaaaggag >hg38_chr2:148648772-148648782(+) TGACAATGCCT >hg38_chr2:148648816-148648826(-) ATACAATGTTA >hg38_chr2:148656316-148656326(-) GCACAATGCAA >hg38_chr2:148656639-148656649(-) atacaaaggta >hg38_chr2:148661075-148661085(-) aaacaatgata >hg38_chr2:148661558-148661568(+) agataatgggc >hg38_chr2:148712478-148712488(+) ttataatggaa >hg38_chr2:148722593-148722603(-) ccacaatggct >hg38_chr2:148740908-148740918(-) TCACAAAGGAT >hg38_chr2:148745701-148745711(+) TAACAAAGGGC >hg38_chr2:148746882-148746892(+) taacaatacat >hg38_chr2:148754076-148754086(+) CAACAATGACC >hg38_chr2:148847450-148847460(+) ttacaataaca >hg38_chr2:148849251-148849261(-) agacaaaggag >hg38_chr2:148876783-148876793(-) ATACAAAGGGG >hg38_chr2:148896309-148896319(+) AAACAAAGGAG >hg38_chr2:148905075-148905085(-) atacaatgaaa >hg38_chr2:148914579-148914589(-) GAACAAAGGCA >hg38_chr2:149033883-149033893(+) ATACAATGAGA >hg38_chr2:149044633-149044643(+) gtacaatgttg >hg38_chr2:149057470-149057480(-) AAACAATGTGA >hg38_chr2:149081182-149081192(-) TTACAAAGGCC >hg38_chr2:149137119-149137129(-) acacaatgcct >hg38_chr2:149152569-149152579(+) ggacaatggga >hg38_chr2:149155845-149155855(+) TAACAAAGGAC >hg38_chr2:149200313-149200323(-) AAACAAAGGTG >hg38_chr2:149267825-149267835(-) atacaaaggaa >hg38_chr2:149267848-149267858(-) atacaatgaaa >hg38_chr2:149273244-149273254(-) ATACAATGTAT >hg38_chr2:149273259-149273269(+) AAACAATGAGC >hg38_chr2:149273279-149273289(-) ATACAATAGCC >hg38_chr2:149293236-149293246(+) GTACAATATAC >hg38_chr2:149313635-149313645(-) ccacaatgtcc >hg38_chr2:149314943-149314953(+) GCACAATGCAC >hg38_chr2:149389592-149389602(+) TCACAATGCCT >hg38_chr2:149398360-149398370(-) CTACAATAGGA >hg38_chr2:149410725-149410735(-) GAACAATAGCC >hg38_chr2:149410838-149410848(+) GTACAATACAT >hg38_chr2:149458828-149458838(+) gaacaaaggga >hg38_chr2:149488739-149488749(-) TAACACTGGAA >hg38_chr2:149508088-149508098(+) ACATAATGGGC >hg38_chr2:149515564-149515574(+) TTACAATGCCT >hg38_chr2:149563255-149563265(+) AAACTATGgtc >hg38_chr2:149573816-149573826(+) AAACAATGAAA >hg38_chr2:149573840-149573850(+) TTACAAAGGTT >hg38_chr2:149582724-149582734(-) CCACAATGAAA >hg38_chr2:149583041-149583051(+) cgacaatggca >hg38_chr2:149585638-149585648(-) gaacaaagggg >hg38_chr2:149594242-149594252(+) TAACAAAGGAT >hg38_chr2:149601043-149601053(-) acacaaaggat >hg38_chr2:149666554-149666564(-) CTACAATGGCA >hg38_chr2:149666566-149666576(-) CTACAATGTGA >hg38_chr2:149681246-149681256(-) TCACAATGTCA >hg38_chr2:149681257-149681267(+) TTataatggtg >hg38_chr2:149700940-149700950(+) TCACAATGCTG >hg38_chr2:149716806-149716816(+) CCACAATGGAA >hg38_chr2:149727831-149727841(-) GAACAATATAA >hg38_chr2:149734135-149734145(-) aaacaatgctt >hg38_chr2:149734144-149734154(-) agacaaaggaa >hg38_chr2:149817431-149817441(-) TTACAATAGAG >hg38_chr2:149824968-149824978(-) TCACAATAGTT >hg38_chr2:149825126-149825136(+) AGATAATGGGC >hg38_chr2:149825167-149825177(-) GAACAAAGGTG >hg38_chr2:149825182-149825192(+) TCACAATGTAT >hg38_chr2:149831707-149831717(-) atacaatggaa >hg38_chr2:149832800-149832810(-) GCATAATGGGT >hg38_chr2:149848436-149848446(-) CTACAATGTTT >hg38_chr2:149863806-149863816(+) aaacaatgcag >hg38_chr2:149878030-149878040(+) TCACAATGGCT >hg38_chr2:149886229-149886239(-) aaacaatgcaa >hg38_chr2:149899585-149899595(-) TGACAATAGGC >hg38_chr2:149908714-149908724(-) TGACAATGATG >hg38_chr2:150003604-150003614(-) GAACAATGCAG >hg38_chr2:150029967-150029977(+) gaacaatggta >hg38_chr2:150030014-150030024(+) atataatggag >hg38_chr2:150030035-150030045(+) caacaatgaca >hg38_chr2:150042003-150042013(+) GAACAATGGCT >hg38_chr2:150047024-150047034(+) AGACAATAAAA >hg38_chr2:150060381-150060391(+) AAACAATGTTT >hg38_chr2:150063839-150063849(-) GCACAATGCCT >hg38_chr2:150064181-150064191(+) TTACAATGGAC >hg38_chr2:150064189-150064199(-) AGACAAAGGTC >hg38_chr2:150100480-150100490(-) GCACAATGCAT >hg38_chr2:150123096-150123106(-) agataatggcc >hg38_chr2:150125872-150125882(-) AAACAATGAGG >hg38_chr2:150132198-150132208(-) AAACAATGTGA >hg38_chr2:150132240-150132250(+) TGACAATGCTG >hg38_chr2:150157702-150157712(+) ACACAATGCAT >hg38_chr2:150157804-150157814(-) TTACAATGCCA >hg38_chr2:150165483-150165493(+) GAACAATAAAG >hg38_chr2:150165747-150165757(+) CCACAATGATG >hg38_chr2:150184466-150184476(+) GGACAATGGAA >hg38_chr2:150188511-150188521(+) AAACAAAGGAA >hg38_chr2:150200210-150200220(+) TCACAATGGCC >hg38_chr2:150229273-150229283(+) acataatgggg >hg38_chr2:150259961-150259971(+) GCACAAAGGAA >hg38_chr2:150263441-150263451(+) caacaatgata >hg38_chr2:150263924-150263934(-) caacaatgggt >hg38_chr2:150284293-150284303(-) ctacaatggca >hg38_chr2:150296972-150296982(-) AAACAATGACT >hg38_chr2:150320771-150320781(+) TGACAAAGGAA >hg38_chr2:150320805-150320815(-) AGACAAAGGTT >hg38_chr2:150327936-150327946(-) GAACAAAGGCT >hg38_chr2:150333912-150333922(+) ccacaatgggg >hg38_chr2:150342621-150342631(-) TTATAATGGTG >hg38_chr2:150342658-150342668(-) gcacaatgtaa >hg38_chr2:150346806-150346816(+) gtacaatgata >hg38_chr2:150358652-150358662(-) ACACAATGGCT >hg38_chr2:150420045-150420055(+) AAACAAAGGTT >hg38_chr2:150446930-150446940(-) Gaataatggca >hg38_chr2:150482452-150482462(-) AAACAATGGGT >hg38_chr2:150505980-150505990(+) TGACAAAGGTC >hg38_chr2:150506353-150506363(+) gaacaatggag >hg38_chr2:150528688-150528698(-) TGACAATGGAA >hg38_chr2:150571404-150571414(-) ATACAAAGGAG >hg38_chr2:150576512-150576522(-) atacaatgtcc >hg38_chr2:150588353-150588363(+) CAACAATGACG >hg38_chr2:150596908-150596918(+) TGACAATGGTA >hg38_chr2:150608751-150608761(-) TCACAATGGGC >hg38_chr2:150608810-150608820(-) AAACAATGTCC >hg38_chr2:150620239-150620249(+) AGACAATGCTG >hg38_chr2:150627366-150627376(+) GGACAATGGGT >hg38_chr2:150631115-150631125(-) GAACAATAGGG >hg38_chr2:150647986-150647996(+) CAACAATGGAC >hg38_chr2:150710814-150710824(+) GAACAATAAGT >hg38_chr2:150736063-150736073(-) AAACAATGAAA >hg38_chr2:150750800-150750810(-) agacaatggaa >hg38_chr2:150750817-150750827(-) aaacaatagaa >hg38_chr2:150754356-150754366(-) GTACAATGATA >hg38_chr2:150756409-150756419(+) CTACAATGAAG >hg38_chr2:150757763-150757773(-) CAACAATGGTA >hg38_chr2:150757779-150757789(+) AAACAATGAGC >hg38_chr2:150770208-150770218(-) ATACAATGGTG >hg38_chr2:150803640-150803650(+) atacagtggaa >hg38_chr2:150853412-150853422(+) CCACAATAGAA >hg38_chr2:150853447-150853457(+) GCATAATGGAA >hg38_chr2:150872611-150872621(-) aaacaatagcc >hg38_chr2:150911783-150911793(+) CTACAATGTTT >hg38_chr2:150922190-150922200(+) TGACAATGGAG >hg38_chr2:150924510-150924520(-) AGACAATGGCA >hg38_chr2:150925866-150925876(-) AGACAATAGAC >hg38_chr2:150931646-150931656(+) ACACAATGGCT >hg38_chr2:150932546-150932556(-) ACACAATGCTA >hg38_chr2:150952638-150952648(+) gaacaatacta >hg38_chr2:150981774-150981784(-) TAACAATATAT >hg38_chr2:151040282-151040292(+) CAACAATGCAA >hg38_chr2:151040303-151040313(+) CGACAAAGGAA >hg38_chr2:151053374-151053384(-) GAACAATGGCC >hg38_chr2:151057092-151057102(+) AAACAATGCAT >hg38_chr2:151057106-151057116(+) TAACAATAAAA >hg38_chr2:151057938-151057948(+) CCACAATGTGC >hg38_chr2:151070418-151070428(+) ATACAATGCTT >hg38_chr2:151081567-151081577(+) aaacaataggt >hg38_chr2:151081598-151081608(+) aaacaatgatg >hg38_chr2:151085366-151085376(-) GCACAATGAAA >hg38_chr2:151123661-151123671(+) TTACAATGGAT >hg38_chr2:151161637-151161647(-) AGACAGTGGTA >hg38_chr2:151165356-151165366(+) TTACAAAGGGA >hg38_chr2:151165893-151165903(-) AAACAATAGAA >hg38_chr2:151181302-151181312(+) CAACAATGTAT >hg38_chr2:151204875-151204885(-) caacaatagAC >hg38_chr2:151205795-151205805(-) GAACAAAGGCA >hg38_chr2:151244293-151244303(-) AGACAATAGGC >hg38_chr2:151270305-151270315(-) AAACAAAGGCA >hg38_chr2:151293713-151293723(+) TGACAATGCTA >hg38_chr2:151358437-151358447(+) AAACAATAGAT >hg38_chr2:151362172-151362182(-) ggacaATAGTC >hg38_chr2:151362194-151362204(-) aaacaatgtga >hg38_chr2:151391523-151391533(+) CCACAATGTTC >hg38_chr2:151391536-151391546(+) GGACAATGCTG >hg38_chr2:151408990-151409000(+) ttacaatgcat >hg38_chr2:151409046-151409056(-) taacaatggcc >hg38_chr2:151417466-151417476(+) TAACAATGATG >hg38_chr2:151417489-151417499(+) TAACAATAAGT >hg38_chr2:151423014-151423024(-) AAACAAAGGCC >hg38_chr2:151451134-151451144(+) taacaatgtgt >hg38_chr2:151455064-151455074(-) GTACACTGGAA >hg38_chr2:151498749-151498759(-) ACACAATGTTT >hg38_chr2:151499600-151499610(-) TTACAATAAAA >hg38_chr2:151499866-151499876(-) AGACAATGCCA >hg38_chr2:151521199-151521209(+) TAACAATGAAA >hg38_chr2:151521234-151521244(+) TCACAATGCTG >hg38_chr2:151548316-151548326(-) CAACAATGTTA >hg38_chr2:151663325-151663335(+) CAACAATGCTC >hg38_chr2:151663340-151663350(+) CAACAATAGTA >hg38_chr2:151730777-151730787(-) TGACAATGGTT >hg38_chr2:151760163-151760173(+) GCACAATGCCT >hg38_chr2:151761829-151761839(-) ATACAATGCAA >hg38_chr2:151773705-151773715(+) aaacaatgaaa >hg38_chr2:151796551-151796561(+) GTACAATGCAG >hg38_chr2:151796996-151797006(+) GAACAATGTTA >hg38_chr2:151800275-151800285(+) GAACAATAGAG >hg38_chr2:151800331-151800341(+) AAACAATGTCA >hg38_chr2:151807602-151807612(+) ttacaatgtat >hg38_chr2:151835179-151835189(+) ACACAAAGGCA >hg38_chr2:151878350-151878360(-) TAACAAAGGCC >hg38_chr2:151897872-151897882(+) ACACAATGCAG >hg38_chr2:151897919-151897929(-) AAACAAAGGGC >hg38_chr2:151945373-151945383(+) TAACAATGGGG >hg38_chr2:151953619-151953629(-) TTACAATGCTT >hg38_chr2:151973275-151973285(+) AAACAATGTGT >hg38_chr2:151973289-151973299(+) ATATAATGAAT >hg38_chr2:152000973-152000983(+) TAACAATAGGT >hg38_chr2:152004742-152004752(+) ggacaatgggg >hg38_chr2:152027280-152027290(-) ATACAaaggag >hg38_chr2:152074096-152074106(+) AAACAATGTTA >hg38_chr2:152118882-152118892(+) AGACAATGACA >hg38_chr2:152119219-152119229(+) AGACAATGAAG >hg38_chr2:152166551-152166561(+) GGACAATGGCC >hg38_chr2:152168864-152168874(-) tgacaatagac >hg38_chr2:152173141-152173151(+) acacaatgctt >hg38_chr2:152175631-152175641(+) GAACAAAGGCA >hg38_chr2:152221957-152221967(-) GAACAATAAGA >hg38_chr2:152221964-152221974(-) AAACAATGAAC >hg38_chr2:152223394-152223404(-) AAACAATGGTT >hg38_chr2:152255125-152255135(-) ATACAAAGGAG >hg38_chr2:152257825-152257835(+) gtacaatgggc >hg38_chr2:152299035-152299045(-) gaacaatggga >hg38_chr2:152299676-152299686(-) atacaataaag >hg38_chr2:152335141-152335151(-) ACACAATGCCA >hg38_chr2:152336857-152336867(-) GCACAATCGTT >hg38_chr2:152337485-152337495(+) TAACAATAATG >hg38_chr2:152354203-152354213(+) TCACAATGAGA >hg38_chr2:152355624-152355634(-) GGACAAAGGGT >hg38_chr2:152369838-152369848(+) GCATAATGGAG >hg38_chr2:152381437-152381447(+) AGACAATGAGA >hg38_chr2:152386197-152386207(-) CTACAATAGCC >hg38_chr2:152393843-152393853(+) agacaataggc >hg38_chr2:152395422-152395432(-) TAACAATGAAT >hg38_chr2:152408600-152408610(-) ttacaatgggt >hg38_chr2:152413246-152413256(-) ACACAATGACT >hg38_chr2:152413270-152413280(-) ATACAATGTAG >hg38_chr2:152413622-152413632(+) AAACAATGTGA >hg38_chr2:152413641-152413651(+) ATACAATGCAG >hg38_chr2:152415907-152415917(+) CAACAATGGCA >hg38_chr2:152426474-152426484(-) AAACAATGCTT >hg38_chr2:152431106-152431116(+) GAATAATGGTT >hg38_chr2:152432360-152432370(-) TCACAATGCTT >hg38_chr2:152440239-152440249(+) ccacaatgtct >hg38_chr2:152446714-152446724(-) TAACAATGGTC >hg38_chr2:152452043-152452053(+) GGACAATGCCA >hg38_chr2:152454640-152454650(-) CAACAATGGAG >hg38_chr2:152468884-152468894(+) AGATAATGGAA >hg38_chr2:152486330-152486340(+) TAACAATATAC >hg38_chr2:152491023-152491033(-) GCACAATGGAG >hg38_chr2:152494274-152494284(-) ATACAATAACA >hg38_chr2:152558365-152558375(-) GGACAATGAGG >hg38_chr2:152558372-152558382(-) AGACTATGGAC >hg38_chr2:152560679-152560689(-) AAATAATGGGT >hg38_chr2:152560731-152560741(-) ATATAATGGAA >hg38_chr2:152600256-152600266(-) TTACAATAGGC >hg38_chr2:152627491-152627501(-) GAACAAAGGAT >hg38_chr2:152648453-152648463(-) AAACAAAGGAT >hg38_chr2:152652069-152652079(-) GGACAATGAAA >hg38_chr2:152652574-152652584(+) GTACCATGGAT >hg38_chr2:152654588-152654598(+) CTACAATGGGA >hg38_chr2:152668425-152668435(-) TTACAATGGCT >hg38_chr2:152668452-152668462(+) atacaatggtt >hg38_chr2:152679089-152679099(+) CAACAATGGTA >hg38_chr2:152682804-152682814(+) TGACAACGGAG >hg38_chr2:152682822-152682832(+) ACACTATGGAT >hg38_chr2:152695063-152695073(+) AAACAATGACT >hg38_chr2:152695383-152695393(+) ATACAATAATC >hg38_chr2:152697639-152697649(-) acacaatgatg >hg38_chr2:152716511-152716521(-) TAACAATAGCT >hg38_chr2:152716797-152716807(-) GAACAATGGAC >hg38_chr2:152717189-152717199(-) GCACAATGAAA >hg38_chr2:152724305-152724315(+) TAACAATAACA >hg38_chr2:152731996-152732006(-) atacaatagaa >hg38_chr2:152748220-152748230(+) TAACAATGGTC >hg38_chr2:152748528-152748538(+) AAACAAAGGCA >hg38_chr2:152757905-152757915(-) CTACAATGTTG >hg38_chr2:152759854-152759864(-) CAACAATATCG >hg38_chr2:152760174-152760184(+) GGACAATGCTT >hg38_chr2:152761051-152761061(+) TTACAATAAGA >hg38_chr2:152762461-152762471(-) TCACAATAGAG >hg38_chr2:152768622-152768632(+) TGACAATGGCT >hg38_chr2:152774672-152774682(+) acacaatggaa >hg38_chr2:152774700-152774710(+) aaataatggct >hg38_chr2:152796700-152796710(+) gtacaatagtt >hg38_chr2:152826804-152826814(+) GAACAATAGCT >hg38_chr2:152925940-152925950(-) aaacaatagct >hg38_chr2:152943955-152943965(+) AGACTATGGAA >hg38_chr2:152944017-152944027(-) GCACAATGATG >hg38_chr2:153107249-153107259(+) AGACAATGTCC >hg38_chr2:153133910-153133920(+) ACACAATAGGT >hg38_chr2:153149426-153149436(+) ggacaatgcaa >hg38_chr2:153297765-153297775(+) TAACAATAGTG >hg38_chr2:153375584-153375594(-) taacaatatca >hg38_chr2:153459829-153459839(-) acacaatgtgg >hg38_chr2:153519450-153519460(+) TAACAATGATG >hg38_chr2:153519486-153519496(-) AGACAATGCTT >hg38_chr2:153532498-153532508(-) agacaatgggg >hg38_chr2:153705709-153705719(-) atacaatgtta >hg38_chr2:153705750-153705760(+) ccacaatggaa >hg38_chr2:153764684-153764694(+) tgacaatgggg >hg38_chr2:153770521-153770531(-) acacaatagga >hg38_chr2:153778545-153778555(+) agATAATGGAA >hg38_chr2:153797854-153797864(+) ATACAATGATT >hg38_chr2:153986643-153986653(+) TCACAATAGAA >hg38_chr2:153986690-153986700(-) AAACAAAGGCT >hg38_chr2:154085370-154085380(-) CCACAATGGTT >hg38_chr2:154142664-154142674(-) ATACAATGGTT >hg38_chr2:154178680-154178690(-) GGACAATGGAA >hg38_chr2:154203833-154203843(-) agacaacggtg >hg38_chr2:154203851-154203861(-) aaataatggat >hg38_chr2:154333956-154333966(-) CGACAATTGTA >hg38_chr2:154338757-154338767(+) ggataatggcc >hg38_chr2:154382319-154382329(+) TCACAATAGTA >hg38_chr2:154415320-154415330(-) GTACAATGTGT >hg38_chr2:154438339-154438349(+) AAATAATGGGT >hg38_chr2:154502337-154502347(-) gcataatggtg >hg38_chr2:154516703-154516713(-) GCACAATGAGG >hg38_chr2:154517260-154517270(+) CAACAATGAAC >hg38_chr2:154533848-154533858(-) aaacaatggcc >hg38_chr2:154580511-154580521(+) aaacaatagag >hg38_chr2:154632060-154632070(+) AGACAAAGGAG >hg38_chr2:154637258-154637268(-) ATACAATAGAA >hg38_chr2:154762769-154762779(+) TGACAATGGAT >hg38_chr2:154862678-154862688(-) taacaatagag >hg38_chr2:154909482-154909492(-) gaacaaaggat >hg38_chr2:154952458-154952468(+) agacaaaggaa >hg38_chr2:154953532-154953542(+) AGACAATAGAA >hg38_chr2:155013989-155013999(-) AGACAAAGGTT >hg38_chr2:155023848-155023858(+) CTACAATAATA >hg38_chr2:155042326-155042336(-) AAACAATGATA >hg38_chr2:155098264-155098274(-) caacaataacg >hg38_chr2:155116533-155116543(-) tgacaatggct >hg38_chr2:155176520-155176530(-) GCACAATGACC >hg38_chr2:155195810-155195820(+) TAACAATAGTC >hg38_chr2:155216442-155216452(+) ATACAGTGGAG >hg38_chr2:155250937-155250947(+) gaacaaaggct >hg38_chr2:155256746-155256756(-) agacaaagggc >hg38_chr2:155293750-155293760(+) aaacaatggta >hg38_chr2:155358962-155358972(+) caacaatggcc >hg38_chr2:155377026-155377036(+) GTACAATTGAT >hg38_chr2:155377362-155377372(-) TAACAATGCCA >hg38_chr2:155377394-155377404(+) ATACAATGACC >hg38_chr2:155378288-155378298(+) GTACAATGCAT >hg38_chr2:155378293-155378303(-) CCACAATGCAT >hg38_chr2:155383305-155383315(-) ATACAATGAGA >hg38_chr2:155384115-155384125(+) ACACAATGTGG >hg38_chr2:155412837-155412847(-) ggacaatgagt >hg38_chr2:155425820-155425830(+) GGACAATGTTT >hg38_chr2:155630869-155630879(+) TTACAATGTAT >hg38_chr2:155711176-155711186(-) AAACAATAGTA >hg38_chr2:155727705-155727715(+) GAACAATGTGA >hg38_chr2:155729493-155729503(+) ATATAATGGCT >hg38_chr2:155733240-155733250(+) GTACAAAGGGA >hg38_chr2:155733713-155733723(+) GTACAATGGCA >hg38_chr2:155836535-155836545(-) aaacaatgcta >hg38_chr2:155836592-155836602(+) gcacaatgctt >hg38_chr2:155973051-155973061(-) aaacaatggtg >hg38_chr2:155973084-155973094(+) taacaatgaga >hg38_chr2:155987395-155987405(+) AGACAATGGAC >hg38_chr2:156040145-156040155(-) taacaatacta >hg38_chr2:156066114-156066124(-) TCACAATACCG >hg38_chr2:156067175-156067185(+) GTACATTGGTA >hg38_chr2:156097191-156097201(+) AGACAATACGG >hg38_chr2:156153658-156153668(-) ACACAATAAAT >hg38_chr2:156159077-156159087(+) TTACAATGTGT >hg38_chr2:156159092-156159102(-) TGACAATGTCA >hg38_chr2:156168064-156168074(+) AGACAAAGGGA >hg38_chr2:156173397-156173407(+) TGACAATAGGC >hg38_chr2:156192845-156192855(+) ACACAATAAAC >hg38_chr2:156219619-156219629(+) aaataatggcc >hg38_chr2:156278867-156278877(-) gtacaatgcct >hg38_chr2:156278907-156278917(-) gtacaatgtgt >hg38_chr2:156310403-156310413(-) TGACAATGGTG >hg38_chr2:156323102-156323112(-) AGACAATGACA >hg38_chr2:156325700-156325710(-) TGATAATGGAA >hg38_chr2:156332702-156332712(-) AAACAAAGGCA >hg38_chr2:156382832-156382842(-) TAACAATGTAC >hg38_chr2:156382995-156383005(-) CAACAATGACA >hg38_chr2:156401066-156401076(-) AAACACTGGAC >hg38_chr2:156445380-156445390(-) ATACAATGTTG >hg38_chr2:156457743-156457753(+) taacaatagtc >hg38_chr2:156457783-156457793(+) ggacaatgtgt >hg38_chr2:156464361-156464371(-) ATACAATGCAT >hg38_chr2:156537657-156537667(-) GGACAATGGTG >hg38_chr2:156537678-156537688(+) AGACAAAGGGA >hg38_chr2:156542224-156542234(+) AGACAAAGGAA >hg38_chr2:156561542-156561552(+) tgacaatgacc >hg38_chr2:156570167-156570177(-) CAACAATAGGC >hg38_chr2:156601795-156601805(+) CAACAATGAGG >hg38_chr2:156651450-156651460(-) GATCAATGGTA >hg38_chr2:156655183-156655193(+) GAACAATGGCA >hg38_chr2:156671477-156671487(+) ttacaatgacc >hg38_chr2:156671917-156671927(+) ggacaatgacc >hg38_chr2:156685265-156685275(-) ATACAAAGATA >hg38_chr2:156702391-156702401(+) caacaatgacc >hg38_chr2:156768027-156768037(-) aaacaatataa >hg38_chr2:156825988-156825998(+) agacaatggag >hg38_chr2:156826052-156826062(+) agacaaaggga >hg38_chr2:156868283-156868293(+) taacagtggag >hg38_chr2:156884065-156884075(-) agacaaaggga >hg38_chr2:156884724-156884734(+) ATATAATgata >hg38_chr2:156884732-156884742(+) atacaatgatg >hg38_chr2:156892706-156892716(+) GTACAATGTGT >hg38_chr2:156893241-156893251(+) ACACAATGTTA >hg38_chr2:156910093-156910103(+) AGACAAAGACG >hg38_chr2:156972501-156972511(-) aaataatggat >hg38_chr2:156972560-156972570(+) acataatggac >hg38_chr2:157005005-157005015(-) TCACAATAGCA >hg38_chr2:157032212-157032222(-) TGACAATGGAA >hg38_chr2:157038321-157038331(+) GTACAATGGAA >hg38_chr2:157043925-157043935(-) GTATAATGAAC >hg38_chr2:157043932-157043942(-) TTACAATGTAT >hg38_chr2:157056380-157056390(-) GCACAATAGAA >hg38_chr2:157078148-157078158(+) AGACAAAGGTC >hg38_chr2:157078167-157078177(+) ATACAATGCCA >hg38_chr2:157086383-157086393(-) GAACAATAGAG >hg38_chr2:157086416-157086426(-) TCACAATGGCC >hg38_chr2:157115647-157115657(+) atacaatggaa >hg38_chr2:157134675-157134685(+) TAACAATAAAT >hg38_chr2:157175235-157175245(+) ttaCAATAAAT >hg38_chr2:157179535-157179545(-) aaacaatgaat >hg38_chr2:157186717-157186727(+) gaataatgtac >hg38_chr2:157186724-157186734(+) gtacaatgaat >hg38_chr2:157188333-157188343(-) CTACAATGAAA >hg38_chr2:157217141-157217151(-) GCACAATGAAT >hg38_chr2:157238456-157238466(-) TGACAATAGTT >hg38_chr2:157238507-157238517(-) GAACAAAGGAG >hg38_chr2:157246976-157246986(-) ACACAATGAGT >hg38_chr2:157265283-157265293(+) GGACAATGAAC >hg38_chr2:157265332-157265342(+) TAACAAAGGGA >hg38_chr2:157313141-157313151(+) caacaatgaac >hg38_chr2:157316812-157316822(+) GAACAATAGTT >hg38_chr2:157319949-157319959(+) AAACAAAGGGT >hg38_chr2:157319966-157319976(+) GTACAATGAAG >hg38_chr2:157345901-157345911(+) taacaaaggcc >hg38_chr2:157417408-157417418(+) TAACAATGTTG >hg38_chr2:157458718-157458728(-) acacaatgact >hg38_chr2:157458730-157458740(+) gtacaataggt >hg38_chr2:157468966-157468976(+) TAACAATGTGA >hg38_chr2:157488413-157488423(+) TAACAATGATG >hg38_chr2:157528591-157528601(+) GCATAATGGCT >hg38_chr2:157530020-157530030(-) TGACAATGCTT >hg38_chr2:157559680-157559690(-) TAACAATGCAG >hg38_chr2:157584836-157584846(+) cgtcaatggat >hg38_chr2:157593576-157593586(+) TAACAAAGGGA >hg38_chr2:157643188-157643198(-) TAACAATAGCT >hg38_chr2:157686308-157686318(-) TCACAAAGGTA >hg38_chr2:157768913-157768923(-) taacaataaac >hg38_chr2:157790477-157790487(+) GAACAAAGGGC >hg38_chr2:157793817-157793827(-) TTACAAAGGTG >hg38_chr2:157811879-157811889(-) ccacaatgcta >hg38_chr2:157833028-157833038(+) CAACAATGAAA >hg38_chr2:157839266-157839276(-) GGACAATAGAA >hg38_chr2:157845721-157845731(+) CAACAATGAGA >hg38_chr2:157865245-157865255(+) AGACAAAGGTT >hg38_chr2:157891309-157891319(-) AAACAATGTGG >hg38_chr2:157911542-157911552(+) ATACAAAGGCT >hg38_chr2:157911585-157911595(+) TAACAAAGGTC >hg38_chr2:157928583-157928593(-) acacaatgact >hg38_chr2:157932949-157932959(+) GAACAAAGGGC >hg38_chr2:157933382-157933392(+) taacaatgcac >hg38_chr2:157955930-157955940(+) TTATAATGGTT >hg38_chr2:157985891-157985901(+) gcacAATGTAA >hg38_chr2:158034474-158034484(+) TGATAATGGAG >hg38_chr2:158069437-158069447(-) cgacactggag >hg38_chr2:158079814-158079824(-) GAACAATGAAT >hg38_chr2:158080549-158080559(+) GAACAATGCAT >hg38_chr2:158080566-158080576(-) TAACAATATAC >hg38_chr2:158107840-158107850(-) ACACAAAGGCA >hg38_chr2:158162811-158162821(+) taacaatgagc >hg38_chr2:158162847-158162857(+) ttacaataaca >hg38_chr2:158164741-158164751(+) taacaatggga >hg38_chr2:158176608-158176618(-) ATACAATGGCA >hg38_chr2:158192557-158192567(+) TAACAAAGGAC >hg38_chr2:158208564-158208574(+) gaacaaaggca >hg38_chr2:158231675-158231685(-) aaacaatgcag >hg38_chr2:158231685-158231695(-) ATACAAagata >hg38_chr2:158232173-158232183(-) GTATAATAGTA >hg38_chr2:158232636-158232646(-) CAACAATGTAC >hg38_chr2:158243680-158243690(+) aaacaaaggac >hg38_chr2:158255604-158255614(+) GTACAATAGAC >hg38_chr2:158255738-158255748(+) TAACAATGTAA >hg38_chr2:158255746-158255756(+) TAACAATAATA >hg38_chr2:158286259-158286269(+) aaacaataaaa >hg38_chr2:158290378-158290388(-) tgataatggat >hg38_chr2:158319489-158319499(-) taacaatgtcc >hg38_chr2:158345556-158345566(+) AAACAAAGGCA >hg38_chr2:158404238-158404248(+) ATATAATGGCA >hg38_chr2:158478609-158478619(-) TCACAATGAAT >hg38_chr2:158486847-158486857(+) AGACAATAAAA >hg38_chr2:158488759-158488769(+) TAACAATATCT >hg38_chr2:158514039-158514049(-) GAACAAAGGAG >hg38_chr2:158539397-158539407(-) TTACAAAGGCA >hg38_chr2:158557229-158557239(-) ATACAATGAGC >hg38_chr2:158564394-158564404(-) aaacgatggca >hg38_chr2:158564406-158564416(+) ttacaatatta >hg38_chr2:158575968-158575978(-) GGACAATGCCT >hg38_chr2:158575995-158576005(-) GTACAATATGA >hg38_chr2:158585164-158585174(-) GAACAATGTGA >hg38_chr2:158588084-158588094(-) caacaatggaa >hg38_chr2:158611673-158611683(-) GTACAATACAA >hg38_chr2:158619632-158619642(+) ccacaatgcaa >hg38_chr2:158647618-158647628(-) TAACAATGACA >hg38_chr2:158647671-158647681(-) ACACAATGAGG >hg38_chr2:158648117-158648127(-) GAACAAAGGCT >hg38_chr2:158700948-158700958(-) GGAcaatagcc >hg38_chr2:158723597-158723607(+) CAACAATGGCC >hg38_chr2:158745491-158745501(+) TAACAATAGGC >hg38_chr2:158747124-158747134(-) ttaCAATGACT >hg38_chr2:158772789-158772799(-) AAACAATGGTC >hg38_chr2:158775136-158775146(-) TGACAAAGGAA >hg38_chr2:158781348-158781358(+) ACACACTGGTA >hg38_chr2:158803295-158803305(+) AGACAATAAAT >hg38_chr2:158919578-158919588(-) tgacaatggat >hg38_chr2:158923911-158923921(+) gaacaatgaac >hg38_chr2:158931699-158931709(-) TAACAATGGTT >hg38_chr2:158967466-158967476(+) ACACAATCGTA >hg38_chr2:158971129-158971139(+) AGACAATGGAG >hg38_chr2:159011197-159011207(+) TAATAATGATA >hg38_chr2:159011214-159011224(-) TTACAATGACT >hg38_chr2:159011245-159011255(-) AAACAATGACT >hg38_chr2:159025075-159025085(+) TAACTATGGTC >hg38_chr2:159065111-159065121(+) AAATAATGGTC >hg38_chr2:159065174-159065184(-) ACACAATGGCC >hg38_chr2:159092435-159092445(-) TCATAATGGAA >hg38_chr2:159107656-159107666(-) GAACAATAGGG >hg38_chr2:159135201-159135211(-) tcacaaaggat >hg38_chr2:159149115-159149125(-) AAACAATGAAG >hg38_chr2:159149152-159149162(+) CGATAATGAAC >hg38_chr2:159201512-159201522(-) CAACAATGCAC >hg38_chr2:159231821-159231831(+) TAACAATGGTA >hg38_chr2:159232482-159232492(+) GTACAATTGTA >hg38_chr2:159232483-159232493(-) GTACAATTGTA >hg38_chr2:159243843-159243853(+) caacaatgccc >hg38_chr2:159243876-159243886(+) acacaatggac >hg38_chr2:159270690-159270700(+) aaacaatgaag >hg38_chr2:159272428-159272438(-) tgacaatgtgc >hg38_chr2:159367091-159367101(-) TTACAAAGGAC >hg38_chr2:159376140-159376150(-) ttacaaaggtt >hg38_chr2:159427257-159427267(+) GAACAAAGGGA >hg38_chr2:159442964-159442974(-) tcacaatggct >hg38_chr2:159442973-159442983(+) gaacaatgctg >hg38_chr2:159449696-159449706(+) GAACAATGGGA >hg38_chr2:159455606-159455616(-) AAACAATGATT >hg38_chr2:159459145-159459155(-) GAACAATGAAA >hg38_chr2:159459545-159459555(+) GGACAATGCCT >hg38_chr2:159460084-159460094(+) TTACAATGAGC >hg38_chr2:159486510-159486520(+) GAACAATATAC >hg38_chr2:159516394-159516404(-) ACACAATAGTA >hg38_chr2:159521453-159521463(+) ATACAATATTC >hg38_chr2:159525179-159525189(+) taacaaaggtg >hg38_chr2:159610071-159610081(-) TGATAATGGAC >hg38_chr2:159616587-159616597(+) tAACAATGAAA >hg38_chr2:159617863-159617873(+) GTACAATGATT >hg38_chr2:159650877-159650887(+) aaacaatgtcc >hg38_chr2:159650884-159650894(-) caacaaaggac >hg38_chr2:159668342-159668352(+) ttacaatgtta >hg38_chr2:159677643-159677653(+) CAACAATGGAG >hg38_chr2:159677883-159677893(-) AAACAATATAG >hg38_chr2:159682532-159682542(-) gaacaatatta >hg38_chr2:159682593-159682603(+) agacaatagaa >hg38_chr2:159685694-159685704(+) aaataatggca >hg38_chr2:159712537-159712547(-) GCACAATGGCG >hg38_chr2:159718090-159718100(+) tcacaatatac >hg38_chr2:159722775-159722785(-) TGACAATGTAG >hg38_chr2:159722829-159722839(+) ATACAATATCA >hg38_chr2:159735686-159735696(-) atacaatgaaa >hg38_chr2:159756239-159756249(-) AAACAATAACC >hg38_chr2:159768964-159768974(+) TAACAATGGCT >hg38_chr2:159787097-159787107(-) ttacaatggcc >hg38_chr2:159796993-159797003(+) GAACAATGTGA >hg38_chr2:159829972-159829982(-) AAACAATGGTC >hg38_chr2:159856952-159856962(+) GAACAATGACA >hg38_chr2:159864817-159864827(+) AAACAATAACG >hg38_chr2:159882355-159882365(-) TCACAATAGAT >hg38_chr2:159905258-159905268(+) AAACAAAGGTG >hg38_chr2:159905269-159905279(+) GAATAATGGTA >hg38_chr2:159946576-159946586(-) AAACAATAACA >hg38_chr2:159946734-159946744(-) TGATAATGGCA >hg38_chr2:159947327-159947337(+) AAATAATGGGA >hg38_chr2:159947351-159947361(-) AAACAATGTGG >hg38_chr2:159961234-159961244(-) gcacaatgtca >hg38_chr2:160022333-160022343(+) TAACAATACAC >hg38_chr2:160036936-160036946(-) ctacaatggta >hg38_chr2:160055087-160055097(-) ACACAATGCAG >hg38_chr2:160055119-160055129(+) CAACAATAGGA >hg38_chr2:160055851-160055861(-) ATACAAAGGTA >hg38_chr2:160148245-160148255(+) caacaatgaaa >hg38_chr2:160208719-160208729(+) ccacaatggac >hg38_chr2:160220911-160220921(-) gaacaaaggca >hg38_chr2:160225922-160225932(-) GAACAATAGCC >hg38_chr2:160229664-160229674(-) TAACAATGTCC >hg38_chr2:160236705-160236715(+) GGACAATGACA >hg38_chr2:160252496-160252506(+) TTACAATGGGA >hg38_chr2:160280667-160280677(-) ACACAATGACC >hg38_chr2:160300422-160300432(+) GCACAATGCTT >hg38_chr2:160300999-160301009(-) TTACAATAAAA >hg38_chr2:160301034-160301044(+) ACACAATAGCT >hg38_chr2:160301786-160301796(+) taacaatggaa >hg38_chr2:160337961-160337971(+) tgacaatgccc >hg38_chr2:160337994-160338004(+) GAACAATGTCC >hg38_chr2:160338471-160338481(-) AGACAATAGAA >hg38_chr2:160348505-160348515(+) ggacaaaggca >hg38_chr2:160377580-160377590(-) GAACAAAGGTT >hg38_chr2:160408674-160408684(+) AGACAAAGGGA >hg38_chr2:160408694-160408704(-) AAACAAAGGGA >hg38_chr2:160409702-160409712(+) CCACAATGTAA >hg38_chr2:160417979-160417989(+) TCACAAAGGAA >hg38_chr2:160429455-160429465(-) ATACAAAGGGA >hg38_chr2:160429497-160429507(+) AAACAATAAAA >hg38_chr2:160455050-160455060(+) TTACAATGCAG >hg38_chr2:160472730-160472740(+) AAACAATATAA >hg38_chr2:160473592-160473602(-) AAACAATGTCC >hg38_chr2:160492580-160492590(-) GGACAATGGGC >hg38_chr2:160499963-160499973(-) TCACAATGAAT >hg38_chr2:160499975-160499985(+) TTACAATGCCA >hg38_chr2:160546791-160546801(+) gcataatggtt >hg38_chr2:160579786-160579796(+) ttacaatagct >hg38_chr2:160583603-160583613(-) GCACAATGAGT >hg38_chr2:160606492-160606502(-) caacaatagaa >hg38_chr2:160650803-160650813(-) aaacaatgggg >hg38_chr2:160658852-160658862(+) gtacaaaggac >hg38_chr2:160720208-160720218(+) CAACAATGAGA >hg38_chr2:160730601-160730611(+) TGACAAAGGTA >hg38_chr2:160736744-160736754(-) AAACAATGAGC >hg38_chr2:160737672-160737682(+) AGACAATAGAT >hg38_chr2:160739786-160739796(-) TAACAATATGA >hg38_chr2:160742763-160742773(+) GAATAATGGAG >hg38_chr2:160742792-160742802(+) GCATAATGGTG >hg38_chr2:160742835-160742845(+) GGACAATGACT >hg38_chr2:160838379-160838389(-) GCACAATGTTG >hg38_chr2:160869709-160869719(-) ATACAATACTA >hg38_chr2:160885794-160885804(+) GAACAATGGCA >hg38_chr2:160889188-160889198(+) gtacaattgaa >hg38_chr2:160898228-160898238(+) tgacaatgagt >hg38_chr2:160898305-160898315(+) ggacaatagag >hg38_chr2:160903594-160903604(-) TGACAATGACG >hg38_chr2:160912930-160912940(+) TCACAAAGGAA >hg38_chr2:160913572-160913582(+) AGACAATGTCA >hg38_chr2:160952671-160952681(+) GAACAATGGCC >hg38_chr2:160953583-160953593(-) TGATAATGGCC >hg38_chr2:160953613-160953623(+) CCACAATGTGC >hg38_chr2:161005557-161005567(-) gaataatggta >hg38_chr2:161044569-161044579(-) TGATAATGGAA >hg38_chr2:161044628-161044638(-) TAACAGTGGAT >hg38_chr2:161048535-161048545(-) TAACAAAGGCA >hg38_chr2:161057721-161057731(+) ACACAAAGGGA >hg38_chr2:161063359-161063369(+) ATACAAAGGAA >hg38_chr2:161085216-161085226(-) gaacaatggct >hg38_chr2:161089422-161089432(+) ATACAATGATT >hg38_chr2:161096555-161096565(-) tcacaatggga >hg38_chr2:161135356-161135366(+) AAACAATGCCC >hg38_chr2:161140270-161140280(+) ttacaatgtct >hg38_chr2:161140280-161140290(-) taacaatggaa >hg38_chr2:161161211-161161221(-) TAACAATAAAG >hg38_chr2:161163258-161163268(-) GAACAATGaac >hg38_chr2:161163329-161163339(+) ATACAATTGAA >hg38_chr2:161170003-161170013(-) CAACAATGAAG >hg38_chr2:161173774-161173784(-) ACACAATAGGC >hg38_chr2:161173806-161173816(-) GTACAATGTTC >hg38_chr2:161174769-161174779(-) TAACAATGATG >hg38_chr2:161223010-161223020(+) ACACAATGAAG >hg38_chr2:161223043-161223053(+) CTACAATGACC >hg38_chr2:161226126-161226136(-) TTACAATGCCT >hg38_chr2:161238758-161238768(+) AAACAATGGAG >hg38_chr2:161271712-161271722(+) atacaattgta >hg38_chr2:161295748-161295758(+) CTACAATAGCA >hg38_chr2:161300386-161300396(+) taacaatgaaa >hg38_chr2:161374957-161374967(-) ACACAATGATT >hg38_chr2:161395362-161395372(-) ttacaaaggca >hg38_chr2:161523940-161523950(-) aaacaatggca >hg38_chr2:161577757-161577767(-) aaacaatgcaa >hg38_chr2:161597536-161597546(-) gcacaatgttg >hg38_chr2:161603447-161603457(+) atacaaaggaa >hg38_chr2:161610829-161610839(+) tcacaatgtag >hg38_chr2:161637789-161637799(+) tgacaatagcc >hg38_chr2:161783876-161783886(+) tgacaataata >hg38_chr2:161786676-161786686(-) taacaatacta >hg38_chr2:161794031-161794041(+) AAACAAAGGTC >hg38_chr2:161899457-161899467(+) gaacaatgatc >hg38_chr2:161901194-161901204(+) AAACAGTGGAT >hg38_chr2:161901211-161901221(-) GTATAATGGAA >hg38_chr2:161936745-161936755(-) taacaatagaa >hg38_chr2:161936804-161936814(-) aaacaatagaa >hg38_chr2:161944163-161944173(+) GAACAATAAAA >hg38_chr2:161962321-161962331(+) AAACAATAACA >hg38_chr2:162002830-162002840(+) AAACAAAGGAG >hg38_chr2:162036456-162036466(-) atacaatgggt >hg38_chr2:162038053-162038063(-) ATACAAAGGGC >hg38_chr2:162040620-162040630(+) ctataatggtg >hg38_chr2:162074960-162074970(-) GAACAATAGAG >hg38_chr2:162106857-162106867(-) GAACAATGATT >hg38_chr2:162106872-162106882(+) AAACAATGAAG >hg38_chr2:162113661-162113671(+) AAACAATGGGC >hg38_chr2:162172939-162172949(+) TGACAATGTAC >hg38_chr2:162190016-162190026(+) CAACAATGTTT >hg38_chr2:162190383-162190393(-) ACACAATATAT >hg38_chr2:162222897-162222907(+) gcacaatgctc >hg38_chr2:162226330-162226340(+) TTACTATGGCG >hg38_chr2:162242360-162242370(+) TAACAATGTTT >hg38_chr2:162278225-162278235(-) GAACAATGGGC >hg38_chr2:162292460-162292470(-) TCACAATGACA >hg38_chr2:162321342-162321352(-) TAACAATGGGA >hg38_chr2:162321385-162321395(+) TAACAATATGA >hg38_chr2:162359072-162359082(-) TAACAATAGTA >hg38_chr2:162390016-162390026(+) TGACAATGGAC >hg38_chr2:162421746-162421756(+) AAACAATGAAA >hg38_chr2:162429621-162429631(-) agacaatggaa >hg38_chr2:162429638-162429648(-) gaacaatgaaa >hg38_chr2:162429677-162429687(-) atacaaaggaa >hg38_chr2:162450335-162450345(+) TAACAATGGAG >hg38_chr2:162450353-162450363(+) TGACAATGCAT >hg38_chr2:162500621-162500631(-) AAACAATAGAG >hg38_chr2:162501074-162501084(-) AAACAATGTAG >hg38_chr2:162621357-162621367(+) ACACAATGACT >hg38_chr2:162621407-162621417(-) TGACAATGTTG >hg38_chr2:162687251-162687261(+) TAACAATGGCC >hg38_chr2:162711989-162711999(-) TAACAATGAAA >hg38_chr2:162795361-162795371(+) CTATAATGGTG >hg38_chr2:162840874-162840884(+) GGACAATAGAA >hg38_chr2:163020816-163020826(-) ggacaatggag >hg38_chr2:163077546-163077556(-) gaacaaagggc >hg38_chr2:163078342-163078352(+) tgacaatagcc >hg38_chr2:163128743-163128753(-) TAACAATAACG >hg38_chr2:163159015-163159025(-) gtacaatgcat >hg38_chr2:163159076-163159086(-) ttataatggag >hg38_chr2:163198185-163198195(+) GAACAATAGAG >hg38_chr2:163205815-163205825(-) AAACTATGGGG >hg38_chr2:163207983-163207993(-) GAACAATGCTG >hg38_chr2:163239652-163239662(+) TGACAATGTAC >hg38_chr2:163248675-163248685(+) ACACAATGTGT >hg38_chr2:163248720-163248730(+) TTACAATGTTT >hg38_chr2:163351374-163351384(-) AAACAATGATG >hg38_chr2:163352147-163352157(+) GTATAATGGGG >hg38_chr2:163352226-163352236(+) AAACAAAGGAG >hg38_chr2:163401829-163401839(+) AGACAATGGCA >hg38_chr2:163449419-163449429(+) TAACAATATCT >hg38_chr2:163487159-163487169(-) ggacaatgctt >hg38_chr2:163487195-163487205(-) ggacaatgctt >hg38_chr2:163492006-163492016(-) caacaatgcag >hg38_chr2:163552251-163552261(+) GTACAATAGTG >hg38_chr2:163557105-163557115(+) tgataatggac >hg38_chr2:163558843-163558853(+) CAACAATGGCA >hg38_chr2:163599243-163599253(-) CAACAATGTGC >hg38_chr2:163602264-163602274(+) GGACAATAGCA >hg38_chr2:163602940-163602950(+) CAACAATGAGG >hg38_chr2:163627044-163627054(-) aaacaaagggt >hg38_chr2:163657043-163657053(+) AAACAATAAGA >hg38_chr2:163665643-163665653(-) TTATAATGGAT >hg38_chr2:163665694-163665704(-) GCACAATACGG >hg38_chr2:163667625-163667635(-) aaacaatggga >hg38_chr2:163682693-163682703(+) TAACAATGTGA >hg38_chr2:163715230-163715240(+) ACACAATGCCT >hg38_chr2:163721601-163721611(-) CTATAATGGAC >hg38_chr2:163726804-163726814(+) AAACAATGAGA >hg38_chr2:163726816-163726826(-) AGATAATGGCA >hg38_chr2:163799933-163799943(-) ACACAAAGGAG >hg38_chr2:163801131-163801141(+) GTACAATCGAG >hg38_chr2:163805497-163805507(+) ACACAATGATC >hg38_chr2:163805512-163805522(-) CAATAATGGGA >hg38_chr2:163986335-163986345(-) CAACAATAGGA >hg38_chr2:163987770-163987780(-) TTACAATGGAG >hg38_chr2:163990764-163990774(+) AGACAATAAAA >hg38_chr2:163997537-163997547(-) GAACAATGGAG >hg38_chr2:164015361-164015371(-) GAACAATAAGT >hg38_chr2:164015412-164015422(-) TTACAGTGGAA >hg38_chr2:164043011-164043021(-) TTACAATGCTT >hg38_chr2:164073823-164073833(+) TAACAAAGGCA >hg38_chr2:164096416-164096426(+) ACACAATGCTA >hg38_chr2:164182175-164182185(-) ttacactggat >hg38_chr2:164246166-164246176(+) ACACAATGACA >hg38_chr2:164246222-164246232(+) AGACAATGACG >hg38_chr2:164247525-164247535(-) ttacaatggca >hg38_chr2:164277233-164277243(-) TAACAAAGGAA >hg38_chr2:164305773-164305783(+) agacaatggtt >hg38_chr2:164305828-164305838(+) atacactggaa >hg38_chr2:164332847-164332857(-) taacaatagag >hg38_chr2:164335295-164335305(-) taacaaaggcc >hg38_chr2:164341830-164341840(-) gaacaaaggag >hg38_chr2:164398861-164398871(+) TTACAATAAGA >hg38_chr2:164430338-164430348(-) TAACAATGAGT >hg38_chr2:164439821-164439831(+) ACACAATATAT >hg38_chr2:164439867-164439877(-) ATACAATGTCT >hg38_chr2:164456905-164456915(+) TTACAATAGAA >hg38_chr2:164456935-164456945(+) AAACAAAGGGA >hg38_chr2:164458849-164458859(+) TGACAATAGTG >hg38_chr2:164464035-164464045(-) AAACAAAGGAA >hg38_chr2:164484856-164484866(+) AAACAATGATC >hg38_chr2:164485034-164485044(-) TAACAATGACT >hg38_chr2:164485105-164485115(+) GCACAATGGGG >hg38_chr2:164485697-164485707(-) gaacaaagggg >hg38_chr2:164496134-164496144(-) TAACAATGCTT >hg38_chr2:164496517-164496527(+) GGACAATAGGG >hg38_chr2:164526412-164526422(+) CTACAATGAAA >hg38_chr2:164593660-164593670(+) gaacaaaggca >hg38_chr2:164595278-164595288(+) taacaatgggt >hg38_chr2:164620348-164620358(+) TCACAATGTTG >hg38_chr2:164651831-164651841(-) tgacaatggta >hg38_chr2:164669229-164669239(-) TAACAAAGGCT >hg38_chr2:164670451-164670461(+) TAACAATGAAT >hg38_chr2:164694139-164694149(+) CTACAATAGCT >hg38_chr2:164698305-164698315(+) TCACAATGGTA >hg38_chr2:164726614-164726624(-) ACACAATAGGT >hg38_chr2:164736789-164736799(+) gtacaatataa >hg38_chr2:164745242-164745252(+) CTACAATGTAC >hg38_chr2:164769999-164770009(+) AAATAATGGAA >hg38_chr2:164770019-164770029(+) gtacaatgtgc >hg38_chr2:164770741-164770751(+) AAACAAAGGCA >hg38_chr2:164784535-164784545(-) GGACAATGGGT >hg38_chr2:164799722-164799732(+) aaacaatgtgg >hg38_chr2:164808909-164808919(+) GAACAATGTTT >hg38_chr2:164808932-164808942(+) AAACAATGTGG >hg38_chr2:164809579-164809589(+) CAACAATGACA >hg38_chr2:164827558-164827568(-) AAATAATGGAA >hg38_chr2:164843441-164843451(+) AGACAATGATG >hg38_chr2:164890147-164890157(-) ccacaatgtgc >hg38_chr2:164890172-164890182(-) aaacaaaggtt >hg38_chr2:164898858-164898868(+) AAACAAAGGGA >hg38_chr2:164899177-164899187(+) CCACAATGTCT >hg38_chr2:164913347-164913357(-) AGACAATAGGG >hg38_chr2:164922348-164922358(+) AAACAAAGGCT >hg38_chr2:164935203-164935213(-) ggacaaagggc >hg38_chr2:164935210-164935220(-) caacaatggac >hg38_chr2:165011341-165011351(-) taacaatggcc >hg38_chr2:165017436-165017446(+) TAACAATAGCT >hg38_chr2:165045041-165045051(-) gtacaaaggag >hg38_chr2:165050297-165050307(+) atacagtggca >hg38_chr2:165068178-165068188(-) ACACAATGGTG >hg38_chr2:165087883-165087893(-) TAATAATAGTA >hg38_chr2:165114495-165114505(-) GGACAAAGGGA >hg38_chr2:165116260-165116270(-) GGACAATGCCA >hg38_chr2:165142094-165142104(+) GAACAATGGCA >hg38_chr2:165162590-165162600(-) TAACAATGAGC >hg38_chr2:165162623-165162633(-) GCACAATGGAT >hg38_chr2:165172072-165172082(-) TGACAATATAA >hg38_chr2:165204194-165204204(-) GGATAATGGGA >hg38_chr2:165221281-165221291(-) gtacaatgtga >hg38_chr2:165358374-165358384(+) TAATAATGTAA >hg38_chr2:165376603-165376613(-) taacaaaggac >hg38_chr2:165400480-165400490(-) TGACAATAGTT >hg38_chr2:165405550-165405560(-) TTACAATACGC >hg38_chr2:165440508-165440518(-) GTATAATGGAA >hg38_chr2:165498241-165498251(+) TTACAATGAAA >hg38_chr2:165501592-165501602(-) gcacaatgcct >hg38_chr2:165507848-165507858(-) TAACAATAATA >hg38_chr2:165537241-165537251(+) GGACAAAGGAA >hg38_chr2:165540251-165540261(-) TAACaataaag >hg38_chr2:165549776-165549786(-) ATACAATGAAT >hg38_chr2:165549825-165549835(+) AGACAATGTGT >hg38_chr2:165550472-165550482(-) AGACAATGACT >hg38_chr2:165572410-165572420(+) GAACAATAAAG >hg38_chr2:165575111-165575121(-) TTACAATGCAG >hg38_chr2:165580761-165580771(+) GGACAAAGGGA >hg38_chr2:165612783-165612793(-) acacaatagaa >hg38_chr2:165621188-165621198(-) ATACAATGCCC >hg38_chr2:165637803-165637813(-) ACACAATGAAC >hg38_chr2:165646572-165646582(-) ACACAATGACA >hg38_chr2:165662580-165662590(-) CAACAATGTGG >hg38_chr2:165681946-165681956(-) GAACAATAGCC >hg38_chr2:165682882-165682892(-) ATACTATGGAG >hg38_chr2:165687673-165687683(+) AAACAATGGCT >hg38_chr2:165698673-165698683(+) AGACAATGTGT >hg38_chr2:165720979-165720989(+) ACACAATGCAA >hg38_chr2:165737711-165737721(+) taacaaaggta >hg38_chr2:165745461-165745471(+) acacaatgcca >hg38_chr2:165756012-165756022(-) gtacaatagta >hg38_chr2:165797345-165797355(+) GAACAATAGCT >hg38_chr2:165798247-165798257(-) AAACAATGCAC >hg38_chr2:165802530-165802540(-) AGACAATGGGC >hg38_chr2:165815364-165815374(-) AGACAATGGCT >hg38_chr2:165816280-165816290(+) GGATAATGGGA >hg38_chr2:165846730-165846740(+) CAACAATGTTC >hg38_chr2:165870598-165870608(-) TCACTATGGAA >hg38_chr2:165892465-165892475(-) acacaatggac >hg38_chr2:165893793-165893803(+) ggacaaaggca >hg38_chr2:165898665-165898675(+) ATACAATAAGT >hg38_chr2:165909005-165909015(+) ttacaatgggc >hg38_chr2:165925556-165925566(-) TAATAATGGCT >hg38_chr2:165927893-165927903(+) ggacaatgcta >hg38_chr2:165963303-165963313(+) aaacaatgtta >hg38_chr2:165963786-165963796(-) atacagtggct >hg38_chr2:165981727-165981737(+) gtataatggtg >hg38_chr2:165996802-165996812(-) GTATAATGAAT >hg38_chr2:166007777-166007787(-) TCACAATAGCT >hg38_chr2:166007971-166007981(+) CTACAATGCCA >hg38_chr2:166030327-166030337(+) ggacaatatat >hg38_chr2:166041819-166041829(-) TGACAATGTAG >hg38_chr2:166079270-166079280(-) aaacaataccg >hg38_chr2:166084421-166084431(+) AGACAATGCAG >hg38_chr2:166128485-166128495(-) ACACAATATTA >hg38_chr2:166133366-166133376(+) TAACAATATTC >hg38_chr2:166133773-166133783(-) GCATAATGGCT >hg38_chr2:166133798-166133808(-) AAACAAAGGAA >hg38_chr2:166149563-166149573(-) CCACAATGAGT >hg38_chr2:166151008-166151018(+) gcacaatgctt >hg38_chr2:166152311-166152321(+) CAACAATGCCT >hg38_chr2:166157044-166157054(-) AAACAAAGGGT >hg38_chr2:166157051-166157061(-) AAACAATAAAC >hg38_chr2:166175858-166175868(+) ACACAATAGAG >hg38_chr2:166209189-166209199(-) TCACAAAGGAC >hg38_chr2:166209197-166209207(-) ACACAATGTCA >hg38_chr2:166256079-166256089(-) ACATAATGGGA >hg38_chr2:166278801-166278811(+) TGACAATGTGG >hg38_chr2:166280296-166280306(+) TGACAATGATG >hg38_chr2:166285463-166285473(-) TCACAATAGTT >hg38_chr2:166285472-166285482(+) GAACAATGGAA >hg38_chr2:166306628-166306638(+) CGACAGTGGGA >hg38_chr2:166307050-166307060(-) GAACAAAGGGA >hg38_chr2:166343874-166343884(+) TTACAATAGAT >hg38_chr2:166344615-166344625(+) GCACAATGAAA >hg38_chr2:166344923-166344933(-) TAACAAAGGAC >hg38_chr2:166411388-166411398(-) acacaatcgct >hg38_chr2:166422186-166422196(-) AAACAATGATA >hg38_chr2:166454463-166454473(+) GTACAATAGCT >hg38_chr2:166467146-166467156(-) TATCAATGGTA >hg38_chr2:166469932-166469942(-) AAACAAAGGAG >hg38_chr2:166514331-166514341(-) TTACAATGCAG >hg38_chr2:166533109-166533119(-) TGACAATGTGT >hg38_chr2:166568253-166568263(+) ATACAATAATC >hg38_chr2:166588148-166588158(+) atacaataatc >hg38_chr2:166588643-166588653(+) ctacaatgaac >hg38_chr2:166593381-166593391(-) ctacaatgtag >hg38_chr2:166593799-166593809(+) tcacaatagaa >hg38_chr2:166609293-166609303(+) agacaatagcc >hg38_chr2:166619883-166619893(-) GTACAATGATA >hg38_chr2:166653850-166653860(-) ccacaatgaga >hg38_chr2:166671512-166671522(-) AAACAATCGTG >hg38_chr2:166701287-166701297(+) CAACAATAGTT >hg38_chr2:166729062-166729072(+) gcacaatggaa >hg38_chr2:166764384-166764394(-) tcacaatggtc >hg38_chr2:166785696-166785706(+) taacaataaaa >hg38_chr2:166785757-166785767(+) caacaatagag >hg38_chr2:166957044-166957054(-) GGACAATGGTT >hg38_chr2:166972801-166972811(-) TGACAATAGAA >hg38_chr2:167006952-167006962(+) GAACAATGAAG >hg38_chr2:167014352-167014362(+) caacaatagat >hg38_chr2:167117097-167117107(+) GAACAATAGTA >hg38_chr2:167157134-167157144(-) TGACAATGCCT >hg38_chr2:167157489-167157499(-) ATACAATGTAA >hg38_chr2:167180726-167180736(-) CAACAATGGTA >hg38_chr2:167190329-167190339(-) aaacaataagt >hg38_chr2:167190670-167190680(+) AAACAATGACA >hg38_chr2:167209116-167209126(-) GTACAATGTTG >hg38_chr2:167209815-167209825(+) TAACAATGGAG >hg38_chr2:167224896-167224906(-) GGATAATGGAG >hg38_chr2:167224952-167224962(-) CCACAATGACA >hg38_chr2:167233553-167233563(+) TAACAATAGAT >hg38_chr2:167262901-167262911(+) GGACAATAGTT >hg38_chr2:167262940-167262950(+) GGACAATGGTT >hg38_chr2:167287387-167287397(+) CAACAATGGCT >hg38_chr2:167290104-167290114(-) CCACAATGGAC >hg38_chr2:167332144-167332154(+) acacaatagtc >hg38_chr2:167343541-167343551(-) TAATAATGGCT >hg38_chr2:167421042-167421052(+) GAACAATATAA >hg38_chr2:167421068-167421078(-) TCACAATGATG >hg38_chr2:167481090-167481100(-) AGACAATCGTC >hg38_chr2:167488422-167488432(-) atacaatggca >hg38_chr2:167506271-167506281(-) ATACAATGGTG >hg38_chr2:167506299-167506309(+) GTACAATGTGT >hg38_chr2:167509839-167509849(+) gaacaaaggaa >hg38_chr2:167530921-167530931(+) GTACAAGGGTA >hg38_chr2:167555899-167555909(+) GCACAATGACT >hg38_chr2:167595607-167595617(+) TAACAATGCCC >hg38_chr2:167628212-167628222(-) GAACAATAGGC >hg38_chr2:167628220-167628230(-) TCACAACGGAA >hg38_chr2:167656681-167656691(+) TTACACTGGAT >hg38_chr2:167690835-167690845(-) GAACAATGAAA >hg38_chr2:167730032-167730042(-) GAACAATGCTT >hg38_chr2:167730065-167730075(-) AGACAATGGAC >hg38_chr2:167732564-167732574(-) TCACAATGGAA >hg38_chr2:167732601-167732611(+) AAACAATGGCT >hg38_chr2:167802551-167802561(-) AAACAATAGGT >hg38_chr2:167843871-167843881(-) TAACAATGATC >hg38_chr2:167843883-167843893(-) GAACAAAGGCA >hg38_chr2:167895994-167896004(+) aaacactggaa >hg38_chr2:167954004-167954014(+) AAACAATGCAA >hg38_chr2:167954020-167954030(-) GCACAATGAGA >hg38_chr2:167961700-167961710(+) CAACAATGGAA >hg38_chr2:168064312-168064322(-) TAATAATGGAT >hg38_chr2:168116606-168116616(+) CAACAATGATG >hg38_chr2:168166463-168166473(+) CAACAATAGGA >hg38_chr2:168166474-168166484(+) TGACAATAGTG >hg38_chr2:168169385-168169395(+) GAACAATGTCT >hg38_chr2:168179104-168179114(-) AAACAATGGCC >hg38_chr2:168179122-168179132(+) GAACAATGGTT >hg38_chr2:168185696-168185706(-) tgacaatagta >hg38_chr2:168192660-168192670(+) tgacaatagca >hg38_chr2:168204372-168204382(+) ATACAAAGGAA >hg38_chr2:168221198-168221208(+) GAACAATGGTA >hg38_chr2:168221235-168221245(-) AAACAATGGTT >hg38_chr2:168303592-168303602(-) taacaaaggct >hg38_chr2:168303598-168303608(-) agacaataaca >hg38_chr2:168307325-168307335(-) taacaattgta >hg38_chr2:168307366-168307376(+) ttataatggcc >hg38_chr2:168346867-168346877(+) AAACAAAGGCG >hg38_chr2:168394246-168394256(+) AGACAATAAAA >hg38_chr2:168420995-168421005(+) ccacaatgcta >hg38_chr2:168432804-168432814(-) tgacaatgaTG >hg38_chr2:168456734-168456744(-) ACACAAAGGTT >hg38_chr2:168486307-168486317(+) taacaaaggtt >hg38_chr2:168489307-168489317(-) tcacaatggct >hg38_chr2:168511542-168511552(-) gcacaatggaa >hg38_chr2:168511851-168511861(+) tcacaatagcc >hg38_chr2:168536975-168536985(-) ACACAATAGTC >hg38_chr2:168537008-168537018(-) GAACTATGGAC >hg38_chr2:168545730-168545740(-) TGACAATGCCT >hg38_chr2:168552640-168552650(+) CAACAATAGGT >hg38_chr2:168571221-168571231(-) TAACAATGGGA >hg38_chr2:168595650-168595660(-) GTATAATGAAA >hg38_chr2:168608280-168608290(-) agacaatgaaa >hg38_chr2:168644413-168644423(+) GAACAAAGGTG >hg38_chr2:168663014-168663024(-) TAACAATAGGC >hg38_chr2:168673301-168673311(-) GGACAATAAAA >hg38_chr2:168709510-168709520(+) TGATAATGGCT >hg38_chr2:168737240-168737250(+) ACACAATGAAA >hg38_chr2:168737301-168737311(+) CAACAATGGAA >hg38_chr2:168737759-168737769(-) TTACAATGGCA >hg38_chr2:168773044-168773054(-) TAACAATGAGG >hg38_chr2:168773746-168773756(-) TGACAATGGCA >hg38_chr2:168821180-168821190(+) ATACAATGCTG >hg38_chr2:168961081-168961091(+) gtacaatcgat >hg38_chr2:168961835-168961845(-) ACACAAAGGAG >hg38_chr2:169008107-169008117(+) ccacaatgaga >hg38_chr2:169008141-169008151(-) atacaatagcc >hg38_chr2:169185941-169185951(+) GAACAATAGAA >hg38_chr2:169190317-169190327(-) TGACAATGCAA >hg38_chr2:169244586-169244596(+) AGACAATGAAA >hg38_chr2:169285742-169285752(+) AAACAATGAGC >hg38_chr2:169301075-169301085(-) TTACAATGAAG >hg38_chr2:169324919-169324929(-) ATATAATGGTC >hg38_chr2:169354380-169354390(+) GGACAATAAAT >hg38_chr2:169354392-169354402(-) ATACAATGGCG >hg38_chr2:169361056-169361066(+) CAACAATAGGG >hg38_chr2:169361074-169361084(-) CTACAATGAAA >hg38_chr2:169438605-169438615(-) TAACAATGGAA >hg38_chr2:169442833-169442843(+) gaacaatgcta >hg38_chr2:169470591-169470601(+) agacaatagaa >hg38_chr2:169470596-169470606(+) atagaatggta >hg38_chr2:169478454-169478464(-) ggacaataggc >hg38_chr2:169486352-169486362(-) gtacaatacaa >hg38_chr2:169486394-169486404(-) aaacaataaat >hg38_chr2:169487171-169487181(-) TGACAAAGGAT >hg38_chr2:169573982-169573992(-) CTACAATGCCC >hg38_chr2:169623576-169623586(+) GCACAATAGAG >hg38_chr2:169681963-169681973(+) aaacaatggga >hg38_chr2:169682730-169682740(+) taacaaaggta >hg38_chr2:169701016-169701026(+) TGACAATAGTG >hg38_chr2:169701038-169701048(+) GTACAATGTGC >hg38_chr2:169711024-169711034(+) ggacaatgaga >hg38_chr2:169734218-169734228(+) AGACAATGGCC >hg38_chr2:169781693-169781703(-) atacaatggct >hg38_chr2:169781705-169781715(+) tcacaatgcaa >hg38_chr2:169789829-169789839(+) gaacaaaggag >hg38_chr2:169789876-169789886(-) ttacaatgtta >hg38_chr2:169797211-169797221(-) TAACACTGGGA >hg38_chr2:169798065-169798075(-) ttacaataaca >hg38_chr2:169806605-169806615(-) GTACAATAAAA >hg38_chr2:169868716-169868726(+) ccacaatggca >hg38_chr2:169879639-169879649(+) taataatagta >hg38_chr2:169896580-169896590(+) ACACAATGTCT >hg38_chr2:169900820-169900830(-) GAACAATGGGA >hg38_chr2:169902094-169902104(-) agacagtggaa >hg38_chr2:169910683-169910693(-) gaacaatgtct >hg38_chr2:169932636-169932646(+) caacaaTGAAG >hg38_chr2:169932662-169932672(-) CAACAATGCCT >hg38_chr2:169963885-169963895(-) gaacaatgtta >hg38_chr2:169966776-169966786(+) atagaatggta >hg38_chr2:169968627-169968637(-) tcacaatagtg >hg38_chr2:169968638-169968648(+) taacaataaac >hg38_chr2:170035427-170035437(-) atacagtggaa >hg38_chr2:170035446-170035456(-) taacaaaggag >hg38_chr2:170090839-170090849(+) agacaatatta >hg38_chr2:170090854-170090864(+) agataatggct >hg38_chr2:170100239-170100249(+) ATACAATAGAA >hg38_chr2:170104526-170104536(-) atacaatggtc >hg38_chr2:170108260-170108270(+) TCACAAAGGAC >hg38_chr2:170222152-170222162(-) GAACAATGAAG >hg38_chr2:170224750-170224760(-) CAACAATGAGC >hg38_chr2:170290288-170290298(-) caataatggta >hg38_chr2:170290291-170290301(-) gtacaataatg >hg38_chr2:170308164-170308174(-) AGACAATGGGG >hg38_chr2:170322348-170322358(+) TTACAATGTCA >hg38_chr2:170332843-170332853(-) GAACAATGTAC >hg38_chr2:170339027-170339037(+) AAACAATGATA >hg38_chr2:170354579-170354589(+) TAACAATAGGA >hg38_chr2:170355626-170355636(-) CAACAATGTGA >hg38_chr2:170376284-170376294(-) AAACAATAGCA >hg38_chr2:170436376-170436386(-) AAACAATAGAC >hg38_chr2:170462188-170462198(-) AAACAATGATA >hg38_chr2:170498696-170498706(+) AAACAAAGGTA >hg38_chr2:170498714-170498724(-) GAACAATAAAG >hg38_chr2:170512479-170512489(+) ATACAGTGGGT >hg38_chr2:170512502-170512512(-) TCATAATGGGC >hg38_chr2:170525389-170525399(+) ATACAATGGTG >hg38_chr2:170575424-170575434(-) ctacaatggca >hg38_chr2:170582827-170582837(+) AAACAATGGGA >hg38_chr2:170680469-170680479(-) GAACAAAGGCT >hg38_chr2:170695528-170695538(-) caacaatagtg >hg38_chr2:170711354-170711364(+) GAACAATAGCA >hg38_chr2:170809319-170809329(-) ggacaatggcc >hg38_chr2:170823745-170823755(-) TGACAATGACG >hg38_chr2:170845569-170845579(+) AGACAAAGGGC >hg38_chr2:170873334-170873344(-) GCACAAAGGAA >hg38_chr2:170873362-170873372(-) AGACAATGAGG >hg38_chr2:170905863-170905873(-) tcataatggca >hg38_chr2:170917774-170917784(+) tgacaatcgaa >hg38_chr2:170973496-170973506(-) GAACAAAGGTG >hg38_chr2:171004507-171004517(+) GAACAATGCCA >hg38_chr2:171004516-171004526(-) ATACAATAATG >hg38_chr2:171018008-171018018(-) tCACAATATAT >hg38_chr2:171018840-171018850(+) TTACAATGAAG >hg38_chr2:171046193-171046203(+) TAACAAAGGGA >hg38_chr2:171046234-171046244(-) AAACAAAGGAA >hg38_chr2:171055775-171055785(+) AGACAAAGGAC >hg38_chr2:171064701-171064711(+) ATACAATGAAA >hg38_chr2:171072185-171072195(+) gtacaatggac >hg38_chr2:171155723-171155733(-) CAACAATGGAA >hg38_chr2:171155748-171155758(-) CAACAATGGAA >hg38_chr2:171155759-171155769(-) GAACAGTGGAG >hg38_chr2:171155767-171155777(-) GAACAGTGGAA >hg38_chr2:171156593-171156603(-) TTACAATGGCA >hg38_chr2:171158978-171158988(-) TGACAATAGGC >hg38_chr2:171186485-171186495(+) ctacaatgtgt >hg38_chr2:171225282-171225292(+) caacaatgtaa >hg38_chr2:171226190-171226200(-) taacaaaggcc >hg38_chr2:171235584-171235594(+) ttacaatgtcc >hg38_chr2:171254293-171254303(+) taacaataatt >hg38_chr2:171262194-171262204(+) ttacaatgaag >hg38_chr2:171277315-171277325(+) atacactggat >hg38_chr2:171277367-171277377(+) aaataatggta >hg38_chr2:171277382-171277392(+) aaacaatgcag >hg38_chr2:171280112-171280122(-) gaacaatgcaa >hg38_chr2:171281234-171281244(+) GCACAATGGAG >hg38_chr2:171285534-171285544(-) atacaatgata >hg38_chr2:171285552-171285562(+) tgacaatgcat >hg38_chr2:171348134-171348144(+) GAACAATAGTT >hg38_chr2:171367987-171367997(+) aaacaatgagg >hg38_chr2:171384081-171384091(+) aaacaatggaa >hg38_chr2:171395606-171395616(+) taataatgata >hg38_chr2:171400600-171400610(+) TAACAATATGT >hg38_chr2:171410655-171410665(-) gaacaatagct >hg38_chr2:171416337-171416347(-) ATACAAAGGTG >hg38_chr2:171432597-171432607(-) CTACAATAGTT >hg38_chr2:171457696-171457706(+) TGACAATGCTA >hg38_chr2:171523714-171523724(-) ACACAATGACA >hg38_chr2:171592263-171592273(+) AGACAATATTA >hg38_chr2:171598935-171598945(+) tcacaatgcct >hg38_chr2:171598976-171598986(-) agacaatgatc >hg38_chr2:171669322-171669332(-) AAACAATGAGG >hg38_chr2:171682938-171682948(+) TAACAATGCAG >hg38_chr2:171682979-171682989(+) GAACAATAATA >hg38_chr2:171689109-171689119(-) gaataATGGAT >hg38_chr2:171692393-171692403(-) ATACAATGAAA >hg38_chr2:171692405-171692415(-) ATACAATATCA >hg38_chr2:171693914-171693924(-) AAACAAAGGCT >hg38_chr2:171701970-171701980(-) CTACAATGTTC >hg38_chr2:171749407-171749417(-) ATATAATGGGC >hg38_chr2:171793257-171793267(+) TAACAATAGAG >hg38_chr2:171798392-171798402(-) AAACAAAGGAC >hg38_chr2:171811571-171811581(+) GAATAATGGAC >hg38_chr2:171878991-171879001(+) GTACAATGTGT >hg38_chr2:171886980-171886990(-) TAACAATAAGA >hg38_chr2:171894107-171894117(+) AGACAATGAAT >hg38_chr2:171937704-171937714(+) GTACAATAAAG >hg38_chr2:171958627-171958637(-) TTACAATGATA >hg38_chr2:171964400-171964410(-) GAACAATGAGG >hg38_chr2:171967147-171967157(+) GCATAATGGAA >hg38_chr2:172013644-172013654(+) GAACAAAGGAG >hg38_chr2:172031866-172031876(-) ACACAATGAAG >hg38_chr2:172038312-172038322(-) aaacaatgtgg >hg38_chr2:172051011-172051021(+) AGACAATGGGA >hg38_chr2:172087165-172087175(+) GAACAATAGAC >hg38_chr2:172179549-172179559(-) CAACAATGAGC >hg38_chr2:172182086-172182096(-) TAACAAAGGCC >hg38_chr2:172213552-172213562(-) GAACAATACAC >hg38_chr2:172232193-172232203(-) ACACAATGACT >hg38_chr2:172235097-172235107(-) gaacaatggcc >hg38_chr2:172235150-172235160(-) cgacaatggat >hg38_chr2:172241401-172241411(-) CAACAATACCG >hg38_chr2:172244143-172244153(+) CAACAATGTGA >hg38_chr2:172247465-172247475(+) GGACAATGAGT >hg38_chr2:172274122-172274132(+) GCACAATAGAC >hg38_chr2:172282036-172282046(+) taacaatgtag >hg38_chr2:172282061-172282071(-) tgacaatgcac >hg38_chr2:172333458-172333468(+) GAACAAAGGTT >hg38_chr2:172341300-172341310(-) GAACAATGAGA >hg38_chr2:172387554-172387564(+) GCACAATGGAG >hg38_chr2:172404840-172404850(-) GAACAATGAGA >hg38_chr2:172415957-172415967(-) AAACAATAGCT >hg38_chr2:172427582-172427592(+) GAACAACGGGC >hg38_chr2:172435137-172435147(-) GGACAAAGGAG >hg38_chr2:172435183-172435193(-) TCATAATGGCA >hg38_chr2:172435196-172435206(-) TCACAATGCTT >hg38_chr2:172450383-172450393(-) aaacaaaggcc >hg38_chr2:172454547-172454557(-) TAACAATGGCT >hg38_chr2:172480673-172480683(+) gaacaatgcct >hg38_chr2:172501610-172501620(+) TGACAATGAAA >hg38_chr2:172501618-172501628(+) AAACAATGCAG >hg38_chr2:172501634-172501644(-) CAACAATGAAC >hg38_chr2:172532988-172532998(-) acataatggcc >hg38_chr2:172535338-172535348(+) aaacaatggca >hg38_chr2:172535352-172535362(+) aaacaatggag >hg38_chr2:172556561-172556571(-) CAACAATACGG >hg38_chr2:172564536-172564546(+) ACACAATGATG >hg38_chr2:172564553-172564563(+) CCACAATGGCC >hg38_chr2:172627149-172627159(-) AGACAATGTTC >hg38_chr2:172631443-172631453(-) CCACAATGGTG >hg38_chr2:172680793-172680803(+) AGACAATGGGT >hg38_chr2:172724917-172724927(+) gcacaatgcaa >hg38_chr2:172806663-172806673(+) TAACAATGTTG >hg38_chr2:172814488-172814498(+) GGATAATGGCA >hg38_chr2:172820514-172820524(-) TCACAATAGCA >hg38_chr2:172848887-172848897(+) TTACAATAAGT >hg38_chr2:172970605-172970615(-) GAACAATAGGA >hg38_chr2:172970869-172970879(+) TCACAATGCCC >hg38_chr2:172991220-172991230(+) GAACAATGGGA >hg38_chr2:173030353-173030363(+) TAACAATGGGA >hg38_chr2:173046098-173046108(+) TCACAAAGGAA >hg38_chr2:173079985-173079995(-) gcacaatgctt >hg38_chr2:173080181-173080191(-) caacaatggac >hg38_chr2:173131321-173131331(+) AAACAATGAAA >hg38_chr2:173151883-173151893(-) TTacaatagct >hg38_chr2:173176191-173176201(-) GTACAATGCAT >hg38_chr2:173176198-173176208(+) GTACAATGGAT >hg38_chr2:173194674-173194684(-) GAACAATGGGA >hg38_chr2:173201068-173201078(+) agacaatagac >hg38_chr2:173204499-173204509(+) CCACAATAGAG >hg38_chr2:173210880-173210890(+) TTACAATAACC >hg38_chr2:173218715-173218725(-) TTACAATACTA >hg38_chr2:173250030-173250040(-) TAACAATGGCT >hg38_chr2:173250258-173250268(-) GCACAATGAAC >hg38_chr2:173250549-173250559(+) AGACAATATAA >hg38_chr2:173264944-173264954(+) caacaatgtga >hg38_chr2:173280088-173280098(-) GCACAATGGCC >hg38_chr2:173305932-173305942(-) TGACAATGAAG >hg38_chr2:173409384-173409394(+) GCACAATGTGA >hg38_chr2:173422846-173422856(-) CAACAATGGGC >hg38_chr2:173467110-173467120(-) AGACAAAGGTG >hg38_chr2:173513394-173513404(+) ATACAATAGCT >hg38_chr2:173521136-173521146(+) TAACAATGCCA >hg38_chr2:173555990-173556000(-) AGACAATAGGC >hg38_chr2:173556004-173556014(-) GCATAATGGTT >hg38_chr2:173606967-173606977(+) GAACAATAGCA >hg38_chr2:173640891-173640901(+) CGACAATGAAG >hg38_chr2:173652969-173652979(+) TGACAATAGAA >hg38_chr2:173666798-173666808(-) TTACAATGAAG >hg38_chr2:173668523-173668533(+) ttacaatggac >hg38_chr2:173725874-173725884(+) ccacaatgaaa >hg38_chr2:173725952-173725962(+) atacaaaggag >hg38_chr2:173749031-173749041(-) TGACAATGTGC >hg38_chr2:173749608-173749618(-) ATACAAAGGCT >hg38_chr2:173761968-173761978(+) CAACAATGCTA >hg38_chr2:173771094-173771104(-) GAACAATGGGG >hg38_chr2:173797976-173797986(-) gaacaatgggc >hg38_chr2:173808868-173808878(-) cgacgatggga >hg38_chr2:173926172-173926182(-) ATACAATGTTT >hg38_chr2:173926182-173926192(+) TCACAATGTCT >hg38_chr2:173943914-173943924(-) gaacaatagac >hg38_chr2:173947564-173947574(-) aaacaATAAAT >hg38_chr2:173956662-173956672(+) AAACAATGAAA >hg38_chr2:173959188-173959198(+) CCACAATGAAT >hg38_chr2:174080146-174080156(+) TTACAAAGGGG >hg38_chr2:174080497-174080507(-) GGACAATAGAA >hg38_chr2:174084025-174084035(-) GTACAATAGAA >hg38_chr2:174095573-174095583(+) tgacaaaggta >hg38_chr2:174103394-174103404(-) agacaatagtg >hg38_chr2:174103420-174103430(+) ttacaatgaca >hg38_chr2:174119307-174119317(+) TAACAATAAGC >hg38_chr2:174121171-174121181(+) ATACAAAGGCT >hg38_chr2:174126756-174126766(-) Caacaatggca >hg38_chr2:174129986-174129996(+) CAACAATGCAC >hg38_chr2:174134087-174134097(-) ctacaatgtgc >hg38_chr2:174180997-174181007(+) GGACAATGGCA >hg38_chr2:174199032-174199042(-) atacaataaaa >hg38_chr2:174201765-174201775(-) TAACAATGTAC >hg38_chr2:174209886-174209896(+) TAACAATGTTC >hg38_chr2:174209913-174209923(+) TAACAATATTA >hg38_chr2:174223261-174223271(+) GAACAATGGAA >hg38_chr2:174235496-174235506(+) acacaaaggac >hg38_chr2:174239055-174239065(+) gtacaatagga >hg38_chr2:174239070-174239080(+) acacaatagaa >hg38_chr2:174239082-174239092(-) gaacaatggaa >hg38_chr2:174264176-174264186(-) atacactggac >hg38_chr2:174266553-174266563(+) ccacaatgggg >hg38_chr2:174294202-174294212(-) gaacaatgtca >hg38_chr2:174332132-174332142(-) TAACAAAGGCC >hg38_chr2:174368336-174368346(+) taacaaaggta >hg38_chr2:174393329-174393339(+) TAACAATACAC >hg38_chr2:174428387-174428397(-) TGACAATGTGT >hg38_chr2:174461440-174461450(-) ACACAATGGAG >hg38_chr2:174463714-174463724(-) tgacaaaggat >hg38_chr2:174464962-174464972(-) GTAGAATGGTA >hg38_chr2:174561400-174561410(+) GAACAAAGGCT >hg38_chr2:174606034-174606044(+) CTACAATGGTC >hg38_chr2:174639133-174639143(+) tgacaatagtc >hg38_chr2:174674295-174674305(-) AGACAAAGGTT >hg38_chr2:174680607-174680617(-) TAACTATGGTT >hg38_chr2:174686928-174686938(-) CAACAATAGAA >hg38_chr2:174762768-174762778(+) CTACAAAGGAC >hg38_chr2:174827574-174827584(+) gaacaatgcag >hg38_chr2:174866547-174866557(+) TGACAAAGGGA >hg38_chr2:174881868-174881878(-) ACACAGTGGAA >hg38_chr2:174883228-174883238(-) taacaataggg >hg38_chr2:174886359-174886369(-) CTACAATAGTC >hg38_chr2:174889479-174889489(+) gaacaatatca >hg38_chr2:174903337-174903347(+) AGACAATAGGG >hg38_chr2:174916510-174916520(+) AAACAATAGTC >hg38_chr2:174919146-174919156(-) gaacaatgcct >hg38_chr2:174924587-174924597(+) ctacaATAGCA >hg38_chr2:174963276-174963286(-) AGACAATGTTT >hg38_chr2:174963551-174963561(+) aaacaatgcag >hg38_chr2:174984771-174984781(+) TAACAAAGGAA >hg38_chr2:174998594-174998604(+) AAACAATGAGG >hg38_chr2:175004131-175004141(-) CGACTATGGTA >hg38_chr2:175035971-175035981(+) ggacaatagag >hg38_chr2:175048339-175048349(-) aaacaatgaac >hg38_chr2:175074001-175074011(+) TAACAATGTGC >hg38_chr2:175101809-175101819(+) TTACAATGGGT >hg38_chr2:175114823-175114833(+) GAACAATAGCT >hg38_chr2:175117005-175117015(+) gcacaatataa >hg38_chr2:175140282-175140292(+) TGacaatggca >hg38_chr2:175176074-175176084(-) ACACAATGACT >hg38_chr2:175176837-175176847(-) atataatggaa >hg38_chr2:175225367-175225377(-) gaacaataaaa >hg38_chr2:175234085-175234095(+) GGATAATGGCT >hg38_chr2:175234100-175234110(+) GGATAATGGCA >hg38_chr2:175366947-175366957(-) GTACACTGGAG >hg38_chr2:175366977-175366987(-) TCATAATGGAG >hg38_chr2:175377944-175377954(+) CAACAATGTAC >hg38_chr2:175416199-175416209(-) tcacaatagag >hg38_chr2:175416490-175416500(-) acacaatggaa >hg38_chr2:175432167-175432177(+) TAACAAAGCGA >hg38_chr2:175432305-175432315(+) CAACAAAGGTA >hg38_chr2:175513763-175513773(-) caacaatagca >hg38_chr2:175561009-175561019(-) TAACAATGCTC >hg38_chr2:175596603-175596613(-) caacaatagac >hg38_chr2:175596612-175596622(-) taacaatggca >hg38_chr2:175600633-175600643(-) ACACAATGGAG >hg38_chr2:175601960-175601970(-) TCATAATGGCA >hg38_chr2:175606079-175606089(-) TGACAATGGCT >hg38_chr2:175619655-175619665(-) GAATAATGTAC >hg38_chr2:175677928-175677938(-) TTACAATGGGG >hg38_chr2:175679337-175679347(-) ACACAATGCTC >hg38_chr2:175679384-175679394(-) GAACAATGAAA >hg38_chr2:175691245-175691255(-) ACACAATGGAA >hg38_chr2:175792324-175792334(-) caacAATAGGG >hg38_chr2:175829448-175829458(+) ggacaatagtg >hg38_chr2:175831451-175831461(+) gtataatgtaa >hg38_chr2:175851561-175851571(-) acacaatgaca >hg38_chr2:175891963-175891973(+) GGACAAAGGAG >hg38_chr2:175903599-175903609(-) GCATAATGGCT >hg38_chr2:175925205-175925215(+) TAACAATAGTG >hg38_chr2:175930544-175930554(+) ATACAATAGTC >hg38_chr2:175930559-175930569(+) AAACAATGCAA >hg38_chr2:175955066-175955076(+) AAACAATGAAA >hg38_chr2:175955095-175955105(-) TAACAAAGGCA >hg38_chr2:175990665-175990675(-) AAACAATGTGA >hg38_chr2:175996707-175996717(-) ATACAATGTCA >hg38_chr2:176001577-176001587(+) GGACAATGGTT >hg38_chr2:176023347-176023357(+) CGACAATAAAT >hg38_chr2:176088637-176088647(+) AGACAATGGAC >hg38_chr2:176104039-176104049(-) TTACAACGGTT >hg38_chr2:176114547-176114557(-) AAACAATAACC >hg38_chr2:176165236-176165246(+) CCACAATGACA >hg38_chr2:176175174-176175184(+) TCACAATAGCT >hg38_chr2:176178080-176178090(+) GAACAATAGCC >hg38_chr2:176192563-176192573(+) TGACAATGTTT >hg38_chr2:176200128-176200138(+) TCACAATGACA >hg38_chr2:176200134-176200144(+) TGACAAAGGTA >hg38_chr2:176215522-176215532(+) atacaaagata >hg38_chr2:176234348-176234358(+) GGACAATAGTT >hg38_chr2:176323365-176323375(-) ATACAATAAGC >hg38_chr2:176331951-176331961(-) TGACAATGAGT >hg38_chr2:176331957-176331967(-) ACACAATGACA >hg38_chr2:176363344-176363354(+) tcacaatagct >hg38_chr2:176462198-176462208(+) tcacaatagtg >hg38_chr2:176490749-176490759(+) CTACAATGCAG >hg38_chr2:176523710-176523720(+) AGACAATAGGT >hg38_chr2:176527477-176527487(+) TTACAATGGAA >hg38_chr2:176545237-176545247(+) AAACAATGCCC >hg38_chr2:176557324-176557334(-) GAACAATGGCC >hg38_chr2:176568445-176568455(-) TAACAATAGGA >hg38_chr2:176568472-176568482(-) GGACAATGGAG >hg38_chr2:176614060-176614070(+) taacaataaac >hg38_chr2:176646280-176646290(-) GTATAATGAAA >hg38_chr2:176745926-176745936(+) ACATAATGGGG >hg38_chr2:176754057-176754067(-) CAACAATAGCT >hg38_chr2:176764243-176764253(+) GAACAATGACT >hg38_chr2:176818510-176818520(+) AAACAAAGGTA >hg38_chr2:176818541-176818551(+) TAACAAAGGTT >hg38_chr2:176830732-176830742(+) GGACAAAGGAG >hg38_chr2:176872002-176872012(-) acacaatgtgt >hg38_chr2:176872532-176872542(-) taacaaagggc >hg38_chr2:176872565-176872575(+) tcacaatgatt >hg38_chr2:176875260-176875270(+) ATACAATGTGC >hg38_chr2:176917936-176917946(-) gcacaatagtt >hg38_chr2:177000215-177000225(+) AGACAATGGTG >hg38_chr2:177024581-177024591(-) TAACAATGTTG >hg38_chr2:177074376-177074386(-) TAACAATGCTA >hg38_chr2:177154105-177154115(+) TAATAATGGCA >hg38_chr2:177170403-177170413(+) TGACAATGGAC >hg38_chr2:177242664-177242674(-) GCATAATGGGT >hg38_chr2:177262974-177262984(-) GGACAATGTAT >hg38_chr2:177262998-177263008(+) TTACAATAGAT >hg38_chr2:177269093-177269103(+) GTACAATGAGC >hg38_chr2:177332104-177332114(-) TAACAATGCTA >hg38_chr2:177384201-177384211(+) TTACAATGGCC >hg38_chr2:177384829-177384839(-) taataatggtt >hg38_chr2:177422332-177422342(+) GAACAAAGGAG >hg38_chr2:177468398-177468408(-) ATACAATACAC >hg38_chr2:177475060-177475070(-) AGACTATGGAA >hg38_chr2:177499212-177499222(-) TAACAATAACT >hg38_chr2:177500248-177500258(+) GAATAATGGTA >hg38_chr2:177516982-177516992(-) TAACAATGCTA >hg38_chr2:177524427-177524437(+) AAACAATGGCC >hg38_chr2:177524860-177524870(+) GGACTATGGAA >hg38_chr2:177563692-177563702(-) ccacaatgaca >hg38_chr2:177563733-177563743(-) acacaatggta >hg38_chr2:177599236-177599246(-) GAACAAAGGTG >hg38_chr2:177626530-177626540(-) GAACAAAGGGT >hg38_chr2:177634199-177634209(-) ATACAAAGGAA >hg38_chr2:177642455-177642465(+) AAACAATGGAG >hg38_chr2:177651356-177651366(-) GAACAAAGGGA >hg38_chr2:177652026-177652036(+) TAACAATGTGA >hg38_chr2:177663128-177663138(+) GTACAATAAAC >hg38_chr2:177676580-177676590(+) TAACAATAGGT >hg38_chr2:177678187-177678197(-) aaacaatgata >hg38_chr2:177691869-177691879(+) agacaatgtaa >hg38_chr2:177701540-177701550(+) aaacaatgcaa >hg38_chr2:177711779-177711789(-) CAACAATGCCT >hg38_chr2:177724394-177724404(+) ACACAATGCTG >hg38_chr2:177724555-177724565(+) AGACAATAGGC >hg38_chr2:177725511-177725521(+) TGACAATGAAG >hg38_chr2:177731644-177731654(+) gaacaaaggat >hg38_chr2:177784389-177784399(-) ggacaatgcca >hg38_chr2:177799716-177799726(-) gaacaatgcct >hg38_chr2:177811791-177811801(+) AGACAATGGGC >hg38_chr2:177823820-177823830(-) GTACAATGATA >hg38_chr2:177857580-177857590(+) atactatggat >hg38_chr2:177857623-177857633(+) atacaaaggac >hg38_chr2:177889986-177889996(+) GAATAATGGAT >hg38_chr2:177910101-177910111(+) AGACAATATTA >hg38_chr2:177910863-177910873(-) ACACAATGGGG >hg38_chr2:177915223-177915233(+) ctacaataggt >hg38_chr2:178081520-178081530(+) TAACAATGACC >hg38_chr2:178082008-178082018(-) CCACAATGGCC >hg38_chr2:178136910-178136920(-) TCACAATGAGT >hg38_chr2:178137203-178137213(+) taacagtggta >hg38_chr2:178137875-178137885(+) GAACAATGTCC >hg38_chr2:178138226-178138236(-) gcacaatatat >hg38_chr2:178152742-178152752(+) CAATAATGGTA >hg38_chr2:178223308-178223318(-) acacaaaggag >hg38_chr2:178225158-178225168(-) ACACAATGCCT >hg38_chr2:178271177-178271187(-) GAACAATGCCA >hg38_chr2:178271460-178271470(+) TAACAATGTTT >hg38_chr2:178275993-178276003(-) TAACAATGCTA >hg38_chr2:178278023-178278033(-) ggacaaaggag >hg38_chr2:178291386-178291396(-) GAACAGTGGAC >hg38_chr2:178293681-178293691(-) agacaatataa >hg38_chr2:178301395-178301405(+) taagaatggta >hg38_chr2:178321680-178321690(+) CAATAATGGGT >hg38_chr2:178393625-178393635(+) GTACAATGTAT >hg38_chr2:178418653-178418663(+) ATAcaatgtct >hg38_chr2:178500824-178500834(-) GTACAATGAAG >hg38_chr2:178501540-178501550(-) TAACAATGCTG >hg38_chr2:178502073-178502083(+) AAACAATGGTG >hg38_chr2:178504899-178504909(-) TGACAATAGGT >hg38_chr2:178504905-178504915(-) AAACAATGACA >hg38_chr2:178512684-178512694(+) GAACAATGGTG >hg38_chr2:178676991-178677001(+) GGACAATGCTT >hg38_chr2:178682966-178682976(+) TAACAATGTGC >hg38_chr2:178724521-178724531(+) CAACAATGACT >hg38_chr2:178761203-178761213(-) ttacaataggc >hg38_chr2:178790297-178790307(+) AAACAATAAGT >hg38_chr2:178794136-178794146(-) CAACTATGGTA >hg38_chr2:178848282-178848292(+) GCACAATGGTT >hg38_chr2:178896320-178896330(-) GTACAGTGGAT >hg38_chr2:178909428-178909438(+) GTACGATGGGC >hg38_chr2:179006115-179006125(+) AAACAATGGCC >hg38_chr2:179022357-179022367(+) GAACAATAGGA >hg38_chr2:179029018-179029028(+) TAACAATGTAG >hg38_chr2:179106792-179106802(+) caacaatgagg >hg38_chr2:179129821-179129831(+) TGACAATGCAG >hg38_chr2:179144834-179144844(+) TTACAATAGAA >hg38_chr2:179159240-179159250(+) TTACAAAGGTG >hg38_chr2:179229383-179229393(-) caacaatggtg >hg38_chr2:179231581-179231591(+) gaacaatgtac >hg38_chr2:179263231-179263241(+) GAACAAAGACG >hg38_chr2:179263269-179263279(-) TAACAATACTA >hg38_chr2:179265951-179265961(-) ggacaatagga >hg38_chr2:179326546-179326556(+) TTACAATGTAG >hg38_chr2:179335825-179335835(+) CAACAATGCAC >hg38_chr2:179335850-179335860(+) TGACAATGGCA >hg38_chr2:179340853-179340863(+) caacaaTGGTA >hg38_chr2:179373067-179373077(+) tgacaaaggga >hg38_chr2:179379574-179379584(-) CAACAATGAAC >hg38_chr2:179435671-179435681(-) CAACAATGCCA >hg38_chr2:179456067-179456077(-) taacaatgcag >hg38_chr2:179462058-179462068(+) ATACAATGAAT >hg38_chr2:179489630-179489640(+) TTACAATGCTT >hg38_chr2:179536729-179536739(+) gcacaatgcca >hg38_chr2:179544043-179544053(+) AGACAATGTAT >hg38_chr2:179545117-179545127(+) ACACAATAAAA >hg38_chr2:179560594-179560604(-) agactatggaa >hg38_chr2:179574297-179574307(-) GTACAATACTC >hg38_chr2:179574315-179574325(+) GAACAATAAAT >hg38_chr2:179588753-179588763(+) TTACAAtgcac >hg38_chr2:179589974-179589984(+) TGACAATGGGA >hg38_chr2:179605537-179605547(-) GAACTATGGAA >hg38_chr2:179608813-179608823(+) TTACAATGTAA >hg38_chr2:179677569-179677579(+) TTACAATGGTA >hg38_chr2:179694267-179694277(+) GGACAATGAAG >hg38_chr2:179709107-179709117(+) gaacaatgaac >hg38_chr2:179714460-179714470(+) taACAAAGGAG >hg38_chr2:179804338-179804348(-) TAACACTGGTC >hg38_chr2:179805805-179805815(+) TAACAAAGGCA >hg38_chr2:179805826-179805836(+) ACATAATGGAT >hg38_chr2:179825188-179825198(-) ATACAAAGGCC >hg38_chr2:179826610-179826620(+) CCACAATGCAC >hg38_chr2:179826619-179826629(+) ACACAATAGAT >hg38_chr2:179830879-179830889(-) acacaatgttg >hg38_chr2:179838521-179838531(-) TAACAATGATA >hg38_chr2:179854754-179854764(+) TCACAATGGGC >hg38_chr2:179899110-179899120(-) atacaataggg >hg38_chr2:179926598-179926608(-) acacaatgtta >hg38_chr2:179934971-179934981(+) CAACAATAGTT >hg38_chr2:179937429-179937439(+) TGAcaatggta >hg38_chr2:179953597-179953607(+) TAACACTGGTT >hg38_chr2:179976140-179976150(+) acacaataggg >hg38_chr2:179981242-179981252(+) acacaatgcct >hg38_chr2:179990355-179990365(+) ATACAATATTT >hg38_chr2:180034441-180034451(-) acacaatgtct >hg38_chr2:180035711-180035721(-) taacaatggct >hg38_chr2:180035739-180035749(+) gaacaaaggcc >hg38_chr2:180057059-180057069(+) taacaatggga >hg38_chr2:180057067-180057077(+) ggacaaaggaa >hg38_chr2:180058217-180058227(+) gaacaatagat >hg38_chr2:180058261-180058271(+) acacaatgggt >hg38_chr2:180059248-180059258(-) ttataatggac >hg38_chr2:180069531-180069541(-) CTACAATAGGA >hg38_chr2:180071558-180071568(-) ccacaatagct >hg38_chr2:180071990-180072000(-) gaacaaaggta >hg38_chr2:180087332-180087342(+) caacaatgtaa >hg38_chr2:180087884-180087894(-) caacaatggca >hg38_chr2:180125901-180125911(-) GGACAATAGGA >hg38_chr2:180131104-180131114(-) ACACAATGACT >hg38_chr2:180170981-180170991(+) AAACAATAGTA >hg38_chr2:180171697-180171707(+) atacaataata >hg38_chr2:180184412-180184422(+) AAACAATAACA >hg38_chr2:180196573-180196583(-) ttataatgggc >hg38_chr2:180220596-180220606(+) TTACAATAAGA >hg38_chr2:180244563-180244573(-) gaacaatggtc >hg38_chr2:180284283-180284293(-) taataatggac >hg38_chr2:180284306-180284316(-) gtacaaaggga >hg38_chr2:180289353-180289363(+) TTACAAAGGCC >hg38_chr2:180298860-180298870(-) aaacaatagag >hg38_chr2:180330544-180330554(+) atacaataata >hg38_chr2:180344681-180344691(+) ATACAAAGGTC >hg38_chr2:180348142-180348152(+) TAATAATGGGT >hg38_chr2:180356927-180356937(-) acacaatagta >hg38_chr2:180357315-180357325(-) taacaatgaat >hg38_chr2:180367984-180367994(-) agacaataggg >hg38_chr2:180370704-180370714(+) AAACAATGGCT >hg38_chr2:180410323-180410333(+) TCACAATGGGC >hg38_chr2:180410843-180410853(-) TAACAATGTGC >hg38_chr2:180416727-180416737(+) AAACGATGGCA >hg38_chr2:180457412-180457422(-) CTACAATAGCA >hg38_chr2:180466195-180466205(-) GCATAATGGTG >hg38_chr2:180472445-180472455(-) AAACAAAGGCT >hg38_chr2:180517252-180517262(-) GCACAATAGTT >hg38_chr2:180519421-180519431(+) CAACAATGATT >hg38_chr2:180524814-180524824(-) aaactatggga >hg38_chr2:180577799-180577809(-) gaacaatgaaa >hg38_chr2:180577815-180577825(+) ttacaaaggac >hg38_chr2:180624350-180624360(-) aaacaatgcta >hg38_chr2:180625631-180625641(+) ACACAATGAGT >hg38_chr2:180626227-180626237(+) ATACAATGCCC >hg38_chr2:180649943-180649953(-) AAACAAAGGAA >hg38_chr2:180652953-180652963(+) tcacaatagtc >hg38_chr2:180656006-180656016(+) TAACAATGCtt >hg38_chr2:180663421-180663431(-) ATACAATAAGG >hg38_chr2:180689255-180689265(-) AGACAATAGCC >hg38_chr2:180689764-180689774(-) TAACAATGACT >hg38_chr2:180689794-180689804(-) AGATAATGGCA >hg38_chr2:180705824-180705834(+) GTACAATAGTA >hg38_chr2:180705840-180705850(-) TTACAATGAGT >hg38_chr2:180706572-180706582(-) TAATAATGATA >hg38_chr2:180708027-180708037(-) GAACAATGGTT >hg38_chr2:180743012-180743022(-) TAACACTGGTC >hg38_chr2:180820280-180820290(+) ACACAATGAAT >hg38_chr2:180881951-180881961(+) gtacaatatat >hg38_chr2:180881984-180881994(+) aaacaatgctt >hg38_chr2:180887333-180887343(+) caacaatgtat >hg38_chr2:180911092-180911102(-) GAACACTGgga >hg38_chr2:180943929-180943939(-) taacaatggct >hg38_chr2:180984283-180984293(-) CCACAATGTAT >hg38_chr2:181045410-181045420(+) GCACAATAGGA >hg38_chr2:181047988-181047998(-) gcacaatgtgg >hg38_chr2:181049345-181049355(-) ctactatggac >hg38_chr2:181049360-181049370(+) tcacaatgttg >hg38_chr2:181049708-181049718(-) AAACTATGGCA >hg38_chr2:181052018-181052028(+) GCACAATGTAA >hg38_chr2:181067235-181067245(+) CAACAATGTGT >hg38_chr2:181115783-181115793(-) TCACAATGAAT >hg38_chr2:181131664-181131674(-) CAACAATGGAT >hg38_chr2:181150211-181150221(+) CTACAATGTGT >hg38_chr2:181218242-181218252(+) atacaatatct >hg38_chr2:181218602-181218612(-) AGACAATGGAA >hg38_chr2:181223490-181223500(-) TAACAATAACA >hg38_chr2:181262008-181262018(-) GAACAATAGGA >hg38_chr2:181322535-181322545(-) aaacaatgcag >hg38_chr2:181322551-181322561(-) aaacaatacta >hg38_chr2:181369473-181369483(-) Taataatggta >hg38_chr2:181371216-181371226(+) GAACAAAGGGC >hg38_chr2:181421751-181421761(-) caacaATACGA >hg38_chr2:181426881-181426891(-) GAACAAAGGCC >hg38_chr2:181428955-181428965(-) gtataatagta >hg38_chr2:181484046-181484056(-) GAACAAAGGAA >hg38_chr2:181492238-181492248(-) ATACAAAGGCT >hg38_chr2:181529332-181529342(+) AAACAATGACT >hg38_chr2:181529375-181529385(+) TGACTATGGAT >hg38_chr2:181555306-181555316(-) CTATAATGGTG >hg38_chr2:181557777-181557787(-) agataatggta >hg38_chr2:181567024-181567034(+) CTACAATGTTT >hg38_chr2:181567037-181567047(-) TAACAATATCG >hg38_chr2:181567097-181567107(-) TTACAATGTAA >hg38_chr2:181567850-181567860(-) CCACAATAGCC >hg38_chr2:181572388-181572398(+) ATACAATGAGA >hg38_chr2:181586782-181586792(+) AAACAAAGGCT >hg38_chr2:181592898-181592908(+) GTATAATGGCA >hg38_chr2:181592934-181592944(+) AGACAATGCTT >hg38_chr2:181593218-181593228(+) TCACAATGACT >hg38_chr2:181609034-181609044(+) agacaaaggat >hg38_chr2:181609847-181609857(+) tgataatggtg >hg38_chr2:181724455-181724465(+) GTACAATGAAC >hg38_chr2:181724466-181724476(+) TAACAATCGCA >hg38_chr2:181747043-181747053(-) gtacaatagaa >hg38_chr2:181760667-181760677(+) ATACAATAGAC >hg38_chr2:181764687-181764697(+) AAACAATAGAA >hg38_chr2:181770375-181770385(-) ATACAATGACT >hg38_chr2:181770420-181770430(+) AAACAATAGTG >hg38_chr2:181776073-181776083(-) gtactatggaa >hg38_chr2:181776089-181776099(+) atacaataggc >hg38_chr2:181776122-181776132(+) gCACAATAGTT >hg38_chr2:181778746-181778756(+) AGACAAAGGAG >hg38_chr2:181780278-181780288(-) ATACAATGCTG >hg38_chr2:181791386-181791396(+) ACACAATGGCA >hg38_chr2:181805218-181805228(+) TAACAATAGAA >hg38_chr2:181805235-181805245(+) ATACAATAAAG >hg38_chr2:181818609-181818619(-) GAACAATAGTG >hg38_chr2:181846381-181846391(-) TAACAAAGGCA >hg38_chr2:181849436-181849446(-) GAACAATGCAG >hg38_chr2:181849763-181849773(+) TAACAATAAAA >hg38_chr2:181851504-181851514(-) TAACAATAGTC >hg38_chr2:181854964-181854974(-) ACACAAAGGCA >hg38_chr2:181891018-181891028(+) GAACAATGCTG >hg38_chr2:181891042-181891052(-) ACACAATGTGA >hg38_chr2:181904317-181904327(+) GAATAATGGGA >hg38_chr2:181904364-181904374(-) TTACAATGTAG >hg38_chr2:181904486-181904496(+) GAACAATGGAT >hg38_chr2:181920142-181920152(+) TAACAATGTAT >hg38_chr2:181924622-181924632(+) AAACAATGGTA >hg38_chr2:181924640-181924650(-) AAACAAAGGCC >hg38_chr2:181930317-181930327(+) AAATAATGGGG >hg38_chr2:181958784-181958794(-) ctacaatgtgg >hg38_chr2:181975717-181975727(-) ATACAATGGAA >hg38_chr2:181975740-181975750(+) GTACAATGCAT >hg38_chr2:181985849-181985859(-) GAACAATACGC >hg38_chr2:182034891-182034901(+) ATACAATGAAT >hg38_chr2:182034953-182034963(-) TCACAATGTAG >hg38_chr2:182053385-182053395(-) TTACAATGACC >hg38_chr2:182057166-182057176(-) gcacaatagca >hg38_chr2:182103638-182103648(+) GAACAATGGTA >hg38_chr2:182107891-182107901(-) ctacaatagca >hg38_chr2:182109691-182109701(-) gaacaatggaa >hg38_chr2:182110512-182110522(+) atacactggca >hg38_chr2:182110756-182110766(-) acacaatagca >hg38_chr2:182115325-182115335(+) TAATAATGGAA >hg38_chr2:182130369-182130379(+) GAACAATATAC >hg38_chr2:182141586-182141596(+) TTACAATGATG >hg38_chr2:182144466-182144476(+) CAACAATGTGA >hg38_chr2:182187314-182187324(-) GGACAATGCCC >hg38_chr2:182199862-182199872(+) CAACAATGGGA >hg38_chr2:182228283-182228293(+) GGACAATGGCT >hg38_chr2:182259923-182259933(+) AAACAATAGCC >hg38_chr2:182339187-182339197(+) ACACAATGAAT >hg38_chr2:182366736-182366746(+) TTACTATGGTA >hg38_chr2:182372936-182372946(+) GAACAATGTGC >hg38_chr2:182398366-182398376(-) TGACAATGTTG >hg38_chr2:182404800-182404810(-) taacaaaggag >hg38_chr2:182404809-182404819(+) taacaatgcat >hg38_chr2:182457792-182457802(+) ATACAATAACT >hg38_chr2:182477999-182478009(+) tgacaaaggac >hg38_chr2:182481304-182481314(+) ATACAATAGCA >hg38_chr2:182483098-182483108(+) tcataatggtc >hg38_chr2:182483126-182483136(+) ggacaatatag >hg38_chr2:182483388-182483398(+) acacaatatat >hg38_chr2:182494511-182494521(-) ACACAATGCTG >hg38_chr2:182494537-182494547(-) GGACAATGGGA >hg38_chr2:182552382-182552392(-) GTACAATAGCT >hg38_chr2:182558706-182558716(+) AGACAAAGGAT >hg38_chr2:182570536-182570546(-) tgacaatgcta >hg38_chr2:182571530-182571540(-) TAACAATGATG >hg38_chr2:182578752-182578762(-) Tcacaataggg >hg38_chr2:182618541-182618551(-) CAACAATGATT >hg38_chr2:182625961-182625971(+) AAacaatgcct >hg38_chr2:182625980-182625990(+) agacaaaggca >hg38_chr2:182631551-182631561(+) aaacaatgttt >hg38_chr2:182650886-182650896(+) TCACAATGACT >hg38_chr2:182650941-182650951(+) AAACAATAGGA >hg38_chr2:182665506-182665516(+) ccacaatgaga >hg38_chr2:182667408-182667418(+) tcacaatggtg >hg38_chr2:182685269-182685279(-) TAACAATAAGC >hg38_chr2:182706919-182706929(+) AAACAATGGCT >hg38_chr2:182754075-182754085(+) atacagtgggt >hg38_chr2:182826682-182826692(-) GAACAAAGGGC >hg38_chr2:182832426-182832436(+) CAACAATGGGC >hg38_chr2:182836579-182836589(+) ACACAATGATA >hg38_chr2:182911941-182911951(+) CCACAATAGAC >hg38_chr2:182915801-182915811(+) GGACAATGACC >hg38_chr2:182925029-182925039(+) GTACAATGGTA >hg38_chr2:182942564-182942574(+) agacaatgagt >hg38_chr2:182957018-182957028(+) AAACAATGAAG >hg38_chr2:183001846-183001856(-) CTATAATGGGA >hg38_chr2:183011938-183011948(+) gaataatgtac >hg38_chr2:183011967-183011977(-) agacaatgagc >hg38_chr2:183024491-183024501(+) AAACAATGAAT >hg38_chr2:183042692-183042702(+) GGACAATAGAT >hg38_chr2:183063195-183063205(-) GAACAATGGTC >hg38_chr2:183074987-183074997(-) acacaatgtga >hg38_chr2:183080135-183080145(-) taacaatggac >hg38_chr2:183095660-183095670(-) CTACAATGCAA >hg38_chr2:183095672-183095682(+) AGACAATGGAC >hg38_chr2:183149107-183149117(+) ATACAATAGTT >hg38_chr2:183149185-183149195(+) GAAcagtggtt >hg38_chr2:183158988-183158998(-) gcacaatgcct >hg38_chr2:183215333-183215343(-) ATACAATAGAA >hg38_chr2:183232197-183232207(-) TAACAATGAGT >hg38_chr2:183232222-183232232(+) TTACAATGCTC >hg38_chr2:183247573-183247583(-) gaacaatagtg >hg38_chr2:183247587-183247597(-) gcacaatgtgg >hg38_chr2:183247880-183247890(-) TGACAATGTAG >hg38_chr2:183258781-183258791(+) ccacaatagct >hg38_chr2:183291646-183291656(+) tcacaatgtct >hg38_chr2:183291708-183291718(-) GAACAATAGCA >hg38_chr2:183315743-183315753(+) TTACTATGGAG >hg38_chr2:183355915-183355925(+) gaacaatgtct >hg38_chr2:183423354-183423364(-) GGACAATGGGT >hg38_chr2:183435100-183435110(+) ACACAATGCAC >hg38_chr2:183575633-183575643(+) TGACAATGAAA >hg38_chr2:183894164-183894174(+) GTACAATAGTG >hg38_chr2:183997088-183997098(+) AAACAAtatta >hg38_chr2:184022388-184022398(-) GAACAATGAAT >hg38_chr2:184022397-184022407(-) TGACAATGAGA >hg38_chr2:184022894-184022904(-) taacaatggtg >hg38_chr2:184154429-184154439(+) CTACAATGTGA >hg38_chr2:184309301-184309311(-) gtacaaaggcc >hg38_chr2:184323533-184323543(-) AAACAATAGTG >hg38_chr2:184325246-184325256(-) ACACAAAGGAA >hg38_chr2:184337165-184337175(-) ATACAATAATA >hg38_chr2:184338852-184338862(-) ttacaatgaca >hg38_chr2:184359252-184359262(-) GAACAAAGGGA >hg38_chr2:184361092-184361102(+) CTACAAAGGTA >hg38_chr2:184361179-184361189(+) caacaatagac >hg38_chr2:184372697-184372707(+) ggacaatagaa >hg38_chr2:184372770-184372780(+) ttacaatggag >hg38_chr2:184629082-184629092(-) gaacaatgtca >hg38_chr2:184635897-184635907(-) GCACAATAGAT >hg38_chr2:184700839-184700849(-) TAACAATGGAG >hg38_chr2:184700891-184700901(-) atacaatgaat >hg38_chr2:184704782-184704792(+) ggacaatgcat >hg38_chr2:184732920-184732930(-) caacaatggca >hg38_chr2:184745169-184745179(+) GCACAATGCCC >hg38_chr2:184745206-184745216(-) TTACAATGCCA >hg38_chr2:184758040-184758050(-) GCACAATGCTA >hg38_chr2:184758062-184758072(+) TCATAATGGAG >hg38_chr2:184758085-184758095(-) TGACAATGTTT >hg38_chr2:184783809-184783819(-) GAACAATAGTG >hg38_chr2:184783820-184783830(-) AAACAAAGGAG >hg38_chr2:184802330-184802340(-) taacaaaggtt >hg38_chr2:184842309-184842319(-) GAACAATATAT >hg38_chr2:184859393-184859403(-) taacaatgcat >hg38_chr2:184864505-184864515(+) TAACAATAGAT >hg38_chr2:184899276-184899286(+) TAACAATAGGC >hg38_chr2:184945602-184945612(-) AGACGATGGAT >hg38_chr2:185040494-185040504(-) AAACAATGGCA >hg38_chr2:185078635-185078645(+) aaacaatgttg >hg38_chr2:185140351-185140361(-) aaacaatggcg >hg38_chr2:185196989-185196999(+) TCACAATAGTA >hg38_chr2:185246038-185246048(+) acacaatggga >hg38_chr2:185288780-185288790(+) agacaatggga >hg38_chr2:185418532-185418542(-) GGACAATGCCC >hg38_chr2:185425752-185425762(-) TAATAATGGTA >hg38_chr2:185427267-185427277(+) ccacaatagct >hg38_chr2:185433994-185434004(-) tcacaatggct >hg38_chr2:185444168-185444178(+) ACACAATGTGC >hg38_chr2:185457375-185457385(-) ttacaatagca >hg38_chr2:185476835-185476845(+) GAACAATGTTC >hg38_chr2:185644349-185644359(+) gaacaatgcct >hg38_chr2:185647989-185647999(+) tcacaatgcaa >hg38_chr2:185656836-185656846(-) GAACAATGGAA >hg38_chr2:185674238-185674248(-) TAACAATAAAG >hg38_chr2:185674262-185674272(-) GAACAATGAAA >hg38_chr2:185801100-185801110(-) GCACAATGCTT >hg38_chr2:185801149-185801159(+) GAACAAAGGAA >hg38_chr2:185801272-185801282(-) CCACAATGACA >hg38_chr2:185801339-185801349(+) CAACAATGTAG >hg38_chr2:185941023-185941033(-) aaacaatggca >hg38_chr2:185941033-185941043(-) gcacaatgaca >hg38_chr2:185970439-185970449(-) ccacaatgggg >hg38_chr2:186053522-186053532(+) gaacaatgctc >hg38_chr2:186053552-186053562(+) aaacaatggcc >hg38_chr2:186053559-186053569(-) Aaataatggcc >hg38_chr2:186054548-186054558(+) TAATAATGGCA >hg38_chr2:186058793-186058803(-) TAACAAAGGAA >hg38_chr2:186061947-186061957(-) ATACAATGGCT >hg38_chr2:186085852-186085862(-) ctacagtggta >hg38_chr2:186086271-186086281(-) AAATAATGGTT >hg38_chr2:186125403-186125413(+) TCACAATAGCA >hg38_chr2:186130945-186130955(+) ACACAGTGGAA >hg38_chr2:186131434-186131444(+) GCATAATGGAT >hg38_chr2:186181734-186181744(-) ACACAATGGAT >hg38_chr2:186187111-186187121(+) tgacaatagct >hg38_chr2:186188394-186188404(-) AGACAGTGGAA >hg38_chr2:186188437-186188447(-) GAACAATGGTC >hg38_chr2:186188738-186188748(+) GAACAATAAAA >hg38_chr2:186200063-186200073(-) GGACAATGAGA >hg38_chr2:186205248-186205258(-) gtacaatggta >hg38_chr2:186215401-186215411(-) AGACAATGAGA >hg38_chr2:186219221-186219231(-) TAACAATGACT >hg38_chr2:186221940-186221950(-) agacaataggg >hg38_chr2:186243249-186243259(-) GAACAATAATC >hg38_chr2:186325461-186325471(-) TAACAATGGTA >hg38_chr2:186340850-186340860(+) taacaatagcc >hg38_chr2:186347780-186347790(-) ATACAATGCAG >hg38_chr2:186350595-186350605(+) ggacaaaggaa >hg38_chr2:186442973-186442983(+) GGACAATGCAC >hg38_chr2:186444047-186444057(+) ggacaatagct >hg38_chr2:186445287-186445297(-) acacaatgtga >hg38_chr2:186470632-186470642(-) TTATAATGGGT >hg38_chr2:186474380-186474390(+) ccacaatgagg >hg38_chr2:186492716-186492726(-) AAACAATAGTA >hg38_chr2:186495869-186495879(-) taacaataaga >hg38_chr2:186495877-186495887(-) aaacaatgtaa >hg38_chr2:186518706-186518716(+) atacaatgtct >hg38_chr2:186533971-186533981(+) AAACAATGTTG >hg38_chr2:186542068-186542078(+) aaacaatgcct >hg38_chr2:186544435-186544445(-) TAACAATGATT >hg38_chr2:186570032-186570042(+) ACACAATGGGG >hg38_chr2:186582803-186582813(+) TCACAATGACT >hg38_chr2:186585718-186585728(+) TGACAATGCAT >hg38_chr2:186591074-186591084(-) ACACAATGAAT >hg38_chr2:186628666-186628676(+) TGACAAAGGAC >hg38_chr2:186629819-186629829(-) GAACAATGGAA >hg38_chr2:186630218-186630228(+) TAACAAAGGTC >hg38_chr2:186634169-186634179(+) GTACAATAGAT >hg38_chr2:186640755-186640765(-) ACACAATGACA >hg38_chr2:186641778-186641788(+) CAATAATGGAC >hg38_chr2:186641966-186641976(-) TGACAATGTGC >hg38_chr2:186643553-186643563(-) GAACAATGACA >hg38_chr2:186648117-186648127(-) TTACAAAGGGA >hg38_chr2:186664760-186664770(+) AGACAATGGAG >hg38_chr2:186692459-186692469(+) GGACAATGAAC >hg38_chr2:186692469-186692479(+) CTACAATGGTA >hg38_chr2:186705966-186705976(-) GAACAAAGGGT >hg38_chr2:186714057-186714067(-) ACACAATGCCA >hg38_chr2:186718310-186718320(-) GAACAATGGAA >hg38_chr2:186722058-186722068(+) gaacaatgtca >hg38_chr2:186723208-186723218(-) TAACAGTGGAA >hg38_chr2:186726019-186726029(-) ACACAATAGAC >hg38_chr2:186738867-186738877(+) taacaatgaca >hg38_chr2:186738892-186738902(-) taacaatgcat >hg38_chr2:186840729-186840739(+) ATACTATGGAA >hg38_chr2:186840763-186840773(+) AAACAATAAAC >hg38_chr2:186849276-186849286(+) CGACAAAGGTG >hg38_chr2:186854278-186854288(-) GTACAATGTTT >hg38_chr2:186854295-186854305(-) CAACAATGAGA >hg38_chr2:186854312-186854322(+) AAACAAAGGAC >hg38_chr2:186884783-186884793(-) AGACAATGCCC >hg38_chr2:186905722-186905732(-) AAACAATAAAA >hg38_chr2:187102471-187102481(-) ACACAATAGGT >hg38_chr2:187113589-187113599(+) AAACAATGTTC >hg38_chr2:187113630-187113640(+) TAATAATGGGT >hg38_chr2:187117700-187117710(-) AGACAATGGAG >hg38_chr2:187146212-187146222(+) taataatggat >hg38_chr2:187166557-187166567(-) aaacaataagt >hg38_chr2:187166912-187166922(+) TGACaataata >hg38_chr2:187166965-187166975(+) ccacaatgttt >hg38_chr2:187169343-187169353(+) AAACAAAGGAA >hg38_chr2:187187958-187187968(-) TAACAATATAG >hg38_chr2:187187999-187188009(-) GTATAATGGGA >hg38_chr2:187197914-187197924(+) GGACAATAGGA >hg38_chr2:187198334-187198344(+) TAACAATAGTA >hg38_chr2:187207294-187207304(+) GTACAATGCAG >hg38_chr2:187225090-187225100(-) caacaatgtta >hg38_chr2:187225133-187225143(-) agacaatagag >hg38_chr2:187255233-187255243(-) ctacaatgtta >hg38_chr2:187255492-187255502(-) tgacaatgcct >hg38_chr2:187264039-187264049(+) ggacaatgcat >hg38_chr2:187305120-187305130(+) ACACAAAGGAG >hg38_chr2:187310056-187310066(+) TTACAATGTTT >hg38_chr2:187319680-187319690(-) GTATAATGAAT >hg38_chr2:187332553-187332563(+) ACACAATAGGT >hg38_chr2:187360412-187360422(+) AAACAATGGAT >hg38_chr2:187404683-187404693(+) TAACAATGGCA >hg38_chr2:187407495-187407505(-) TTACAATGTTC >hg38_chr2:187418114-187418124(-) GAACAAAGGCT >hg38_chr2:187422371-187422381(+) GTACAATAGAA >hg38_chr2:187422709-187422719(-) ACACAATGTTG >hg38_chr2:187429997-187430007(-) GCACAATGGGA >hg38_chr2:187430210-187430220(+) CAACAATGATG >hg38_chr2:187434388-187434398(-) TCACAATGAAT >hg38_chr2:187434427-187434437(+) ccacaatgaga >hg38_chr2:187434728-187434738(-) acacaatgtat >hg38_chr2:187434746-187434756(+) atacaatggaa >hg38_chr2:187434797-187434807(+) taacaatgatt >hg38_chr2:187438322-187438332(+) TAACAATACAA >hg38_chr2:187438327-187438337(+) ATACAATGAGG >hg38_chr2:187438354-187438364(-) AAACAATGCCA >hg38_chr2:187438674-187438684(-) ACACAATGGCT >hg38_chr2:187448463-187448473(-) TAACAATGTAT >hg38_chr2:187467813-187467823(-) GTACAGTGGAT >hg38_chr2:187479232-187479242(+) GGACAATGCAG >hg38_chr2:187486896-187486906(+) TTATAATGGTC >hg38_chr2:187490964-187490974(-) tgacaatgaca >hg38_chr2:187491303-187491313(-) gtacaatggac >hg38_chr2:187491369-187491379(-) agacaatggac >hg38_chr2:187497366-187497376(+) CCACAATAGAC >hg38_chr2:187500194-187500204(+) Caacaatagct >hg38_chr2:187510303-187510313(-) ttacagtggta >hg38_chr2:187554862-187554872(+) AGACAATGTAA >hg38_chr2:187575815-187575825(+) TAACAATGCCT >hg38_chr2:187575894-187575904(-) TCATAATGGTT >hg38_chr2:187582672-187582682(+) TCACAATAATA >hg38_chr2:187608703-187608713(-) AAACAATGAGA >hg38_chr2:187608737-187608747(-) GAACAATAGAA >hg38_chr2:187616048-187616058(+) AAACAATGATT >hg38_chr2:187618966-187618976(-) gaacaatgagt >hg38_chr2:187694833-187694843(-) gcacaatggga >hg38_chr2:187700798-187700808(+) TGATAATGGGC >hg38_chr2:187702564-187702574(+) aaacaataaca >hg38_chr2:187702572-187702582(+) acacaataaaa >hg38_chr2:187702868-187702878(+) caacaatggtg >hg38_chr2:187702900-187702910(+) atataatgata >hg38_chr2:187713107-187713117(-) gaacaatgcat >hg38_chr2:187720039-187720049(-) AGACAAAGGGT >hg38_chr2:187751392-187751402(+) ACACAATATAT >hg38_chr2:187775934-187775944(-) TTACTATGGAA >hg38_chr2:187777884-187777894(-) ACACAATGAAA >hg38_chr2:187777893-187777903(-) ACACAATGTAC >hg38_chr2:187777902-187777912(-) TAACAATGTAC >hg38_chr2:187777926-187777936(+) AAACAAAGGGT >hg38_chr2:187805177-187805187(+) CTacaatgtag >hg38_chr2:187805189-187805199(+) tgacaatgtag >hg38_chr2:187805647-187805657(-) GTACAATAGCA >hg38_chr2:187878836-187878846(-) CTATAATGGAA >hg38_chr2:187878877-187878887(+) GTACAATGATT >hg38_chr2:187882670-187882680(+) taacaatgaag >hg38_chr2:187909985-187909995(-) GGACAATAGAT >hg38_chr2:187940861-187940871(+) GCACAATGGAA >hg38_chr2:187990312-187990322(+) TAACAATGGGT >hg38_chr2:187993393-187993403(+) GCACAATGAGA >hg38_chr2:187993424-187993434(+) aaacaatggtc >hg38_chr2:188008186-188008196(-) TAACAATAAAC >hg38_chr2:188033307-188033317(+) agacaatgggg >hg38_chr2:188049720-188049730(+) GGACAATGAAC >hg38_chr2:188060349-188060359(-) aaacaatgaaa >hg38_chr2:188092588-188092598(-) ttacaatggaa >hg38_chr2:188092600-188092610(-) ggacgatggta >hg38_chr2:188092615-188092625(-) aaataatggtg >hg38_chr2:188092931-188092941(-) aaacaatgaag >hg38_chr2:188114254-188114264(+) AAACAATGCCT >hg38_chr2:188132541-188132551(-) GGACAATGGCC >hg38_chr2:188167562-188167572(-) ccacaatgtaa >hg38_chr2:188178364-188178374(-) TAACAATATAC >hg38_chr2:188185974-188185984(-) GTACAATAACA >hg38_chr2:188192810-188192820(+) ATACAATGAGT >hg38_chr2:188193091-188193101(-) taacaataTAT >hg38_chr2:188193116-188193126(-) taacaatagtc >hg38_chr2:188193407-188193417(-) caacaatgagg >hg38_chr2:188204824-188204834(+) caacaatgtaa >hg38_chr2:188204852-188204862(-) taacaatggaa >hg38_chr2:188250792-188250802(+) CTACTATGGTA >hg38_chr2:188250814-188250824(+) CTACAATGCTC >hg38_chr2:188276579-188276589(+) aaacaaaggaa >hg38_chr2:188279210-188279220(-) AAACAATAGCT >hg38_chr2:188280142-188280152(-) GTACAATGCTT >hg38_chr2:188306751-188306761(+) TAACAAAGGTT >hg38_chr2:188306760-188306770(+) TTATAATGGAG >hg38_chr2:188306983-188306993(+) GGAGAATGGCG >hg38_chr2:188323231-188323241(-) GTACAATGGCA >hg38_chr2:188323261-188323271(-) atacaatgaaa >hg38_chr2:188333851-188333861(-) GAACAATGAGT >hg38_chr2:188411072-188411082(-) tgacaaaggtc >hg38_chr2:188434929-188434939(+) gcacaatgttt >hg38_chr2:188440198-188440208(-) caacaatagat >hg38_chr2:188449606-188449616(+) GTACAATGATG >hg38_chr2:188456245-188456255(+) agacaatgggg >hg38_chr2:188492196-188492206(+) ACACAATGAAA >hg38_chr2:188492217-188492227(-) TAATAATGTAA >hg38_chr2:188504575-188504585(+) TAACAATAGCA >hg38_chr2:188504600-188504610(+) TAACAATAATT >hg38_chr2:188511127-188511137(+) aaacagtggaa >hg38_chr2:188524282-188524292(+) AAACAATGACG >hg38_chr2:188548302-188548312(-) atacaattgat >hg38_chr2:188572127-188572137(+) TGACAAAGGAC >hg38_chr2:188598457-188598467(+) AAATAATGGTT >hg38_chr2:188601434-188601444(-) GAACAATGATG >hg38_chr2:188601629-188601639(+) ATACAGTGGAA >hg38_chr2:188635975-188635985(-) TTACAAAGGAT >hg38_chr2:188636017-188636027(-) AGACAATGATA >hg38_chr2:188636940-188636950(+) CCACAATAGAA >hg38_chr2:188677490-188677500(+) acacaatggca >hg38_chr2:188677946-188677956(-) CAACAATGTCA >hg38_chr2:188701692-188701702(-) ATATAATGGGA >hg38_chr2:188701709-188701719(-) ACACAATAGAA >hg38_chr2:188702202-188702212(-) ATACAATGCCT >hg38_chr2:188713243-188713253(-) aaacaatacta >hg38_chr2:188734702-188734712(-) TAACAAAGGAT >hg38_chr2:188766219-188766229(-) AAACAATGGGA >hg38_chr2:188798401-188798411(+) GAACAATGGAA >hg38_chr2:188831938-188831948(+) ctacaatggca >hg38_chr2:188868770-188868780(+) ctacaatagga >hg38_chr2:188922708-188922718(-) agacaaaggga >hg38_chr2:188951492-188951502(-) atacaatggaa >hg38_chr2:188951531-188951541(-) caacaataggt >hg38_chr2:188974974-188974984(-) AAACAATGCTC >hg38_chr2:188975705-188975715(+) ATACAATGAAA >hg38_chr2:188978738-188978748(-) AAACAATGGGA >hg38_chr2:189016154-189016164(+) GGACAAAGGGC >hg38_chr2:189016195-189016205(-) GTACAATATCA >hg38_chr2:189032398-189032408(-) ATACAACGGAT >hg38_chr2:189060220-189060230(-) ttacaataata >hg38_chr2:189090779-189090789(-) aaacaatgctg >hg38_chr2:189100174-189100184(+) GCACAATATAA >hg38_chr2:189100179-189100189(+) ATATAATGGCT >hg38_chr2:189105937-189105947(-) atacaataaag >hg38_chr2:189106649-189106659(+) tgataatggat >hg38_chr2:189110672-189110682(+) ATACAATGGAG >hg38_chr2:189114254-189114264(-) GGACAATGAGA >hg38_chr2:189135802-189135812(-) ggacaatatat >hg38_chr2:189150907-189150917(-) atacaatgggt >hg38_chr2:189188787-189188797(-) GAACAATGCTA >hg38_chr2:189200893-189200903(+) aaataatggct >hg38_chr2:189200915-189200925(+) aaacaataact >hg38_chr2:189208294-189208304(+) gcacaatgtcc >hg38_chr2:189233183-189233193(-) AAACAATGATT >hg38_chr2:189268238-189268248(-) AAACAATGCCA >hg38_chr2:189284860-189284870(-) caacaatggac >hg38_chr2:189297830-189297840(-) TCACAGTGGTA >hg38_chr2:189328156-189328166(+) taacaatgaac >hg38_chr2:189347878-189347888(-) AGACAATGTGA >hg38_chr2:189360902-189360912(+) ggataatggct >hg38_chr2:189368226-189368236(-) ATACAATAACA >hg38_chr2:189375466-189375476(+) TCACAATGGGG >hg38_chr2:189375475-189375485(+) GGACAATGGCT >hg38_chr2:189379384-189379394(+) AGACAATGTCA >hg38_chr2:189398785-189398795(-) aaacaatagta >hg38_chr2:189398955-189398965(+) agacaatagaa >hg38_chr2:189412738-189412748(+) CAACAATGTAG >hg38_chr2:189412750-189412760(-) ATACAATGCTT >hg38_chr2:189424717-189424727(-) taacaatatta >hg38_chr2:189424725-189424735(+) ttacaatgtcc >hg38_chr2:189427042-189427052(+) agacaatggga >hg38_chr2:189439485-189439495(+) GGACAATAACA >hg38_chr2:189442269-189442279(+) caacaatgccc >hg38_chr2:189442283-189442293(+) acataatggct >hg38_chr2:189444525-189444535(+) AAACAATGAGT >hg38_chr2:189465134-189465144(+) TAACAAAGGCT >hg38_chr2:189477791-189477801(-) aaacaatgggg >hg38_chr2:189514292-189514302(+) Atacaatcgct >hg38_chr2:189544579-189544589(+) ATAAAATGGCG >hg38_chr2:189553662-189553672(+) tcacaataata >hg38_chr2:189566364-189566374(+) GCACAATGTGA >hg38_chr2:189585345-189585355(+) Taacaatagac >hg38_chr2:189585572-189585582(-) gaacaaaggcc >hg38_chr2:189655450-189655460(+) aaacaatgata >hg38_chr2:189709937-189709947(-) CCACAATGGCT >hg38_chr2:189709957-189709967(+) TGACAATGACA >hg38_chr2:189723370-189723380(+) CTACAATGCTT >hg38_chr2:189737435-189737445(+) CAACAATGTAT >hg38_chr2:189737470-189737480(+) ATACAAGGGTA >hg38_chr2:189738335-189738345(-) CTACAATGTTT >hg38_chr2:189739157-189739167(-) ATACAATAGCA >hg38_chr2:189751251-189751261(-) AGATAATGGCT >hg38_chr2:189769807-189769817(+) ccacaatagta >hg38_chr2:189776291-189776301(-) GCACAATAGCG >hg38_chr2:189782842-189782852(+) TTACAATGCCT >hg38_chr2:189790376-189790386(-) GAATAATGATA >hg38_chr2:189934871-189934881(-) ATACAATAATA >hg38_chr2:189934889-189934899(+) TAACAATGCCA >hg38_chr2:190053036-190053046(+) AAACAATAGCA >hg38_chr2:190077982-190077992(-) gaacaatagct >hg38_chr2:190082623-190082633(+) AAACAATAGaa >hg38_chr2:190122260-190122270(-) GCACAATGGAC >hg38_chr2:190122726-190122736(-) ATACAATGCAA >hg38_chr2:190183258-190183268(+) cgataatggct >hg38_chr2:190188060-190188070(-) TCACAATGCAC >hg38_chr2:190188449-190188459(-) TGACTATGGTC >hg38_chr2:190196601-190196611(-) tcacaatgcct >hg38_chr2:190216994-190217004(+) AAACAATGAGG >hg38_chr2:190228858-190228868(+) ctacaatgcac >hg38_chr2:190228877-190228887(+) agacaataaca >hg38_chr2:190259906-190259916(-) agacaatgatg >hg38_chr2:190260573-190260583(+) gaacaaaggtg >hg38_chr2:190260584-190260594(-) tgacaatgttc >hg38_chr2:190328006-190328016(+) GCACAATAGAG >hg38_chr2:190340531-190340541(-) aaacaatgaga >hg38_chr2:190359725-190359735(-) GCACAATGCTA >hg38_chr2:190387789-190387799(-) CTACAATGTTG >hg38_chr2:190407453-190407463(-) TGACaatggta >hg38_chr2:190407487-190407497(-) TGACAATGGTA >hg38_chr2:190408827-190408837(+) AAACAAAGGCG >hg38_chr2:190413166-190413176(-) TCATAATGGAT >hg38_chr2:190425359-190425369(-) acacaatgctg >hg38_chr2:190447966-190447976(-) CAACAATGGCC >hg38_chr2:190469338-190469348(-) CTACAATGGGG >hg38_chr2:190497442-190497452(+) AGACAATGTTG >hg38_chr2:190501362-190501372(+) AGACACTGGAC >hg38_chr2:190501369-190501379(+) GGACAATGTAA >hg38_chr2:190501413-190501423(+) GAACAATGGCT >hg38_chr2:190502149-190502159(-) TAACAAAGGCA >hg38_chr2:190518606-190518616(-) AAACAATAGGT >hg38_chr2:190518644-190518654(+) GCACAATAGTG >hg38_chr2:190536756-190536766(+) aaacaatgcaa >hg38_chr2:190579058-190579068(-) AAACAATGCTG >hg38_chr2:190580218-190580228(+) AGACAATGCAT >hg38_chr2:190614988-190614998(+) GAACAAtagga >hg38_chr2:190626543-190626553(+) TCACAATGCTT >hg38_chr2:190626596-190626606(-) GTACAATGCCT >hg38_chr2:190652012-190652022(-) TAACAATTGTA >hg38_chr2:190676283-190676293(+) gaacaataagt >hg38_chr2:190676314-190676324(+) acacaaaggAC >hg38_chr2:190684627-190684637(-) TCACAATGTAA >hg38_chr2:190684964-190684974(-) AAACAATGTAA >hg38_chr2:190699103-190699113(+) CCACAAtgagg >hg38_chr2:190699115-190699125(+) agacaatgaat >hg38_chr2:190722493-190722503(-) GAACAATGGGC >hg38_chr2:190722529-190722539(-) AGACAATGTGG >hg38_chr2:190795604-190795614(+) TAATAATGCGA >hg38_chr2:190795655-190795665(-) acacaaaggag >hg38_chr2:190799315-190799325(+) CAACAATGGGT >hg38_chr2:190820070-190820080(-) aaacaatgcaa >hg38_chr2:190820406-190820416(+) CAACAATAGTA >hg38_chr2:190821148-190821158(-) GGACAAAGGTG >hg38_chr2:190822452-190822462(+) GGACAATAAGA >hg38_chr2:190837546-190837556(-) TGACAATGGGC >hg38_chr2:190837571-190837581(-) CAACAATGTGT >hg38_chr2:190856909-190856919(-) atacaataaat >hg38_chr2:190856934-190856944(-) aaataatggcc >hg38_chr2:190861498-190861508(+) GTACAAAGATA >hg38_chr2:190882067-190882077(+) AAACAATGTTT >hg38_chr2:190896158-190896168(-) AGACAATGCAG >hg38_chr2:190902075-190902085(+) GTATAATGGTG >hg38_chr2:190902111-190902121(+) TTATAATGGAA >hg38_chr2:190903460-190903470(+) acacaatgaaa >hg38_chr2:190903901-190903911(-) gaacaatgtcc >hg38_chr2:190910233-190910243(+) AAATAATGGTA >hg38_chr2:190924058-190924068(+) CAACAATAGCC >hg38_chr2:190930590-190930600(+) AAATAATGGTG >hg38_chr2:190933608-190933618(+) CTATAATGGTT >hg38_chr2:190935045-190935055(+) TTACAATGTAG >hg38_chr2:190940304-190940314(+) GCACAATGAAA >hg38_chr2:190943813-190943823(+) TCACAATAGAA >hg38_chr2:190944604-190944614(-) TAACAATATAT >hg38_chr2:190973727-190973737(+) CCACAATAGCT >hg38_chr2:191008087-191008097(-) TGACAATGGCA >hg38_chr2:191049706-191049716(+) aaacaataAGA >hg38_chr2:191049725-191049735(+) AAACAGTGGTC >hg38_chr2:191087021-191087031(-) aaacaaaggtg >hg38_chr2:191103887-191103897(+) AGACAATGGGA >hg38_chr2:191145554-191145564(-) ggacaatagca >hg38_chr2:191166307-191166317(-) TTACAATGGGG >hg38_chr2:191169659-191169669(+) GAACAATGAGC >hg38_chr2:191214833-191214843(-) gaacaatgaat >hg38_chr2:191218446-191218456(-) CCACAATACGA >hg38_chr2:191219587-191219597(+) gtacaaaggaa >hg38_chr2:191246161-191246171(-) GAACAAAGGAG >hg38_chr2:191252927-191252937(-) GAACAATAAAT >hg38_chr2:191265862-191265872(-) TCACAATGACT >hg38_chr2:191294539-191294549(-) GGACAATGTCA >hg38_chr2:191295932-191295942(-) CAACAATGTCT >hg38_chr2:191324567-191324577(-) AAACAATGTGA >hg38_chr2:191324604-191324614(-) TGACAATGGGC >hg38_chr2:191327597-191327607(-) aaacaatgaac >hg38_chr2:191353609-191353619(-) AAATAATGGAT >hg38_chr2:191377215-191377225(-) GAACAAAGGCA >hg38_chr2:191379552-191379562(+) ACACAATGTGT >hg38_chr2:191394550-191394560(+) agacaatacgc >hg38_chr2:191401454-191401464(+) AAACAAAGGCC >hg38_chr2:191403165-191403175(-) GAACAATGGTC >hg38_chr2:191410621-191410631(+) gtacaatatat >hg38_chr2:191420503-191420513(-) acacaatggta >hg38_chr2:191420528-191420538(+) gtacaataaca >hg38_chr2:191479212-191479222(+) ATACAATGCCC >hg38_chr2:191479222-191479232(+) CTACAATGCAG >hg38_chr2:191538635-191538645(-) GGACAATAAGA >hg38_chr2:191579694-191579704(+) ACACAATGTTC >hg38_chr2:191579989-191579999(+) TAATAATGGTA >hg38_chr2:191580009-191580019(+) ATACAATGGCA >hg38_chr2:191639149-191639159(-) CAACAATAGTA >hg38_chr2:191639176-191639186(-) ggacaatgcca >hg38_chr2:191639220-191639230(+) ccacaatagca >hg38_chr2:191647458-191647468(-) GAACAATAGCA >hg38_chr2:191648075-191648085(+) GGACAATGGCC >hg38_chr2:191677435-191677445(+) ACACAGTGGAA >hg38_chr2:191683795-191683805(+) GAACAAAGGGG >hg38_chr2:191687633-191687643(-) agacaatgagg >hg38_chr2:191689567-191689577(-) TAACAATGCTA >hg38_chr2:191698192-191698202(-) ccacaatgaac >hg38_chr2:191698202-191698212(-) caacaatggac >hg38_chr2:191698217-191698227(+) aaacaatgtta >hg38_chr2:191716531-191716541(+) aaacaatagag >hg38_chr2:191817749-191817759(-) taacaataacg >hg38_chr2:191817758-191817768(+) taacaataggc >hg38_chr2:191826979-191826989(+) GAACAATGGGT >hg38_chr2:191831820-191831830(+) TGACAATGGAT >hg38_chr2:191847421-191847431(-) TTACAATAACT >hg38_chr2:191861338-191861348(+) ccacaataGAT >hg38_chr2:191861367-191861377(+) GAACAAAGGAA >hg38_chr2:191907870-191907880(-) gtacaatgttt >hg38_chr2:191914614-191914624(-) TAACAAAGGAA >hg38_chr2:191918466-191918476(+) GCATAATGGCC >hg38_chr2:191932846-191932856(-) ACACAATGTGC >hg38_chr2:191937070-191937080(-) GTACAATACTA >hg38_chr2:191950692-191950702(+) GCACAATGCAA >hg38_chr2:191977912-191977922(-) CCACAATGAAT >hg38_chr2:191995556-191995566(+) GGACAATGACA >hg38_chr2:191996598-191996608(+) TCACAATGTAC >hg38_chr2:192025991-192026001(+) AGACAATGCAT >hg38_chr2:192047554-192047564(-) GGACAATGCAT >hg38_chr2:192102008-192102018(+) gcacaatgtct >hg38_chr2:192111672-192111682(+) agacaatgggg >hg38_chr2:192119762-192119772(+) aaacaatgtca >hg38_chr2:192149127-192149137(-) AGACAATGAAC >hg38_chr2:192210677-192210687(+) AAACAATGAGC >hg38_chr2:192255517-192255527(+) gcacaatgcct >hg38_chr2:192298936-192298946(-) AAACAATGCCA >hg38_chr2:192324136-192324146(+) GTATAATGGTA >hg38_chr2:192377371-192377381(-) ACacaatagaa >hg38_chr2:192381471-192381481(-) TTACAATAGTC >hg38_chr2:192381484-192381494(+) AAACAAAGGAA >hg38_chr2:192435631-192435641(-) GCACAATAGCC >hg38_chr2:192435649-192435659(-) TCACAATGCAC >hg38_chr2:192577949-192577959(-) AGACAATGCTG >hg38_chr2:192577967-192577977(-) ATATAATGGGC >hg38_chr2:192766328-192766338(+) gaacaaaggcc >hg38_chr2:192815671-192815681(+) ttacaataacc >hg38_chr2:192949138-192949148(+) AAACAATGTGG >hg38_chr2:192953312-192953322(+) CTACAATAGAA >hg38_chr2:193140782-193140792(-) agacaatggcg >hg38_chr2:193185574-193185584(-) AGACAATGACC >hg38_chr2:193414302-193414312(+) agacaaaggca >hg38_chr2:193503020-193503030(-) acacaatggcc >hg38_chr2:193556923-193556933(-) TCACAATGCAG >hg38_chr2:193571466-193571476(+) GAACAATGTGC >hg38_chr2:193584172-193584182(+) gtacagtggcg >hg38_chr2:193849464-193849474(-) taacaatgtct >hg38_chr2:193888726-193888736(+) acacaaaggat >hg38_chr2:194015917-194015927(+) ACACAATAAAT >hg38_chr2:194100348-194100358(-) agacaatagcc >hg38_chr2:194100428-194100438(-) agacaatgagg >hg38_chr2:194232154-194232164(+) gaacaaaggat >hg38_chr2:194236904-194236914(+) TTACAATGACT >hg38_chr2:194346011-194346021(-) GTACAATGCAT >hg38_chr2:194346368-194346378(+) AGATAATGGAG >hg38_chr2:195131282-195131292(-) TTACAAAGGGA >hg38_chr2:195162471-195162481(-) aaacagtggta >hg38_chr2:195165933-195165943(+) ctacaataacg >hg38_chr2:195203137-195203147(+) TTACAAAGGGG >hg38_chr2:195207701-195207711(-) gtacaatacta >hg38_chr2:195212791-195212801(+) ACACAATAGAA >hg38_chr2:195258030-195258040(+) ttataatggtc >hg38_chr2:195259056-195259066(-) tgacaatgcag >hg38_chr2:195270683-195270693(+) agacaatgggt >hg38_chr2:195276668-195276678(+) ccacaatggtc >hg38_chr2:195288475-195288485(-) CAATAATGGGA >hg38_chr2:195305598-195305608(+) acacaatagta >hg38_chr2:195306673-195306683(+) TCACAATGGTG >hg38_chr2:195315351-195315361(-) GTACAATGTGT >hg38_chr2:195321154-195321164(+) GCACAATGAGA >hg38_chr2:195321204-195321214(-) ATACAATGTCC >hg38_chr2:195321224-195321234(-) gtacAATATGC >hg38_chr2:195347054-195347064(-) GGACAATAGCA >hg38_chr2:195363961-195363971(-) CGACAATAACT >hg38_chr2:195364252-195364262(-) GAACAATGATT >hg38_chr2:195395685-195395695(+) ATACACTGGAA >hg38_chr2:195395933-195395943(-) TTACAAAGGTG >hg38_chr2:195412322-195412332(-) AAACAATGCTT >hg38_chr2:195427311-195427321(-) ACACAATGAAG >hg38_chr2:195427341-195427351(-) GAACAATGGAT >hg38_chr2:195447509-195447519(+) CTACAAAGGAT >hg38_chr2:195447530-195447540(-) AAACAATGGGC >hg38_chr2:195447543-195447553(+) AGACAATGATC >hg38_chr2:195481495-195481505(-) TTACAAAGGAG >hg38_chr2:195532008-195532018(-) ATACAATGGAC >hg38_chr2:195532914-195532924(+) GCACAATGCAT >hg38_chr2:195542960-195542970(-) gtacaatggag >hg38_chr2:195542967-195542977(+) gtacaataaca >hg38_chr2:195570479-195570489(+) GAACAAAGGCA >hg38_chr2:195570526-195570536(-) AAACAAAGGAG >hg38_chr2:195594416-195594426(-) GGACAATGAAC >hg38_chr2:195613301-195613311(-) TGACAAAGGAA >hg38_chr2:195613340-195613350(-) AAACAATGTAC >hg38_chr2:195613356-195613366(-) TAACAAAGGAA >hg38_chr2:195623045-195623055(+) GGACAATAGCT >hg38_chr2:195660310-195660320(+) ACACAATGGGA >hg38_chr2:195706745-195706755(+) GAACAATGAGT >hg38_chr2:195754999-195755009(+) TTACAATGTGC >hg38_chr2:195755068-195755078(+) CTACAATAGTG >hg38_chr2:195810839-195810849(-) TAATAATGGGG >hg38_chr2:195891280-195891290(+) TGACAATAGCA >hg38_chr2:195893763-195893773(+) TCACAATGTCA >hg38_chr2:195899367-195899377(-) TGACTATGGAA >hg38_chr2:195899402-195899412(+) GGACAATGCAT >hg38_chr2:195899419-195899429(-) AGATAATGGGA >hg38_chr2:195928765-195928775(+) AAACAATGGTA >hg38_chr2:195984947-195984957(+) gcataatggcc >hg38_chr2:195987424-195987434(+) GGACAATATTA >hg38_chr2:196067963-196067973(-) GAACAATGGTC >hg38_chr2:196105778-196105788(+) ACACAAAGGGA >hg38_chr2:196112511-196112521(-) Taacaatagct >hg38_chr2:196160231-196160241(+) ACACAATAAAA >hg38_chr2:196166238-196166248(-) GGACAAAGGTG >hg38_chr2:196168488-196168498(+) TTACAATGCAA >hg38_chr2:196204366-196204376(-) ttacaatagct >hg38_chr2:196212958-196212968(-) GCACAATGGAA >hg38_chr2:196228497-196228507(+) ATACAATAGGC >hg38_chr2:196228521-196228531(+) ATACAATAGGC >hg38_chr2:196232118-196232128(+) ACACAATAGAG >hg38_chr2:196232130-196232140(+) ATACAATGGAT >hg38_chr2:196253252-196253262(+) GAACAATGCAC >hg38_chr2:196260429-196260439(-) acacaataggc >hg38_chr2:196264558-196264568(+) GAACAATGTTT >hg38_chr2:196281041-196281051(+) GTACAATGATG >hg38_chr2:196322755-196322765(-) TGACAATAGCT >hg38_chr2:196327262-196327272(+) CCACAATGGGA >hg38_chr2:196327270-196327280(-) GTACAATGTCC >hg38_chr2:196378282-196378292(+) ATACAatgtgc >hg38_chr2:196447282-196447292(+) TGACAATGAAA >hg38_chr2:196454403-196454413(-) atacagtggaa >hg38_chr2:196471078-196471088(+) ggacaatggtg >hg38_chr2:196474267-196474277(+) GGACAATGAGA >hg38_chr2:196474307-196474317(+) TAACAATGAGC >hg38_chr2:196488445-196488455(+) TGACAATGAGC >hg38_chr2:196500539-196500549(+) GAACAATAAAA >hg38_chr2:196504996-196505006(+) ATACAATGTTA >hg38_chr2:196505013-196505023(-) ATACAGTGGAA >hg38_chr2:196528630-196528640(-) GTACAATAGAA >hg38_chr2:196528670-196528680(-) GAACAATGAAA >hg38_chr2:196551237-196551247(+) TCACAATGAAA >hg38_chr2:196580217-196580227(+) caacaatagtc >hg38_chr2:196587366-196587376(-) tgacaatgact >hg38_chr2:196593212-196593222(-) ACACAATCGGC >hg38_chr2:196605151-196605161(-) ttacaatggtt >hg38_chr2:196607753-196607763(-) ATACAATGGTT >hg38_chr2:196641859-196641869(-) GTACACTGGCA >hg38_chr2:196764570-196764580(+) GAACAATAGGT >hg38_chr2:196765238-196765248(+) TAACAATGCAA >hg38_chr2:196782659-196782669(+) agacaatgtag >hg38_chr2:196802124-196802134(-) agacaatagct >hg38_chr2:196824617-196824627(+) ATACAATGGAG >hg38_chr2:196883220-196883230(+) TAACAATATGT >hg38_chr2:196921123-196921133(+) TAACTATGGAG >hg38_chr2:196932012-196932022(+) ATACAATAGGT >hg38_chr2:196933101-196933111(+) GGACAATAAGA >hg38_chr2:196933125-196933135(+) GTACAATGGGG >hg38_chr2:196944281-196944291(+) gaacaatgaaa >hg38_chr2:196944300-196944310(+) taacaaaggtt >hg38_chr2:196959763-196959773(+) GTACAGTGGGT >hg38_chr2:196980035-196980045(-) TAACAATAGTT >hg38_chr2:196980601-196980611(-) TAACAATGAAA >hg38_chr2:196987610-196987620(+) ATACTATGGTG >hg38_chr2:196988043-196988053(-) GGACAAAGGAA >hg38_chr2:196991357-196991367(+) GAACAATGACT >hg38_chr2:196991368-196991378(-) TAACAATGGAA >hg38_chr2:197010751-197010761(-) ATACAATGTAC >hg38_chr2:197071755-197071765(-) gaacaatgaga >hg38_chr2:197096922-197096932(-) TGACAATGGTT >hg38_chr2:197121487-197121497(-) CTACAATGGAC >hg38_chr2:197159097-197159107(+) TAACAATAACT >hg38_chr2:197164539-197164549(+) AAACAAAGGCC >hg38_chr2:197185349-197185359(+) GAACAATGGCT >hg38_chr2:197241741-197241751(-) GCACAATGGAG >hg38_chr2:197252028-197252038(+) GAACAATAGCC >hg38_chr2:197280613-197280623(+) GTACAATAGCC >hg38_chr2:197302578-197302588(-) AAACAATGGCC >hg38_chr2:197378641-197378651(+) GAACAAAGGTT >hg38_chr2:197391345-197391355(-) gtataatggag >hg38_chr2:197391424-197391434(+) atacagtgggt >hg38_chr2:197391804-197391814(+) CAACAATGGAA >hg38_chr2:197396237-197396247(+) CAACAATAGCT >hg38_chr2:197396252-197396262(+) CTACAGTGGTA >hg38_chr2:197435167-197435177(-) CCACAATGCAC >hg38_chr2:197461107-197461117(-) GAACAATGCTG >hg38_chr2:197495166-197495176(+) AAACAATGATT >hg38_chr2:197495195-197495205(-) TAACAATACTA >hg38_chr2:197543395-197543405(-) taacaataacg >hg38_chr2:197543413-197543423(-) agacaatgtta >hg38_chr2:197665801-197665811(-) taacaatatga >hg38_chr2:197665834-197665844(-) aaacaaaggaa >hg38_chr2:197665858-197665868(-) ctacaatgata >hg38_chr2:197673303-197673313(+) ATACACTGGAG >hg38_chr2:197707380-197707390(-) GCACAAAGGAC >hg38_chr2:197707387-197707397(-) ACACAATGCAC >hg38_chr2:197777908-197777918(+) AAACAAAGGAA >hg38_chr2:197828959-197828969(-) TAACAATAGTG >hg38_chr2:197949634-197949644(-) gaacaatgaat >hg38_chr2:197957235-197957245(-) acacaaaggaa >hg38_chr2:197961792-197961802(+) TGATAATGGTG >hg38_chr2:197961813-197961823(+) GAACAAAGGAT >hg38_chr2:198042766-198042776(+) ATACAATGCCT >hg38_chr2:198053814-198053824(-) CAACAATGGCA >hg38_chr2:198065541-198065551(-) TGACAAAGGAA >hg38_chr2:198071977-198071987(-) TAACAAAggaa >hg38_chr2:198092916-198092926(+) aAACAATAAAT >hg38_chr2:198092933-198092943(-) CAACAATAGAT >hg38_chr2:198114961-198114971(-) ttacaatagtt >hg38_chr2:198118995-198119005(-) TAACAATAGTT >hg38_chr2:198140242-198140252(-) TGACAATAGGT >hg38_chr2:198140518-198140528(-) TTACAATAGCT >hg38_chr2:198140549-198140559(-) TTACAATAGCT >hg38_chr2:198140557-198140567(+) TAACAATGCAG >hg38_chr2:198144815-198144825(+) TAACAATGAAT >hg38_chr2:198162461-198162471(-) TCACAATGCAG >hg38_chr2:198162472-198162482(+) AAACAAAGGGC >hg38_chr2:198203514-198203524(+) acacaataaaa >hg38_chr2:198203750-198203760(+) gcacaatgttc >hg38_chr2:198203773-198203783(+) tcacaatggct >hg38_chr2:198204019-198204029(+) gaacaaaggaa >hg38_chr2:198204036-198204046(+) tcacaaaggaa >hg38_chr2:198232328-198232338(-) TAACAATGGCA >hg38_chr2:198232852-198232862(-) AGACAATGACT >hg38_chr2:198256600-198256610(-) atacaaaggct >hg38_chr2:198307368-198307378(+) acacaatgggg >hg38_chr2:198320488-198320498(-) GGACAATATAA >hg38_chr2:198326775-198326785(+) ATACAATAAAA >hg38_chr2:198333813-198333823(+) AAACAATGACA >hg38_chr2:198337504-198337514(+) AGACAAAGGGA >hg38_chr2:198337567-198337577(-) AGACAAAGGTA >hg38_chr2:198344941-198344951(-) GTACAATGACT >hg38_chr2:198361391-198361401(+) AAACAATAAAA >hg38_chr2:198403646-198403656(-) AGACAATAAAC >hg38_chr2:198403653-198403663(-) GAACAATAGAC >hg38_chr2:198440909-198440919(-) gaacaatggaa >hg38_chr2:198474299-198474309(-) ttacaatatac >hg38_chr2:198474356-198474366(-) tgacaatagca >hg38_chr2:198474367-198474377(-) tgacaatagta >hg38_chr2:198498194-198498204(-) ctataatggtg >hg38_chr2:198525711-198525721(-) AAACAATGAGG >hg38_chr2:198621334-198621344(-) TAACAATACTA >hg38_chr2:198677578-198677588(+) GAACAATAGAC >hg38_chr2:198706477-198706487(+) AAACAATGCAG >hg38_chr2:198710791-198710801(+) GAACAAAGGAC >hg38_chr2:198764135-198764145(+) GAACAATGGGA >hg38_chr2:198773808-198773818(+) GAACAATGTTC >hg38_chr2:198773864-198773874(+) AAACAAAGGAA >hg38_chr2:198778019-198778029(+) CAACAATGCCC >hg38_chr2:198810654-198810664(+) CGACAATAtta >hg38_chr2:198851005-198851015(-) ATATAATGGAA >hg38_chr2:198890496-198890506(-) AAACAATGCAA >hg38_chr2:198901407-198901417(+) AAACAAAGGAA >hg38_chr2:198933325-198933335(+) ccacaatggga >hg38_chr2:199099435-199099445(+) GAACAATGAGA >hg38_chr2:199100748-199100758(+) AAACAATAATA >hg38_chr2:199100756-199100766(+) ATACAATGGCC >hg38_chr2:199101811-199101821(+) TAATAATGGGT >hg38_chr2:199186445-199186455(+) TAACAATGAAC >hg38_chr2:199191281-199191291(-) TTATAATGGTC >hg38_chr2:199191303-199191313(-) GAACAATATGA >hg38_chr2:199234695-199234705(+) ctataatggat >hg38_chr2:199283910-199283920(-) caacaatgaca >hg38_chr2:199303955-199303965(+) ATACAATAAAG >hg38_chr2:199314577-199314587(-) TAACAATGACA >hg38_chr2:199315524-199315534(-) GTACCATGGAC >hg38_chr2:199322314-199322324(+) ACACAGTGGAA >hg38_chr2:199358882-199358892(-) GGACAATAGTG >hg38_chr2:199358904-199358914(+) AAACAATGCTT >hg38_chr2:199389466-199389476(+) CTACAATGGAT >hg38_chr2:199402902-199402912(+) GGACAATGAAG >hg38_chr2:199411870-199411880(+) gaacagtggga >hg38_chr2:199418035-199418045(-) TTACAATGCAG >hg38_chr2:199431864-199431874(+) ATACAATGACC >hg38_chr2:199432704-199432714(+) GAACAATGGGC >hg38_chr2:199455817-199455827(-) TGATAATGGCC >hg38_chr2:199539806-199539816(+) atacagtggtt >hg38_chr2:199570147-199570157(+) gaacaatgctt >hg38_chr2:199581965-199581975(-) GAACAATGGAT >hg38_chr2:199582491-199582501(+) ACACAACGGCT >hg38_chr2:199590577-199590587(-) GAACAATGCCT >hg38_chr2:199680089-199680099(+) gaacaatagat >hg38_chr2:199680115-199680125(+) taacaatgaag >hg38_chr2:199683068-199683078(-) GTACAGTGGGA >hg38_chr2:199690423-199690433(-) gtacaatagag >hg38_chr2:199692736-199692746(-) ACACAATGGGC >hg38_chr2:199732407-199732417(-) GTACAATGCCT >hg38_chr2:199751608-199751618(-) TCACAATGTTC >hg38_chr2:199791521-199791531(+) TCACTATGGTA >hg38_chr2:199798855-199798865(+) CCACAATGTAA >hg38_chr2:199798878-199798888(-) GTACAATGTGG >hg38_chr2:199842780-199842790(-) GGACAAAGGGC >hg38_chr2:199869785-199869795(-) TTATAATGGTC >hg38_chr2:199906222-199906232(+) taacaataagt >hg38_chr2:199911953-199911963(-) GGACAAAGGGC >hg38_chr2:199915086-199915096(+) GAACAATGCAT >hg38_chr2:199923856-199923866(-) acacaataaac >hg38_chr2:199928113-199928123(-) GGACAAAGGAC >hg38_chr2:199928456-199928466(-) aaacaatgatg >hg38_chr2:199931881-199931891(+) ACACAATGGCT >hg38_chr2:199953640-199953650(+) gtacaatatac >hg38_chr2:199968372-199968382(-) AGACAATGACA >hg38_chr2:199973897-199973907(+) acacgatggag >hg38_chr2:199980161-199980171(-) AAACAATAGGT >hg38_chr2:200039754-200039764(-) TAACAATAAAG >hg38_chr2:200055506-200055516(+) gaacaaagggc >hg38_chr2:200132006-200132016(+) gaataatggtt >hg38_chr2:200139555-200139565(-) CTACAATAGCT >hg38_chr2:200162958-200162968(+) atactatggaa >hg38_chr2:200163738-200163748(+) gtacaaaggct >hg38_chr2:200185958-200185968(+) AGACAATACGG >hg38_chr2:200185993-200186003(+) GAACAAAGGGG >hg38_chr2:200187203-200187213(-) CCACAATGAGG >hg38_chr2:200192729-200192739(-) CAACAATGAAA >hg38_chr2:200203582-200203592(-) agacaatgaag >hg38_chr2:200211844-200211854(+) TTATAATGGAC >hg38_chr2:200226279-200226289(+) GGATAATGGAA >hg38_chr2:200229435-200229445(-) gaacagtggga >hg38_chr2:200229464-200229474(-) aaataatggca >hg38_chr2:200259832-200259842(-) GTACAATGCAT >hg38_chr2:200274932-200274942(+) GGACAAAGGAC >hg38_chr2:200274977-200274987(-) AAACAATGAAT >hg38_chr2:200318448-200318458(+) AAATAATGGCA >hg38_chr2:200318507-200318517(-) GAACAATGAAT >hg38_chr2:200319731-200319741(-) aaacaatgtgc >hg38_chr2:200319807-200319817(-) gaacaaaggag >hg38_chr2:200340743-200340753(-) GCACAATGAAA >hg38_chr2:200357276-200357286(-) TGACAATGAAA >hg38_chr2:200396922-200396932(+) TGACAATAGTT >hg38_chr2:200398027-200398037(+) ttacaatgcag >hg38_chr2:200398564-200398574(+) TAACAAAGGGA >hg38_chr2:200398595-200398605(-) ACACAAAGGAC >hg38_chr2:200398604-200398614(+) GTACAATGTGT >hg38_chr2:200398615-200398625(-) ATACAATGTAT >hg38_chr2:200406100-200406110(-) gaacaatgggt >hg38_chr2:200406634-200406644(-) TTACAGTGGTC >hg38_chr2:200406644-200406654(-) TGACAATGAAT >hg38_chr2:200409958-200409968(-) ACACAATGTTG >hg38_chr2:200409998-200410008(-) AAATAATGGCT >hg38_chr2:200410278-200410288(+) TAACAATGAGG >hg38_chr2:200410957-200410967(+) GAACAATGAAA >hg38_chr2:200415598-200415608(+) AGACTATGGAG >hg38_chr2:200416973-200416983(+) GAACAAAGGGA >hg38_chr2:200431549-200431559(+) AGACAATGAAA >hg38_chr2:200434349-200434359(+) gaacaatgcag >hg38_chr2:200519132-200519142(+) agacaaaggag >hg38_chr2:200519164-200519174(+) tcacaatggat >hg38_chr2:200528000-200528010(+) atacaaaggga >hg38_chr2:200543787-200543797(-) AAACAATACGT >hg38_chr2:200576901-200576911(-) acacaatggaa >hg38_chr2:200626313-200626323(+) TCACAATAGCC >hg38_chr2:200646796-200646806(-) atataatggta >hg38_chr2:200698461-200698471(-) ACACAATGGTG >hg38_chr2:200703561-200703571(+) CAACAATGGTC >hg38_chr2:200712472-200712482(+) gaacaatgtaa >hg38_chr2:200735838-200735848(+) AAACAATGCGC >hg38_chr2:200808672-200808682(+) CAACAATGTGC >hg38_chr2:200811368-200811378(+) GAACAATGAGA >hg38_chr2:200834043-200834053(-) ttataatggaa >hg38_chr2:200834073-200834083(+) acacaaaggga >hg38_chr2:200861662-200861672(-) TAACAATACAT >hg38_chr2:200877576-200877586(+) ATACAATGGAC >hg38_chr2:200893563-200893573(+) GAACTATGGAA >hg38_chr2:200893582-200893592(+) AAACAATAAAA >hg38_chr2:200900872-200900882(+) ttactatggtt >hg38_chr2:200901637-200901647(-) ATACAATGAGA >hg38_chr2:200910675-200910685(-) GGATAATGGGA >hg38_chr2:200930646-200930656(+) TGACAATGTAA >hg38_chr2:200935000-200935010(+) TGACAATGGTA >hg38_chr2:200959682-200959692(-) gcacaatgtaa >hg38_chr2:200972301-200972311(-) Aaacaataact >hg38_chr2:201069463-201069473(+) CTACAATGACA >hg38_chr2:201073156-201073166(-) ggataatggtt >hg38_chr2:201075837-201075847(-) AAACAAAGGGT >hg38_chr2:201117380-201117390(+) TAACAGTGGCA >hg38_chr2:201117398-201117408(+) GAACAAAGGCC >hg38_chr2:201124178-201124188(-) taacaaaggca >hg38_chr2:201127953-201127963(-) TAACAATGCCA >hg38_chr2:201150615-201150625(-) agataatggag >hg38_chr2:201210378-201210388(-) tcacaatatat >hg38_chr2:201221127-201221137(-) GCACAAAGGAA >hg38_chr2:201299158-201299168(-) GTATAATGGAA >hg38_chr2:201379001-201379011(+) GGACAAAGGAA >hg38_chr2:201394798-201394808(-) TGACAATGGAG >hg38_chr2:201395214-201395224(+) ggacaatgttt >hg38_chr2:201395230-201395240(-) atacaataaac >hg38_chr2:201395650-201395660(+) AGACAATGAAG >hg38_chr2:201403969-201403979(+) GAACAATGGAT >hg38_chr2:201404001-201404011(+) GAACAATGGAT >hg38_chr2:201416014-201416024(+) tgacaatggaa >hg38_chr2:201418628-201418638(+) tgacaatgaaa >hg38_chr2:201418692-201418702(+) aaacaatgaaa >hg38_chr2:201418966-201418976(+) taacaaaggac >hg38_chr2:201419355-201419365(+) ctacaatagca >hg38_chr2:201444442-201444452(-) ttacaaaggcc >hg38_chr2:201444914-201444924(-) TAACAATGGAG >hg38_chr2:201444924-201444934(+) ACACAATAGAG >hg38_chr2:201472603-201472613(-) acacaatggaa >hg38_chr2:201515929-201515939(+) TAACAATAGAT >hg38_chr2:201531790-201531800(+) gaacaaaggga >hg38_chr2:201531799-201531809(+) gaacaatgcta >hg38_chr2:201536162-201536172(-) AAACAATAAAA >hg38_chr2:201536189-201536199(+) GCACAATGAGT >hg38_chr2:201548694-201548704(+) acataatggcc >hg38_chr2:201573155-201573165(-) aaacaatgacc >hg38_chr2:201587518-201587528(-) aaacaatgtaa >hg38_chr2:201638086-201638096(-) caacaatgttg >hg38_chr2:201640069-201640079(+) TTATAATGGAA >hg38_chr2:201699660-201699670(-) ttacaatggtc >hg38_chr2:201759491-201759501(-) TAACAATGTGT >hg38_chr2:201796471-201796481(+) GTACAAAGATA >hg38_chr2:201806083-201806093(-) AAACAATAGTG >hg38_chr2:201817783-201817793(-) GCACAATGAAT >hg38_chr2:201817827-201817837(+) GGATAATGGTA >hg38_chr2:201840723-201840733(-) caacaatggaa >hg38_chr2:201853479-201853489(-) CAACAATGACT >hg38_chr2:201858994-201859004(+) GAACAATAAAC >hg38_chr2:201866182-201866192(-) ATACAATGCAT >hg38_chr2:201872879-201872889(+) GGACAATGACC >hg38_chr2:201872892-201872902(+) TTACAATAACC >hg38_chr2:201938497-201938507(+) GGATAATGGCC >hg38_chr2:201949323-201949333(-) AAACAATCGGA >hg38_chr2:201949358-201949368(-) AGACAATGAGC >hg38_chr2:201963005-201963015(-) CCACAATGGTC >hg38_chr2:201963028-201963038(-) TTATAATGGAG >hg38_chr2:201963043-201963053(+) GGACAATGAGG >hg38_chr2:201963530-201963540(+) CCACAATGTCT >hg38_chr2:201978010-201978020(-) AAACAATGCCC >hg38_chr2:201983531-201983541(+) ACACAGTGGAA >hg38_chr2:202014987-202014997(-) CCACAATGTCT >hg38_chr2:202025039-202025049(-) tcacaacggac >hg38_chr2:202030978-202030988(-) TAACAAAGGCC >hg38_chr2:202031829-202031839(-) AGACAATGCTG >hg38_chr2:202040018-202040028(+) GAACAAAGACG >hg38_chr2:202060404-202060414(+) gaacaatggcc >hg38_chr2:202128169-202128179(+) GCACAATGAAC >hg38_chr2:202147036-202147046(-) TTATAATGGCT >hg38_chr2:202148078-202148088(+) agacaatagca >hg38_chr2:202149595-202149605(+) AGACAATCGCT >hg38_chr2:202178480-202178490(+) TCACAATGGAA >hg38_chr2:202198343-202198353(+) atacaaaggtc >hg38_chr2:202198415-202198425(+) ggacaaagggc >hg38_chr2:202226769-202226779(+) ACACAATAGGC >hg38_chr2:202226793-202226803(+) GTACAATATTC >hg38_chr2:202230052-202230062(+) acacaatggaa >hg38_chr2:202260273-202260283(+) GGACAATGGTG >hg38_chr2:202273222-202273232(+) acacaaaggtc >hg38_chr2:202295825-202295835(+) ACACAAAGGAA >hg38_chr2:202303731-202303741(-) AAACAATAATC >hg38_chr2:202304285-202304295(+) AGATAATGGTC >hg38_chr2:202338407-202338417(-) TTACAATGACT >hg38_chr2:202356193-202356203(+) ACACAATGCTA >hg38_chr2:202360965-202360975(-) agacaatggct >hg38_chr2:202409657-202409667(+) aaacaataaca >hg38_chr2:202431830-202431840(-) taacaatggga >hg38_chr2:202431843-202431853(+) ccacaatgact >hg38_chr2:202442517-202442527(-) taacaatgtgc >hg38_chr2:202491896-202491906(+) ACACAATGTTC >hg38_chr2:202493365-202493375(+) GTACAATAGAG >hg38_chr2:202634977-202634987(-) CAACAATAGTG >hg38_chr2:202681007-202681017(+) atacaatgtct >hg38_chr2:202681030-202681040(+) tgacaatagta >hg38_chr2:202745517-202745527(+) aaacaataaaa >hg38_chr2:202745539-202745549(+) gaacaaaggat >hg38_chr2:202797339-202797349(+) ACACAGTGGAT >hg38_chr2:202800475-202800485(+) CAACAATGGGA >hg38_chr2:202868265-202868275(+) ccacaatgata >hg38_chr2:202895954-202895964(-) TAACAATCGGT >hg38_chr2:202895978-202895988(+) ACACAATGAAT >hg38_chr2:202911765-202911775(-) TAACAATGATT >hg38_chr2:203005316-203005326(+) caacaatgtaa >hg38_chr2:203022933-203022943(-) GGACAAAGGGC >hg38_chr2:203036917-203036927(+) GAACAATGGTA >hg38_chr2:203054695-203054705(-) aaacaataacc >hg38_chr2:203061966-203061976(-) AAACAATGTGG >hg38_chr2:203083737-203083747(-) AAACAATATAG >hg38_chr2:203091823-203091833(-) agacaataaca >hg38_chr2:203112282-203112292(+) AGACAATGTGA >hg38_chr2:203112316-203112326(+) AAACAAAGGCT >hg38_chr2:203134099-203134109(-) ggacaatgtgg >hg38_chr2:203149816-203149826(+) atacaatatgt >hg38_chr2:203258690-203258700(-) TAACAATGAGA >hg38_chr2:203265414-203265424(+) caacaatgcca >hg38_chr2:203328073-203328083(+) TAACAAAGGCC >hg38_chr2:203383133-203383143(-) AAATAATGGGT >hg38_chr2:203402424-203402434(+) GAACAATAACT >hg38_chr2:203402439-203402449(-) ATACAATGCAA >hg38_chr2:203402630-203402640(+) CAACAATAGGG >hg38_chr2:203415018-203415028(-) AAACAATAACC >hg38_chr2:203426238-203426248(+) GAACAATGGAT >hg38_chr2:203450344-203450354(+) AAACAATAAAC >hg38_chr2:203454440-203454450(+) AGACAATAGTC >hg38_chr2:203454980-203454990(-) tcacaatagtc >hg38_chr2:203462536-203462546(+) ATACAATGTAA >hg38_chr2:203484955-203484965(-) ttacaatgagc >hg38_chr2:203519880-203519890(+) CCACAATAGGT >hg38_chr2:203546628-203546638(+) TAACAATAAGT >hg38_chr2:203603882-203603892(+) GAACAATGGTT >hg38_chr2:203603928-203603938(+) TAACACTGGGT >hg38_chr2:203628953-203628963(+) GAACTATGGCT >hg38_chr2:203649925-203649935(+) ACACAATGCTC >hg38_chr2:203649958-203649968(+) ACACAATGACG >hg38_chr2:203656696-203656706(-) acacaaaggtt >hg38_chr2:203734431-203734441(+) tcacaatgtgg >hg38_chr2:203811933-203811943(-) AGACAATGGAC >hg38_chr2:203815881-203815891(-) GAACAATAGAA >hg38_chr2:203836131-203836141(-) agacaatgtca >hg38_chr2:203869809-203869819(+) GAACAAAGGAG >hg38_chr2:203887816-203887826(-) GGACAATGTGT >hg38_chr2:203887871-203887881(+) AAACAATAGGT >hg38_chr2:203894364-203894374(-) GAACAATGACA >hg38_chr2:203905616-203905626(+) caacaatgaga >hg38_chr2:203951599-203951609(+) GCACAATGGGG >hg38_chr2:203983520-203983530(+) caacaatggtt >hg38_chr2:204032629-204032639(+) TGACAATGGCC >hg38_chr2:204055952-204055962(+) GAACAATAGCA >hg38_chr2:204081687-204081697(+) TGACAATGGAG >hg38_chr2:204115795-204115805(+) atacaatgggg >hg38_chr2:204139067-204139077(-) TTACAATGGCA >hg38_chr2:204143324-204143334(-) TTACAATGAAT >hg38_chr2:204175137-204175147(-) CAACAATGCCC >hg38_chr2:204180693-204180703(+) AGACAATGCCC >hg38_chr2:204180720-204180730(+) CGACAATGGAG >hg38_chr2:204228796-204228806(+) AAACAATAGCA >hg38_chr2:204239274-204239284(+) CAATAATGGGA >hg38_chr2:204247100-204247110(+) ATACAAAGGTA >hg38_chr2:204313443-204313453(-) GGACAATGGCT >hg38_chr2:204350747-204350757(+) agacaatagTG >hg38_chr2:204370435-204370445(+) GGACAAAGGGC >hg38_chr2:204438519-204438529(+) gaacaaaggag >hg38_chr2:204438531-204438541(+) acacaatgggt >hg38_chr2:204491733-204491743(+) AAACAATAAAA >hg38_chr2:204492737-204492747(-) TGACAATGCAT >hg38_chr2:204513111-204513121(+) TTACAATGCCT >hg38_chr2:204547500-204547510(-) TAACAATGGCA >hg38_chr2:204550576-204550586(+) TTACAAAGGCC >hg38_chr2:204591533-204591543(-) ACACAATGCAT >hg38_chr2:204641743-204641753(-) AAACACTGGAA >hg38_chr2:204649256-204649266(-) acacaatggac >hg38_chr2:204672088-204672098(+) TAATAATGGTA >hg38_chr2:204672096-204672106(+) GTATAATGATA >hg38_chr2:204672107-204672117(-) CTACAATGATG >hg38_chr2:204672124-204672134(-) AAACAATGTAG >hg38_chr2:204674057-204674067(-) AGACAATGAGA >hg38_chr2:204681121-204681131(-) taacaatgtta >hg38_chr2:204742928-204742938(-) AAACAATGTAA >hg38_chr2:204791753-204791763(-) AAATAATGGCT >hg38_chr2:204853502-204853512(-) GTATAATGAAA >hg38_chr2:204864246-204864256(+) ttacaatggga >hg38_chr2:204871458-204871468(+) GAACAATGTAT >hg38_chr2:204889455-204889465(-) gaataatgggt >hg38_chr2:204889498-204889508(+) gaacaataaac >hg38_chr2:204896787-204896797(-) taacaatggtt >hg38_chr2:204896834-204896844(-) AAACAATGACA >hg38_chr2:204906383-204906393(-) AAACAAAGGGG >hg38_chr2:204906607-204906617(-) GAACAATGGTT >hg38_chr2:204907297-204907307(+) GGACAATGACT >hg38_chr2:204920030-204920040(+) AGACAATAGAA >hg38_chr2:204920059-204920069(+) GCATAATGGAT >hg38_chr2:204922582-204922592(-) gaacaatgagc >hg38_chr2:204932020-204932030(-) agacaaaggga >hg38_chr2:204987582-204987592(+) gcacaatgcct >hg38_chr2:204988892-204988902(+) TAACAATGAAA >hg38_chr2:205001491-205001501(+) GAACAATAGCT >hg38_chr2:205023291-205023301(+) GCACAATGTCG >hg38_chr2:205047337-205047347(+) ACACAATGGCT >hg38_chr2:205047511-205047521(-) ACACAATGCAC >hg38_chr2:205067974-205067984(-) ggacaatgcaa >hg38_chr2:205085997-205086007(+) AAACAATAAAA >hg38_chr2:205112544-205112554(+) GAACAATGGAG >hg38_chr2:205183414-205183424(-) GGACAATGGAC >hg38_chr2:205188342-205188352(-) AGACAATGCCC >hg38_chr2:205198351-205198361(+) tgacaatgaag >hg38_chr2:205199014-205199024(-) TTACAATATTA >hg38_chr2:205261441-205261451(-) ACACAATGGTT >hg38_chr2:205267436-205267446(+) agacaataggg >hg38_chr2:205270884-205270894(-) GGACAATGAGC >hg38_chr2:205273286-205273296(-) caacaatagtg >hg38_chr2:205273322-205273332(-) tgacaatgcaa >hg38_chr2:205274943-205274953(-) GGACAATAAAA >hg38_chr2:205283628-205283638(+) AAACTATGGTC >hg38_chr2:205284140-205284150(+) GAACAAAGGGG >hg38_chr2:205333207-205333217(-) agacaatgtac >hg38_chr2:205346843-205346853(-) ggataatgggt >hg38_chr2:205358610-205358620(-) ggacaataaaa >hg38_chr2:205362297-205362307(-) GTACAATGGAA >hg38_chr2:205436097-205436107(+) gaacaatggaa >hg38_chr2:205477675-205477685(-) GGACAATAAAA >hg38_chr2:205482545-205482555(+) TCACAAAGGAC >hg38_chr2:205482620-205482630(-) ATACAATGCCC >hg38_chr2:205521851-205521861(+) taacaatgtct >hg38_chr2:205529070-205529080(-) TTACAAAGGTA >hg38_chr2:205529105-205529115(-) TTACTATGGAA >hg38_chr2:205550319-205550329(-) GTACAATGAGA >hg38_chr2:205574439-205574449(-) TAACAATGCTT >hg38_chr2:205665744-205665754(+) GGACAAAGGAT >hg38_chr2:205671338-205671348(+) TGACAATGTTG >hg38_chr2:205681857-205681867(+) TAACAAAGGAT >hg38_chr2:205716786-205716796(-) aaacaatgaaa >hg38_chr2:205721981-205721991(+) GTACAATAGGG >hg38_chr2:205722023-205722033(-) AAACAATGTTA >hg38_chr2:205728480-205728490(+) TCACAATGTCC >hg38_chr2:205728504-205728514(+) GGACAATAAAT >hg38_chr2:205755206-205755216(-) GTATAATGAAT >hg38_chr2:205769702-205769712(+) AAACAATGTAG >hg38_chr2:205775698-205775708(-) CAACAATGTAT >hg38_chr2:205785687-205785697(-) CAACAATGGCA >hg38_chr2:205814439-205814449(+) CAACAATAGAT >hg38_chr2:205826750-205826760(+) GGACAATGgca >hg38_chr2:205837843-205837853(+) taacaatggag >hg38_chr2:205840580-205840590(-) gtacaataaca >hg38_chr2:205841509-205841519(+) agacaataaaa >hg38_chr2:205845332-205845342(-) tcacaatgtgg >hg38_chr2:205845569-205845579(+) acacgatggta >hg38_chr2:205892485-205892495(-) GGACAATGCTC >hg38_chr2:205892501-205892511(+) TTACCATGGTA >hg38_chr2:205892502-205892512(-) TTACCATGGTA >hg38_chr2:205954952-205954962(-) TAACAAAGGAA >hg38_chr2:205995725-205995735(+) GCACAATATAT >hg38_chr2:205998946-205998956(+) AGACAATGTCA >hg38_chr2:206041397-206041407(+) gaacgatggag >hg38_chr2:206044691-206044701(-) GAACAATGTGT >hg38_chr2:206044921-206044931(-) GCACAATAGAG >hg38_chr2:206087295-206087305(+) AAACAATGCTG >hg38_chr2:206087324-206087334(+) GGACAAAGGGT >hg38_chr2:206153886-206153896(-) atacaatagct >hg38_chr2:206154312-206154322(+) AAAcaatggct >hg38_chr2:206213673-206213683(-) AGACAAAGGAG >hg38_chr2:206219305-206219315(+) tgataatggct >hg38_chr2:206219342-206219352(-) tcacaatggga >hg38_chr2:206263415-206263425(-) ttacaatggcc >hg38_chr2:206276987-206276997(-) AAACAATGGCC >hg38_chr2:206292166-206292176(+) caacaatggca >hg38_chr2:206292220-206292230(-) aaacaatgcaa >hg38_chr2:206293709-206293719(+) taacaataaac >hg38_chr2:206293724-206293734(+) ttacaatagct >hg38_chr2:206304186-206304196(+) gaacaatgtat >hg38_chr2:206304202-206304212(-) ggacaaaggca >hg38_chr2:206364036-206364046(-) cAACAATGGAG >hg38_chr2:206387887-206387897(-) atacaatagtc >hg38_chr2:206435330-206435340(+) taacaatagta >hg38_chr2:206437967-206437977(-) atacaatatga >hg38_chr2:206437972-206437982(-) atacaatacaa >hg38_chr2:206438301-206438311(-) TAACAATGCCT >hg38_chr2:206457037-206457047(+) TAACAATGTGA >hg38_chr2:206457454-206457464(-) CTACAAAGGAC >hg38_chr2:206477682-206477692(-) TTACAAAGGAA >hg38_chr2:206478599-206478609(-) AGACAATGAGC >hg38_chr2:206618399-206618409(+) GAACAATGATG >hg38_chr2:206618408-206618418(-) ATACAATAGCA >hg38_chr2:206628697-206628707(-) CTACAATGAGA >hg38_chr2:206630105-206630115(-) GGACAAAGGTC >hg38_chr2:206669958-206669968(+) AAACAAAGGTC >hg38_chr2:206729498-206729508(+) ggacaatgggc >hg38_chr2:206759786-206759796(+) AAACAATAGAG >hg38_chr2:206759824-206759834(-) TTACAATAAAT >hg38_chr2:206766351-206766361(+) TAACAATGCCA >hg38_chr2:206779951-206779961(-) aaacaataaga >hg38_chr2:206800681-206800691(+) TGACAATGGCC >hg38_chr2:206806122-206806132(+) TTACAATGTTG >hg38_chr2:206814033-206814043(+) GCACAATAGTT >hg38_chr2:206820893-206820903(-) ATACAATGATA >hg38_chr2:206821063-206821073(+) CCACAATGATT >hg38_chr2:206876365-206876375(+) ccacaatgtga >hg38_chr2:206876410-206876420(+) gcacaatgagc >hg38_chr2:206881189-206881199(-) atacaaagata >hg38_chr2:206970258-206970268(+) ACACAATGAGA >hg38_chr2:206984145-206984155(-) CAACAATGACA >hg38_chr2:206997221-206997231(-) ttacaataggt >hg38_chr2:207008013-207008023(+) atacaataaat >hg38_chr2:207008303-207008313(+) CAACAATAGAA >hg38_chr2:207015975-207015985(-) gtacaaaggat >hg38_chr2:207078317-207078327(+) TTACAAAGGAC >hg38_chr2:207110014-207110024(+) ggacaatgcca >hg38_chr2:207110045-207110055(-) atacaatggac >hg38_chr2:207123236-207123246(+) GAACAATGCAA >hg38_chr2:207132215-207132225(+) GTACAATAGCA >hg38_chr2:207133542-207133552(+) CAACAATGGCC >hg38_chr2:207138142-207138152(-) GAACAAAGGGG >hg38_chr2:207152365-207152375(+) TGACAATAGCA >hg38_chr2:207154573-207154583(-) AGACAATAAAT >hg38_chr2:207154642-207154652(-) GCACAATGCTC >hg38_chr2:207155521-207155531(+) GAACAAAGGCT >hg38_chr2:207155820-207155830(+) GAACAAAGGCT >hg38_chr2:207160276-207160286(+) GAACAAAGGGA >hg38_chr2:207163458-207163468(+) AAACAATAGAT >hg38_chr2:207164101-207164111(+) CAACAATGGTA >hg38_chr2:207166850-207166860(+) ACACAATGGGA >hg38_chr2:207166863-207166873(+) GAACAAAGGGG >hg38_chr2:207200310-207200320(+) ACACAATAGGC >hg38_chr2:207200370-207200380(+) AGACAATGTCT >hg38_chr2:207200773-207200783(+) GTACAATGGAT >hg38_chr2:207204938-207204948(+) GTATAATGGCA >hg38_chr2:207223045-207223055(-) GCACAATAGCC >hg38_chr2:207225840-207225850(-) AAACAATGTGG >hg38_chr2:207247405-207247415(+) ggacaatgtaa >hg38_chr2:207247414-207247424(-) taacactggtt >hg38_chr2:207253714-207253724(-) AAACAATGGAG >hg38_chr2:207256231-207256241(-) GGACAAAGGAT >hg38_chr2:207258386-207258396(+) GCACAATGGCA >hg38_chr2:207259480-207259490(-) ACACAATATCG >hg38_chr2:207259493-207259503(-) TTACTATGGAG >hg38_chr2:207260190-207260200(+) TGACAATGCCT >hg38_chr2:207260202-207260212(-) GAACAAAGGGC >hg38_chr2:207299272-207299282(+) ccacaatggca >hg38_chr2:207299849-207299859(+) taacagtggaa >hg38_chr2:207334779-207334789(+) CCACAATAGGA >hg38_chr2:207334840-207334850(-) AGACAAAGGAA >hg38_chr2:207353138-207353148(-) AAACAATGCAA >hg38_chr2:207354665-207354675(-) GGACAATGCCC >hg38_chr2:207354711-207354721(-) aaACAATGACC >hg38_chr2:207372603-207372613(+) caacaatgaat >hg38_chr2:207399484-207399494(-) AAACAAAGGGT >hg38_chr2:207399508-207399518(-) GAACAAAGGGC >hg38_chr2:207408676-207408686(+) AGACAAAGGGA >hg38_chr2:207410503-207410513(+) TTACAATAGTC >hg38_chr2:207450354-207450364(-) TAACAATAAGC >hg38_chr2:207475051-207475061(-) ACAGAATGGCG >hg38_chr2:207475070-207475080(+) AGACAATGCTG >hg38_chr2:207481441-207481451(+) GTACAATAATA >hg38_chr2:207481456-207481466(+) GAACAATAGAA >hg38_chr2:207530307-207530317(+) GAATAATGGCG >hg38_chr2:207562074-207562084(+) TCACAATGACT >hg38_chr2:207582475-207582485(-) taacaatagta >hg38_chr2:207582499-207582509(-) gaacaatatga >hg38_chr2:207605611-207605621(+) atataatgtat >hg38_chr2:207633016-207633026(-) ctacaatagtg >hg38_chr2:207661291-207661301(-) AAACAATGCCC >hg38_chr2:207706107-207706117(+) TAACAATAGGG >hg38_chr2:207711810-207711820(-) CAACAATGGCG >hg38_chr2:207729453-207729463(-) AAACAATAGGA >hg38_chr2:207811184-207811194(+) ttacaatgcct >hg38_chr2:207813383-207813393(-) ACACAATGGCG >hg38_chr2:207813398-207813408(+) TAACAATGCAC >hg38_chr2:207847151-207847161(+) ACACAATGGTG >hg38_chr2:207847917-207847927(-) CAACAATAGAG >hg38_chr2:207855281-207855291(+) gaacaaaggag >hg38_chr2:207893246-207893256(-) GCACAATGGTA >hg38_chr2:207902029-207902039(+) GGACAATGGTG >hg38_chr2:207921795-207921805(-) atacaatgtta >hg38_chr2:207933558-207933568(+) TAACAATGCTA >hg38_chr2:207970371-207970381(-) TGACAATGAAC >hg38_chr2:207970432-207970442(+) AAACAATGGAC >hg38_chr2:207996595-207996605(+) AAACAATCGCT >hg38_chr2:208001993-208002003(-) ATACAATGAAC >hg38_chr2:208021704-208021714(+) aaacaatgcct >hg38_chr2:208034040-208034050(-) ttacaaaggcg >hg38_chr2:208039924-208039934(-) AGACAATGACC >hg38_chr2:208044377-208044387(-) tcacaaaggac >hg38_chr2:208044425-208044435(+) aaacaatgcag >hg38_chr2:208075037-208075047(+) ctataatggtt >hg38_chr2:208119022-208119032(-) ACACAATAGCA >hg38_chr2:208193386-208193396(+) GGACAATGGAG >hg38_chr2:208276471-208276481(+) AGACAATGCTT >hg38_chr2:208312009-208312019(-) ACACAATAGAG >hg38_chr2:208356946-208356956(-) CCACAATGTTC >hg38_chr2:208357223-208357233(+) GAACAATGGAT >hg38_chr2:208424050-208424060(+) ccacaatggga >hg38_chr2:208495564-208495574(-) GCACAATGGCA >hg38_chr2:208501502-208501512(-) gtacaatgtac >hg38_chr2:208545617-208545627(-) aaacaatcgca >hg38_chr2:208581924-208581934(-) aaactatggcc >hg38_chr2:208594261-208594271(+) caacaatgaac >hg38_chr2:208594828-208594838(-) ggacaaaggaa >hg38_chr2:208657674-208657684(+) GCACAATGCCA >hg38_chr2:208740051-208740061(-) TAACAATGGTC >hg38_chr2:208798903-208798913(-) GCACAATGGTG >hg38_chr2:208810061-208810071(-) TAACACTGGTT >hg38_chr2:208953099-208953109(+) acacaataaat >hg38_chr2:209112967-209112977(+) GCACAATAGTC >hg38_chr2:209201183-209201193(+) gaacaatgctt >hg38_chr2:209293659-209293669(+) gaacaatagac >hg38_chr2:209314885-209314895(+) aaacaataaaa >hg38_chr2:209357626-209357636(+) TAACAAAGGCC >hg38_chr2:209360434-209360444(+) GAACAATAGAA >hg38_chr2:209368436-209368446(-) GAACAATGCTG >hg38_chr2:209369169-209369179(+) GGACAATGGCA >hg38_chr2:209389345-209389355(+) CCACAATAGCA >hg38_chr2:209394613-209394623(+) CAACAATGGTA >hg38_chr2:209400028-209400038(-) TAACAATGAAT >hg38_chr2:209425276-209425286(+) GCACAATGCTT >hg38_chr2:209427060-209427070(+) GGACAATAGGT >hg38_chr2:209443403-209443413(+) CAACAATGCAG >hg38_chr2:209451332-209451342(+) agacaatgggg >hg38_chr2:209498914-209498924(-) agataatggga >hg38_chr2:209500415-209500425(-) agacaatggac >hg38_chr2:209514815-209514825(-) ACACAATGGAT >hg38_chr2:209514846-209514856(+) ATACAATACAC >hg38_chr2:209578019-209578029(+) AGACAAAGGAT >hg38_chr2:209580213-209580223(-) AGACAATGATC >hg38_chr2:209592225-209592235(+) ctacaatgtac >hg38_chr2:209592238-209592248(-) ctacaatgaca >hg38_chr2:209601504-209601514(-) AAACAATGAAC >hg38_chr2:209605519-209605529(+) agacaaaggaa >hg38_chr2:209614256-209614266(+) GCACAAAGGAT >hg38_chr2:209661379-209661389(+) TAACAATGCGA >hg38_chr2:209662762-209662772(-) AAACAAAGGCT >hg38_chr2:209662801-209662811(-) TAACAAAGGAC >hg38_chr2:209680730-209680740(-) AAACAATAGAA >hg38_chr2:209681413-209681423(-) GGACAATAACA >hg38_chr2:209697287-209697297(-) GCACAATGCCA >hg38_chr2:209704142-209704152(-) caacaatagac >hg38_chr2:209704173-209704183(-) aaacaatgggt >hg38_chr2:209708037-209708047(+) GTACAATGGCA >hg38_chr2:209712898-209712908(-) GAACAATGTGC >hg38_chr2:209717779-209717789(+) agacaatgagg >hg38_chr2:209757494-209757504(+) taacactggag >hg38_chr2:209806658-209806668(+) AAACAATGCCA >hg38_chr2:209813288-209813298(-) gaacaatgcct >hg38_chr2:209877250-209877260(+) AGACAATAGTG >hg38_chr2:209901492-209901502(+) tgacaatgtgg >hg38_chr2:209917077-209917087(-) TAACAATGTGC >hg38_chr2:209939196-209939206(+) tgacaatgctt >hg38_chr2:209962528-209962538(+) gcacaatgtca >hg38_chr2:209972023-209972033(+) AGACAATGGTA >hg38_chr2:209977924-209977934(-) GAACAATAAAA >hg38_chr2:209977940-209977950(+) AGACAATGTGG >hg38_chr2:210047070-210047080(-) agataatggag >hg38_chr2:210047104-210047114(-) agacaatagaa >hg38_chr2:210052885-210052895(-) GTACAATAGCA >hg38_chr2:210052938-210052948(+) AGACAATAAAT >hg38_chr2:210113533-210113543(+) atacaatgaaa >hg38_chr2:210142904-210142914(+) aaacaatgtgt >hg38_chr2:210142916-210142926(+) ttacaatgttg >hg38_chr2:210143478-210143488(-) acacaaaggca >hg38_chr2:210143525-210143535(-) gaacaaaggat >hg38_chr2:210151743-210151753(+) gcacaatgcca >hg38_chr2:210169063-210169073(+) ACATAATGGGA >hg38_chr2:210178330-210178340(-) gaacaatatat >hg38_chr2:210195575-210195585(+) AAACAATGTCT >hg38_chr2:210210134-210210144(-) taacaatgtct >hg38_chr2:210215967-210215977(-) ATACAATAGGA >hg38_chr2:210216192-210216202(-) TAACAATAAAG >hg38_chr2:210216574-210216584(+) CAACAATGTAT >hg38_chr2:210216789-210216799(+) ACACAGTGGAA >hg38_chr2:210226605-210226615(-) TGACaataata >hg38_chr2:210248341-210248351(+) GAACAATGGCA >hg38_chr2:210248933-210248943(-) AGACAAAGGGT >hg38_chr2:210273027-210273037(-) CAACAATGAGA >hg38_chr2:210273068-210273078(+) AAACAATAACA >hg38_chr2:210273074-210273084(+) TAACAATAAAA >hg38_chr2:210301103-210301113(+) CTACAATAGTT >hg38_chr2:210374615-210374625(-) tcacaatgtgt >hg38_chr2:210379709-210379719(+) taacaatagct >hg38_chr2:210386943-210386953(+) GCACAATGATT >hg38_chr2:210422199-210422209(-) GAACAAAGGAC >hg38_chr2:210430361-210430371(-) ttacaataatc >hg38_chr2:210430400-210430410(+) agacaatgcca >hg38_chr2:210467714-210467724(-) taacaatgtgc >hg38_chr2:210504119-210504129(-) GCACAGTGGTA >hg38_chr2:210535610-210535620(+) tgacAATGTGA >hg38_chr2:210547095-210547105(-) ACACAATGGTG >hg38_chr2:210557845-210557855(-) AAACAATAGAA >hg38_chr2:210584994-210585004(-) gaacaatgagc >hg38_chr2:210585002-210585012(-) tcacaaaggaa >hg38_chr2:210620782-210620792(-) ggataatggga >hg38_chr2:210623186-210623196(+) GTACAATGCCG >hg38_chr2:210627120-210627130(-) CAACAATGCAG >hg38_chr2:210627147-210627157(-) TCACAATGTCT >hg38_chr2:210631669-210631679(-) ATACAATAAAA >hg38_chr2:210632293-210632303(-) TAACAATGTGG >hg38_chr2:210637918-210637928(-) TAACAATGGCC >hg38_chr2:210681658-210681668(+) GAACAATGAAA >hg38_chr2:210684299-210684309(-) GAACAATAACT >hg38_chr2:210684952-210684962(-) gcacaatgctc >hg38_chr2:210695539-210695549(-) gaataatggtg >hg38_chr2:210717861-210717871(-) aaacaatgctt >hg38_chr2:210723164-210723174(+) ACACAATGGTC >hg38_chr2:210763096-210763106(-) agacaatggag >hg38_chr2:210859515-210859525(+) TTACAATAGCC >hg38_chr2:210862546-210862556(-) ATACAGTGGGG >hg38_chr2:210862859-210862869(-) ATACAATTGAA >hg38_chr2:211037257-211037267(+) ACACAATGGAA >hg38_chr2:211055764-211055774(-) acataatggca >hg38_chr2:211070696-211070706(-) GAACAATAACA >hg38_chr2:211084540-211084550(-) AGACAATGGTG >hg38_chr2:211084555-211084565(+) AGACAAAGGCA >hg38_chr2:211086210-211086220(-) GAACAATAACC >hg38_chr2:211130474-211130484(+) TAACAATGAAG >hg38_chr2:211133242-211133252(-) aaacaatggac >hg38_chr2:211173591-211173601(-) GTATAATGGAA >hg38_chr2:211294190-211294200(-) gaacaatgaag >hg38_chr2:211294219-211294229(-) acacaatagct >hg38_chr2:211359508-211359518(-) TGACAATGGTT >hg38_chr2:211390710-211390720(-) GGACAGTGGAT >hg38_chr2:211391366-211391376(-) GCACAATGGAA >hg38_chr2:211394782-211394792(+) AAACAATGCAC >hg38_chr2:211408575-211408585(-) AGACAATGCGT >hg38_chr2:211455384-211455394(+) GGACAATGGGT >hg38_chr2:211465814-211465824(-) GAACAATAGAC >hg38_chr2:211500021-211500031(+) GAACAATGGCA >hg38_chr2:211539771-211539781(+) atacaaaggga >hg38_chr2:211539793-211539803(-) atacaatgaac >hg38_chr2:211540095-211540105(+) aaacaatgaaa >hg38_chr2:211540163-211540173(-) ggacaatatta >hg38_chr2:211576212-211576222(-) AAACAAAGGCA >hg38_chr2:211599301-211599311(+) gaacaaaggat >hg38_chr2:211638238-211638248(-) GTACAATAGGT >hg38_chr2:211649482-211649492(+) GAACAATATAG >hg38_chr2:211649539-211649549(+) GAACAAAGGAG >hg38_chr2:211730376-211730386(+) TAACAATAGAA >hg38_chr2:211743422-211743432(+) TGATAATGGGA >hg38_chr2:211745672-211745682(-) CAACAATGCAG >hg38_chr2:211745685-211745695(-) GCACAATGAAA >hg38_chr2:211762346-211762356(+) TGACAAAGGCG >hg38_chr2:211793852-211793862(+) AGACAATGGCT >hg38_chr2:211799082-211799092(-) GCACAGTGGTA >hg38_chr2:211800197-211800207(-) taacaatgggg >hg38_chr2:211800238-211800248(-) acacaatgGCA >hg38_chr2:211836583-211836593(-) aaacaatgtgg >hg38_chr2:211836597-211836607(+) caacaatcgaa >hg38_chr2:211836608-211836618(+) ggacaatagac >hg38_chr2:211845835-211845845(+) GTACAATAGCT >hg38_chr2:211846889-211846899(+) TAACAATAGCT >hg38_chr2:211860494-211860504(-) GGACAATGATA >hg38_chr2:211860510-211860520(-) GTACAATAAAA >hg38_chr2:211902139-211902149(-) GTACAATGAAG >hg38_chr2:211925031-211925041(+) GAACAATGAAT >hg38_chr2:211928367-211928377(-) GGACAATGGTT >hg38_chr2:211933284-211933294(+) GAACAATGGTA >hg38_chr2:211936940-211936950(-) TTACAATAAAA >hg38_chr2:211936988-211936998(+) TTACAATAGCT >hg38_chr2:211991500-211991510(+) GAACAATGCAT >hg38_chr2:212024386-212024396(-) AAATAATGGAA >hg38_chr2:212073461-212073471(+) ATACAATATTC >hg38_chr2:212073475-212073485(-) CCACAATGGTG >hg38_chr2:212073489-212073499(+) GAACAATATAT >hg38_chr2:212098201-212098211(+) aaacaatgtaa >hg38_chr2:212103959-212103969(-) GAACAATGACT >hg38_chr2:212118157-212118167(+) GGACAATGAAA >hg38_chr2:212154093-212154103(+) gaacaatgact >hg38_chr2:212173784-212173794(+) GAACAATGTCG >hg38_chr2:212175288-212175298(+) AGACAATAGGC >hg38_chr2:212179204-212179214(-) CAACAATGTCA >hg38_chr2:212203303-212203313(+) gcacaatgcaa >hg38_chr2:212227926-212227936(-) GCACAATGCCA >hg38_chr2:212262357-212262367(-) ggataatggag >hg38_chr2:212262391-212262401(-) GCACAATGATG >hg38_chr2:212262553-212262563(-) taacaatgggt >hg38_chr2:212264389-212264399(-) GCATAATGGCA >hg38_chr2:212269130-212269140(+) AAACAATGGAG >hg38_chr2:212274496-212274506(+) ccacaatagca >hg38_chr2:212337260-212337270(+) ATACAATAGGA >hg38_chr2:212357866-212357876(+) AGATAATGGTC >hg38_chr2:212357880-212357890(+) CAATAATGGAT >hg38_chr2:212359734-212359744(-) TCACAATAGAA >hg38_chr2:212360162-212360172(+) CCACAATGACC >hg38_chr2:212364492-212364502(+) CAACAATGTAA >hg38_chr2:212382908-212382918(+) ttacaatatta >hg38_chr2:212421312-212421322(-) GAACAATGTGG >hg38_chr2:212427861-212427871(+) GAACAAAGGCA >hg38_chr2:212450150-212450160(+) GAACAATGGCA >hg38_chr2:212451939-212451949(+) GCACAATAGAA >hg38_chr2:212490117-212490127(-) TTACAAAGGTG >hg38_chr2:212490279-212490289(+) gcacaatgcct >hg38_chr2:212494218-212494228(-) ACACAAAGGCA >hg38_chr2:212501011-212501021(+) gaacaatagac >hg38_chr2:212536471-212536481(-) GCACAAAGGCG >hg38_chr2:212536516-212536526(+) CAACAATGGCA >hg38_chr2:212549635-212549645(+) GTACAATGGAA >hg38_chr2:212579142-212579152(+) TGATAATGGCC >hg38_chr2:212583060-212583070(-) GGACAATGAAG >hg38_chr2:212598102-212598112(+) GGACAATGGAG >hg38_chr2:212638328-212638338(-) aaacaaaggtt >hg38_chr2:212652297-212652307(-) ATACAGTGGTT >hg38_chr2:212657541-212657551(-) acacaataata >hg38_chr2:212686502-212686512(-) GAACAATGCAT >hg38_chr2:212728955-212728965(-) acacaatggaa >hg38_chr2:212777060-212777070(+) AAACAATGAAT >hg38_chr2:212777348-212777358(+) AGACAATGTAA >hg38_chr2:212800563-212800573(+) ATACAATATTC >hg38_chr2:212913314-212913324(-) GAATAATGGGG >hg38_chr2:212940894-212940904(-) GCACAATGCTG >hg38_chr2:212976183-212976193(-) atataatgaat >hg38_chr2:212977661-212977671(-) tgacaatggga >hg38_chr2:213006416-213006426(+) TGACAATCGTA >hg38_chr2:213007383-213007393(+) GAACAATGTGT >hg38_chr2:213050129-213050139(+) TAACaataata >hg38_chr2:213061226-213061236(+) TCACAATAGTC >hg38_chr2:213120108-213120118(+) aaacaatggga >hg38_chr2:213125691-213125701(+) aaacaatgtct >hg38_chr2:213147801-213147811(-) TGACAATGAGC >hg38_chr2:213147845-213147855(-) TGACAATGAAT >hg38_chr2:213148624-213148634(-) TGACTATGGAA >hg38_chr2:213148671-213148681(+) ATACAATGATT >hg38_chr2:213167901-213167911(-) ACACAATAGGC >hg38_chr2:213183252-213183262(+) TAACCATGGAC >hg38_chr2:213188279-213188289(+) AGACAAAGGTT >hg38_chr2:213216177-213216187(+) ATACAATAAAC >hg38_chr2:213224706-213224716(-) ACACAAAGGAG >hg38_chr2:213230010-213230020(+) aaacaatgtgg >hg38_chr2:213234699-213234709(+) gaacaatgaAG >hg38_chr2:213238808-213238818(+) CAACAATGAAA >hg38_chr2:213239062-213239072(+) TGACAATGGAA >hg38_chr2:213251069-213251079(-) AGACAATGGCA >hg38_chr2:213287081-213287091(-) GAACAATGTAT >hg38_chr2:213287094-213287104(-) TGACAATAGCT >hg38_chr2:213319751-213319761(-) TGACAATGACT >hg38_chr2:213454828-213454838(-) AGACAATAACG >hg38_chr2:213455493-213455503(-) caacaatagcc >hg38_chr2:213505758-213505768(-) GGATAATGGTT >hg38_chr2:213618294-213618304(+) tcacaatgccc >hg38_chr2:213797476-213797486(-) acacaatggta >hg38_chr2:213797486-213797496(+) ttacaatgcct >hg38_chr2:213812844-213812854(-) AAACAATGCAT >hg38_chr2:213818563-213818573(-) caacaatagat >hg38_chr2:213840947-213840957(-) CTACAATGTGC >hg38_chr2:213841082-213841092(+) ACACAAAGGAC >hg38_chr2:213868585-213868595(+) ATACAATGTCC >hg38_chr2:213870349-213870359(-) CCACAATAGAC >hg38_chr2:213893493-213893503(+) aaacaataata >hg38_chr2:213907113-213907123(+) caacaatggac >hg38_chr2:213907167-213907177(+) aaacaacggca >hg38_chr2:213965038-213965048(+) atacaatgcaa >hg38_chr2:213978720-213978730(-) aaacaatgtgc >hg38_chr2:213983760-213983770(+) TCACAATAGGG >hg38_chr2:213983806-213983816(-) GAACAATGGAG >hg38_chr2:214066951-214066961(-) GAACAAAGGAT >hg38_chr2:214067204-214067214(-) GAACAATGAAA >hg38_chr2:214069449-214069459(-) AGACAATAAAA >hg38_chr2:214074825-214074835(+) acacaatgaga >hg38_chr2:214091498-214091508(+) taacaatgtac >hg38_chr2:214105437-214105447(-) agataatggca >hg38_chr2:214105445-214105455(-) gtacaatgaga >hg38_chr2:214120073-214120083(+) ctacaatgctg >hg38_chr2:214120094-214120104(-) acataatggct >hg38_chr2:214144671-214144681(-) GAACAATAGAA >hg38_chr2:214144708-214144718(+) AAACAATGTCC >hg38_chr2:214197455-214197465(+) gtataatggac >hg38_chr2:214197469-214197479(+) gtataatggac >hg38_chr2:214245059-214245069(-) AGACAATAGTA >hg38_chr2:214251662-214251672(+) GAACAATGTCA >hg38_chr2:214259682-214259692(-) acacaaaggaa >hg38_chr2:214262735-214262745(+) AAACAATGAAA >hg38_chr2:214262769-214262779(+) AGACAATGAGT >hg38_chr2:214262787-214262797(+) GAATAATGACG >hg38_chr2:214329074-214329084(+) GAACAATAGCC >hg38_chr2:214329099-214329109(+) AAACAAAGGCG >hg38_chr2:214352422-214352432(-) TTACAATAGTA >hg38_chr2:214352482-214352492(-) TCACAATGGAA >hg38_chr2:214358731-214358741(+) TAATAATGGGA >hg38_chr2:214376781-214376791(+) gtacaatgcct >hg38_chr2:214395218-214395228(+) atacaaaggaa >hg38_chr2:214395229-214395239(-) tcacaatagtg >hg38_chr2:214451705-214451715(+) GAATAATGGTT >hg38_chr2:214459449-214459459(-) gaacaaaggac >hg38_chr2:214459470-214459480(-) gaacaataaga >hg38_chr2:214515344-214515354(+) GTACAATATAG >hg38_chr2:214558034-214558044(-) gtacaatgtct >hg38_chr2:214575227-214575237(-) AGACAATAAAC >hg38_chr2:214605060-214605070(-) ggataatggaa >hg38_chr2:214605068-214605078(-) tgacaacggga >hg38_chr2:214639091-214639101(+) CTACAATAGAA >hg38_chr2:214652615-214652625(-) CTACAATGAGT >hg38_chr2:214652646-214652656(-) GAACAATAGTC >hg38_chr2:214652670-214652680(+) GAACAATAGTT >hg38_chr2:214671642-214671652(+) CTACAATGTCT >hg38_chr2:214707166-214707176(+) TTATAATGGCA >hg38_chr2:214719713-214719723(+) aaacaatgtgt >hg38_chr2:214795078-214795088(-) ATACAATAGGT >hg38_chr2:214798231-214798241(+) CCACAATGTTA >hg38_chr2:214807593-214807603(-) agacaataaat >hg38_chr2:214831109-214831119(-) agacaatagag >hg38_chr2:214901552-214901562(-) ATACAATGGAA >hg38_chr2:214902426-214902436(+) ACACAATGGAA >hg38_chr2:214902868-214902878(-) AAACAATAAAT >hg38_chr2:214960774-214960784(+) GGACAATGTGT >hg38_chr2:214961478-214961488(+) TTACAAAGGAA >hg38_chr2:215022640-215022650(+) GAACAACGGAT >hg38_chr2:215022848-215022858(+) TTACAATAAAA >hg38_chr2:215078358-215078368(-) ACACAATGCTA >hg38_chr2:215096243-215096253(+) caacaatgcta >hg38_chr2:215132484-215132494(+) acacaatgcct >hg38_chr2:215132490-215132500(-) agacaaaggca >hg38_chr2:215132802-215132812(-) gcacaatagaa >hg38_chr2:215188252-215188262(+) TCACAATGGCC >hg38_chr2:215271639-215271649(+) TCACAATGCAA >hg38_chr2:215329322-215329332(-) GAACAATGAAG >hg38_chr2:215329467-215329477(+) CCACAATAGAA >hg38_chr2:215334142-215334152(-) AAACAATAACA >hg38_chr2:215336107-215336117(-) ACACAATAGTT >hg38_chr2:215354622-215354632(+) ctacaatgtat >hg38_chr2:215368617-215368627(-) TTATAATGGTA >hg38_chr2:215368643-215368653(-) TTACAATAATA >hg38_chr2:215423534-215423544(+) GAACAATACAC >hg38_chr2:215423786-215423796(-) AAACAATGTTT >hg38_chr2:215423831-215423841(-) ATACAAAGGAT >hg38_chr2:215446858-215446868(-) gtacaatggga >hg38_chr2:215454513-215454523(+) CAACAATGATC >hg38_chr2:215511043-215511053(-) aaacaatgaaa >hg38_chr2:215513782-215513792(+) ttacaataaat >hg38_chr2:215513813-215513823(+) taacAAtgtca >hg38_chr2:215513819-215513829(-) taacaatgaca >hg38_chr2:215528885-215528895(-) AGACAATGTAG >hg38_chr2:215528906-215528916(+) GAACAATAAAC >hg38_chr2:215546657-215546667(-) TTACAATAAGA >hg38_chr2:215551696-215551706(-) GAACTATGGTA >hg38_chr2:215626232-215626242(+) caacaatgaga >hg38_chr2:215657670-215657680(-) CAACAATAGGG >hg38_chr2:215675408-215675418(-) aaacaataaaa >hg38_chr2:215675452-215675462(-) atacaatgcaa >hg38_chr2:215743022-215743032(+) GAACAAAGGCC >hg38_chr2:215751727-215751737(+) TCACAATGAAG >hg38_chr2:215751753-215751763(+) gcacaaaggta >hg38_chr2:215772190-215772200(+) TCACAATGACT >hg38_chr2:215808168-215808178(-) TGACAATGCAG >hg38_chr2:215808958-215808968(-) ttacaatagag >hg38_chr2:215881284-215881294(-) taacaaagacg >hg38_chr2:215964606-215964616(+) aaacaatgaac >hg38_chr2:215964624-215964634(-) caacaatagca >hg38_chr2:215969684-215969694(-) atataatgcgt >hg38_chr2:215998767-215998777(-) aaaCaatggaa >hg38_chr2:216006495-216006505(-) GGACAATGATT >hg38_chr2:216017131-216017141(-) acacaatgatg >hg38_chr2:216017146-216017156(-) taacaataaca >hg38_chr2:216036487-216036497(-) ggacaaaggga >hg38_chr2:216049682-216049692(+) GAACAATGGGA >hg38_chr2:216071965-216071975(-) taacaatgatc >hg38_chr2:216071973-216071983(+) ttacaatgcca >hg38_chr2:216108474-216108484(+) GGACAAAGGGC >hg38_chr2:216114958-216114968(+) gaacaaaggag >hg38_chr2:216127512-216127522(-) ACACAAAGGGA >hg38_chr2:216140277-216140287(+) GTATAATGTAC >hg38_chr2:216159635-216159645(+) ACACAAAGGTC >hg38_chr2:216168067-216168077(-) TTACAATGAGA >hg38_chr2:216168456-216168466(-) GCATAATGGTC >hg38_chr2:216195644-216195654(-) TAACAATGTGT >hg38_chr2:216260245-216260255(-) acacaatgatt >hg38_chr2:216260256-216260266(-) acacaataggc >hg38_chr2:216303127-216303137(+) GAACAATGCAC >hg38_chr2:216315713-216315723(+) taacaatggat >hg38_chr2:216319904-216319914(-) TGACAATGGCA >hg38_chr2:216386109-216386119(+) gcacaatgcct >hg38_chr2:216412053-216412063(+) acacaatgact >hg38_chr2:216412069-216412079(+) CTACAATGATG >hg38_chr2:216426346-216426356(-) CTACAATGCTA >hg38_chr2:216445667-216445677(-) TAACAATGAGC >hg38_chr2:216478425-216478435(+) AAACAATGGCC >hg38_chr2:216498669-216498679(-) AAACTATGGCG >hg38_chr2:216515200-216515210(+) tgacaatgtgg >hg38_chr2:216516657-216516667(+) TAACAATGTCA >hg38_chr2:216516676-216516686(+) ATACAATCGTT >hg38_chr2:216518072-216518082(-) tgacaatagat >hg38_chr2:216520368-216520378(-) GGACAGTGGAC >hg38_chr2:216533534-216533544(+) AGACAATGGAG >hg38_chr2:216563180-216563190(-) ACACGATGGAG >hg38_chr2:216583809-216583819(+) ATATAATGAAT >hg38_chr2:216606584-216606594(+) aAACAATGAGA >hg38_chr2:216613510-216613520(+) atacaaagGAT >hg38_chr2:216617935-216617945(-) TAACAAAGGAC >hg38_chr2:216620971-216620981(-) GGACAATGTGA >hg38_chr2:216632538-216632548(+) GAACAATGAAA >hg38_chr2:216632929-216632939(-) GAACAATGACT >hg38_chr2:216635784-216635794(+) GGACAATGGGG >hg38_chr2:216650036-216650046(-) GAACAATGCCT >hg38_chr2:216653426-216653436(+) GAACAATAAAG >hg38_chr2:216693060-216693070(-) AAACAATGTTT >hg38_chr2:216699087-216699097(+) TAACAATGGGG >hg38_chr2:216735310-216735320(-) aaataatggct >hg38_chr2:216758871-216758881(-) TAACAATAAGC >hg38_chr2:216770626-216770636(-) AAACAATGTGC >hg38_chr2:216770971-216770981(-) GAACAATGTAA >hg38_chr2:216777779-216777789(+) AAACAATGAGT >hg38_chr2:216830559-216830569(-) ACACAATAGCC >hg38_chr2:216875093-216875103(+) TAACAATGGCA >hg38_chr2:216908493-216908503(+) acacaatggcc >hg38_chr2:216954934-216954944(-) taacaataaca >hg38_chr2:216960347-216960357(+) gaacaatgccc >hg38_chr2:216960380-216960390(-) Taacaatagct >hg38_chr2:216970347-216970357(-) CTACAATGCCT >hg38_chr2:216985952-216985962(-) AGACAAAGGCA >hg38_chr2:216995801-216995811(-) ACACAATGGTG >hg38_chr2:216995850-216995860(+) AGACAATGCTG >hg38_chr2:217016790-217016800(-) taacaatgcct >hg38_chr2:217023131-217023141(+) gaacaatggag >hg38_chr2:217023178-217023188(+) ttacaataata >hg38_chr2:217049715-217049725(+) ggacaaaggag >hg38_chr2:217063106-217063116(+) GAACAATGGGA >hg38_chr2:217064351-217064361(-) AGACAATAGAG >hg38_chr2:217066246-217066256(-) TTACAAAGGAA >hg38_chr2:217066263-217066273(+) CCACAATGTGT >hg38_chr2:217103818-217103828(-) AGACAATAGGC >hg38_chr2:217106882-217106892(+) CTACAATGCAA >hg38_chr2:217162611-217162621(+) ACACAATGCTC >hg38_chr2:217189038-217189048(-) AGACAATGAAT >hg38_chr2:217199063-217199073(+) GTATAATGGTA >hg38_chr2:217225756-217225766(-) GGACAATGGGG >hg38_chr2:217228427-217228437(+) taacaatggag >hg38_chr2:217233253-217233263(+) gtacaatgatg >hg38_chr2:217239820-217239830(+) TCACAATGACT >hg38_chr2:217289706-217289716(-) agacaatgcga >hg38_chr2:217319118-217319128(-) tgacaatgttc >hg38_chr2:217325134-217325144(+) TAACAATAATC >hg38_chr2:217332216-217332226(+) TTACAATGTGT >hg38_chr2:217332257-217332267(-) TCACAATAGGA >hg38_chr2:217366673-217366683(+) GTACAATGATC >hg38_chr2:217372151-217372161(-) GTACAATGGCC >hg38_chr2:217404529-217404539(+) gaacaatggtg >hg38_chr2:217404765-217404775(-) ctacaataggG >hg38_chr2:217509774-217509784(-) agacaataggt >hg38_chr2:217509788-217509798(+) caacaataggt >hg38_chr2:217512726-217512736(+) CAACAATAGCA >hg38_chr2:217523549-217523559(+) CAACAATAGAG >hg38_chr2:217532230-217532240(-) TAACAATGTGA >hg38_chr2:217588538-217588548(-) gaacaatgctg >hg38_chr2:217622284-217622294(+) AGACAATGGGC >hg38_chr2:217637150-217637160(+) TCACAATCGAT >hg38_chr2:217653740-217653750(+) GAACAAAGGCG >hg38_chr2:217714532-217714542(-) GAACAATGCAG >hg38_chr2:217836338-217836348(-) AGACAATGGGG >hg38_chr2:217837178-217837188(+) TAACAAAGGCC >hg38_chr2:217853401-217853411(+) GAACAATGGGG >hg38_chr2:217898586-217898596(-) GAACAAAGGGC >hg38_chr2:217935706-217935716(-) ATACAGTGGGA >hg38_chr2:217961551-217961561(+) GAACAATGCTT >hg38_chr2:218015948-218015958(+) CCACAATGAGA >hg38_chr2:218022539-218022549(+) GGACAATGAAG >hg38_chr2:218022564-218022574(-) GGACAAAGGGA >hg38_chr2:218039292-218039302(+) GAACAATGGCA >hg38_chr2:218039419-218039429(-) aaataaTGGGA >hg38_chr2:218082605-218082615(-) atacaatatac >hg38_chr2:218082634-218082644(-) acacaatgtat >hg38_chr2:218168800-218168810(+) GGACAATAGCC >hg38_chr2:218168838-218168848(-) GCACAATAGTC >hg38_chr2:218242805-218242815(-) gaataatgggt >hg38_chr2:218286419-218286429(+) TCACAATGCCT >hg38_chr2:218331827-218331837(+) taacaatacgt >hg38_chr2:218517833-218517843(+) acacaatagac >hg38_chr2:218568867-218568877(-) AAACAATGAGC >hg38_chr2:218570401-218570411(-) TGACAATGGAG >hg38_chr2:218578464-218578474(+) GTACAATGGGT >hg38_chr2:218583982-218583992(+) TGATAATGGCT >hg38_chr2:218686979-218686989(-) taacaatgaga >hg38_chr2:218724632-218724642(-) acacaatggaa >hg38_chr2:218745991-218746001(-) GAACAATGGCC >hg38_chr2:218760560-218760570(+) gaacaatagtt >hg38_chr2:218805308-218805318(-) AGACAATGCAA >hg38_chr2:218861031-218861041(+) GGACAATAACG >hg38_chr2:218904330-218904340(-) GAACAATAGGA >hg38_chr2:219062939-219062949(-) ggataatggcc >hg38_chr2:219062965-219062975(-) agacaatgcat >hg38_chr2:219106691-219106701(-) GCATAATGGTA >hg38_chr2:219149941-219149951(-) atactatggcc >hg38_chr2:219154088-219154098(+) atacaatgcta >hg38_chr2:219277230-219277240(-) ggacaaaggga >hg38_chr2:219277250-219277260(-) agacaatgaca >hg38_chr2:219277284-219277294(+) ggacaaaggaa >hg38_chr2:219311312-219311322(-) taacaaaggcc >hg38_chr2:219363408-219363418(-) atacaatgttt >hg38_chr2:219390196-219390206(+) AGACAATGTGG >hg38_chr2:219434178-219434188(-) aaacaaaggcg >hg38_chr2:219458984-219458994(-) TCACAATAGAC >hg38_chr2:219537795-219537805(-) AAACAATGGAT >hg38_chr2:219595530-219595540(-) GGACAAAGgga >hg38_chr2:219595785-219595795(+) TGACAATCGTC >hg38_chr2:219598682-219598692(+) gtacaatgcct >hg38_chr2:219598721-219598731(-) taacaatagct >hg38_chr2:219598727-219598737(-) TTACaataaca >hg38_chr2:219675466-219675476(+) GAACAATGAGA >hg38_chr2:219688175-219688185(-) GCACAATAGAT >hg38_chr2:219689850-219689860(+) AAATAATGGGG >hg38_chr2:219736297-219736307(-) TAACAATGTCC >hg38_chr2:219749162-219749172(+) GGACAATAGCC >hg38_chr2:219749171-219749181(+) CCACAATGTCA >hg38_chr2:219749213-219749223(+) GAACAATGCCT >hg38_chr2:219767049-219767059(-) CAACAATAGAC >hg38_chr2:219788308-219788318(-) GGACAATGGGA >hg38_chr2:219851464-219851474(+) TAACTATGGCA >hg38_chr2:219861587-219861597(-) GAACAATAAAA >hg38_chr2:219868533-219868543(+) AGATAATGGTC >hg38_chr2:219873885-219873895(-) AGACAATGGGT >hg38_chr2:219916516-219916526(-) TAACAATATGT >hg38_chr2:219928743-219928753(+) GGACAATGCTC >hg38_chr2:219954494-219954504(-) atacagtggac >hg38_chr2:219954525-219954535(-) ggacaatagct >hg38_chr2:219976297-219976307(+) TGACAAAGGGA >hg38_chr2:219976314-219976324(-) TAACAATAGCT >hg38_chr2:219989909-219989919(-) TAACAATGCCA >hg38_chr2:220021028-220021038(+) gtataatggaa >hg38_chr2:220070590-220070600(+) ttactatggct >hg38_chr2:220070606-220070616(-) atacaatagaa >hg38_chr2:220129481-220129491(-) AGACAATGAAG >hg38_chr2:220143497-220143507(-) gcacaatgcat >hg38_chr2:220183048-220183058(+) ttacaaaggca >hg38_chr2:220188070-220188080(+) gtacactggag >hg38_chr2:220207298-220207308(+) ctacaatcgaa >hg38_chr2:220220088-220220098(-) TAACAATAACC >hg38_chr2:220220253-220220263(-) TAACAATGTCG >hg38_chr2:220220278-220220288(-) AAACAAAGGAG >hg38_chr2:220272730-220272740(+) taacaatatat >hg38_chr2:220272771-220272781(-) ttacaatgcaa >hg38_chr2:220305159-220305169(-) TGACAATGAGA >hg38_chr2:220336549-220336559(-) ttacaataata >hg38_chr2:220336583-220336593(+) gtacaGTGGCC >hg38_chr2:220336823-220336833(+) GCACAATGTTT >hg38_chr2:220336836-220336846(+) gaacaataacc >hg38_chr2:220336848-220336858(+) gaacaatgact >hg38_chr2:220336860-220336870(+) taacaaTGATG >hg38_chr2:220340780-220340790(+) ACACAGTGGAT >hg38_chr2:220344622-220344632(+) AAACACTGGAC >hg38_chr2:220349505-220349515(+) GAACAATACTA >hg38_chr2:220352483-220352493(-) TCACAATAGTT >hg38_chr2:220352528-220352538(+) ATACACTGGGA >hg38_chr2:220363297-220363307(-) aaacaataata >hg38_chr2:220363610-220363620(-) agacaatgctg >hg38_chr2:220378018-220378028(+) TTACAATAGCA >hg38_chr2:220417959-220417969(-) TTACAATGAAT >hg38_chr2:220422443-220422453(-) TAACAATAAAT >hg38_chr2:220469378-220469388(-) agacaatacgt >hg38_chr2:220469404-220469414(+) ctacaatggca >hg38_chr2:220475319-220475329(-) CAACAATAGTA >hg38_chr2:220601360-220601370(+) tgacaatgccc >hg38_chr2:220653268-220653278(-) TGACAATATAA >hg38_chr2:220682729-220682739(-) TAACAGTGGAG >hg38_chr2:220682765-220682775(-) GTACAATGCTT >hg38_chr2:220720828-220720838(-) CTACAATGAAT >hg38_chr2:220733506-220733516(-) GAACAATTGTA >hg38_chr2:220754372-220754382(-) AGACAATGACT >hg38_chr2:220754411-220754421(-) GTATAATGGCC >hg38_chr2:220754424-220754434(+) CTATAATGGGA >hg38_chr2:220771220-220771230(-) caacaatggta >hg38_chr2:220780097-220780107(+) caacaatgagt >hg38_chr2:220783785-220783795(-) AAACAATGAAA >hg38_chr2:220790237-220790247(-) GTACAATAGAA >hg38_chr2:220796636-220796646(-) ACATAATGGGC >hg38_chr2:220815826-220815836(+) gtacaatgctc >hg38_chr2:220847171-220847181(-) ctacaatgtgc >hg38_chr2:220854621-220854631(-) TCACAATGGTA >hg38_chr2:220855579-220855589(+) CCACAATAGCC >hg38_chr2:220857176-220857186(+) TGACAATGGGA >hg38_chr2:220878923-220878933(-) AAACAATGGGT >hg38_chr2:220886824-220886834(+) GGACAAAGGAG >hg38_chr2:220961714-220961724(-) tcacaatggga >hg38_chr2:220965179-220965189(-) TTACAATGGTG >hg38_chr2:221005579-221005589(-) ATACAATGTAA >hg38_chr2:221014777-221014787(-) AAACAATGGCT >hg38_chr2:221038677-221038687(+) taacaatagca >hg38_chr2:221075542-221075552(+) AAACAAAGGCA >hg38_chr2:221113982-221113992(+) ACACAAAGGAA >hg38_chr2:221164666-221164676(+) TAATaatgata >hg38_chr2:221189830-221189840(+) TGACAATGtgt >hg38_chr2:221233173-221233183(-) aaactatggcc >hg38_chr2:221256048-221256058(+) AAACAAAGGAA >hg38_chr2:221256442-221256452(-) atacaatacaa >hg38_chr2:221283642-221283652(+) GAACAAAGGCA >hg38_chr2:221284028-221284038(-) TTACAATGGTC >hg38_chr2:221294565-221294575(-) agataatggtg >hg38_chr2:221355963-221355973(-) GAACAATAGAC >hg38_chr2:221372418-221372428(+) GAACAATAAGT >hg38_chr2:221377627-221377637(+) GCACAATGACT >hg38_chr2:221422735-221422745(-) TCACAATGAAT >hg38_chr2:221422752-221422762(+) AAACAAAGGCT >hg38_chr2:221423357-221423367(+) AAACAATGCAT >hg38_chr2:221423371-221423381(-) GAACAATAGGA >hg38_chr2:221449624-221449634(-) CAACAATGTCT >hg38_chr2:221468540-221468550(+) AGACAATGCTG >hg38_chr2:221476579-221476589(+) acacaatagtt >hg38_chr2:221476751-221476761(-) GAACAGTGGGA >hg38_chr2:221476761-221476771(-) GGATAATGGAG >hg38_chr2:221478622-221478632(-) AAACAATGAAG >hg38_chr2:221503084-221503094(+) ACACAATGAGC >hg38_chr2:221507601-221507611(+) CTACAATAGCA >hg38_chr2:221516163-221516173(+) ATACAATGACT >hg38_chr2:221570096-221570106(+) ACACAAAGGAA >hg38_chr2:221570105-221570115(+) AAACAATAAGA >hg38_chr2:221574663-221574673(-) TAACAATGCAG >hg38_chr2:221608587-221608597(-) aaacaatatag >hg38_chr2:221608626-221608636(-) atacaatggaa >hg38_chr2:221654146-221654156(-) AAACAATAGGA >hg38_chr2:221680697-221680707(-) ACACAATAGAG >hg38_chr2:221693493-221693503(-) CCACAATGCCG >hg38_chr2:221704675-221704685(-) ttacaatagag >hg38_chr2:221704756-221704766(-) caacaatgatc >hg38_chr2:221705420-221705430(+) caacaatgaat >hg38_chr2:221715762-221715772(+) TAACAATGATG >hg38_chr2:221716039-221716049(-) GCACAATGGAA >hg38_chr2:221750954-221750964(+) GAACAATGGCA >hg38_chr2:221750971-221750981(-) AAACAATAGTC >hg38_chr2:221780481-221780491(+) GCACAATGACT >hg38_chr2:221783047-221783057(-) AGACAATGAAG >hg38_chr2:221853606-221853616(-) aaacaatggga >hg38_chr2:221911481-221911491(+) atacaatagct >hg38_chr2:221915738-221915748(+) atacaatgtgc >hg38_chr2:221917028-221917038(+) GAACAAAGGCA >hg38_chr2:221922020-221922030(-) GGACAATGAGG >hg38_chr2:221966464-221966474(-) TTACAATGGAA >hg38_chr2:221966479-221966489(-) GAACAATAGAT >hg38_chr2:221975147-221975157(-) GGACAATAGAA >hg38_chr2:222010232-222010242(+) GAACAATGATG >hg38_chr2:222055768-222055778(+) atacaatacac >hg38_chr2:222074873-222074883(+) gcacaatagag >hg38_chr2:222118107-222118117(-) ATACAAAGGCA >hg38_chr2:222204261-222204271(-) AAACAATGCTG >hg38_chr2:222241503-222241513(+) ACACAATAATA >hg38_chr2:222242033-222242043(-) AAACAATGACT >hg38_chr2:222248385-222248395(+) ACACAATGCCA >hg38_chr2:222269385-222269395(+) TCACAATGCGA >hg38_chr2:222270729-222270739(+) GAACAATGTGA >hg38_chr2:222284648-222284658(-) CAACAATGAAG >hg38_chr2:222288910-222288920(+) TCACAATGGAA >hg38_chr2:222291108-222291118(-) CCACAATGGGC >hg38_chr2:222334024-222334034(-) atacactggca >hg38_chr2:222371202-222371212(+) aaacaaaggtg >hg38_chr2:222441228-222441238(+) CAACAATAGCC >hg38_chr2:222534342-222534352(-) AAATAATGGAA >hg38_chr2:222534383-222534393(-) GGACAATAAAA >hg38_chr2:222545030-222545040(+) GCACAATAGCT >hg38_chr2:222548176-222548186(+) aaacaataagt >hg38_chr2:222607543-222607553(-) AGATAATGGAT >hg38_chr2:222620737-222620747(-) GTACAATAATC >hg38_chr2:222620745-222620755(-) GGACAATAGTA >hg38_chr2:222669387-222669397(-) ttacaatggtg >hg38_chr2:222669528-222669538(+) ggacaatgccc >hg38_chr2:222734138-222734148(-) GAACAATGTGG >hg38_chr2:222753277-222753287(-) GGACTATGGTC >hg38_chr2:222795377-222795387(-) GAACAAAGGCA >hg38_chr2:222797555-222797565(-) AAACAATAATA >hg38_chr2:222847022-222847032(-) acacaatgata >hg38_chr2:222847048-222847058(+) acacaacggac >hg38_chr2:222860455-222860465(+) TGATAATGGAT >hg38_chr2:222887284-222887294(-) agacaatggaa >hg38_chr2:222900840-222900850(-) AGACAATGTGA >hg38_chr2:222918077-222918087(-) GAACAATGGCT >hg38_chr2:222928485-222928495(+) agacaatgtga >hg38_chr2:222929046-222929056(-) TCACAAAGGAC >hg38_chr2:222937417-222937427(-) atacaataata >hg38_chr2:222937570-222937580(-) tcacaatagac >hg38_chr2:222942862-222942872(-) CAACAATGACA >hg38_chr2:222950044-222950054(+) tcacaatgtaa >hg38_chr2:222950062-222950072(-) taacaatgaga >hg38_chr2:222958035-222958045(-) tcacaatagca >hg38_chr2:222982601-222982611(-) CAACAATGAGG >hg38_chr2:222983079-222983089(-) ACACAATGCTG >hg38_chr2:223036914-223036924(-) GTACAAAGGAA >hg38_chr2:223067958-223067968(-) gcacaaaggaa >hg38_chr2:223108094-223108104(+) taacaatgtgt >hg38_chr2:223109475-223109485(+) aaacaatgcct >hg38_chr2:223147785-223147795(+) GCACAATGGGA >hg38_chr2:223168255-223168265(+) ctacaatggtg >hg38_chr2:223181466-223181476(+) acataatggct >hg38_chr2:223181485-223181495(-) agacaataaga >hg38_chr2:223204803-223204813(+) aaacaatgcag >hg38_chr2:223204852-223204862(-) ccacaatggag >hg38_chr2:223281861-223281871(-) GAACAATATAA >hg38_chr2:223281881-223281891(+) GAACAATGTAA >hg38_chr2:223313258-223313268(-) gaacagtggaa >hg38_chr2:223346585-223346595(+) GAACAATGGAG >hg38_chr2:223365796-223365806(-) AAACAATGCCT >hg38_chr2:223377060-223377070(+) AGACAATGCCC >hg38_chr2:223393596-223393606(-) aaacaatgtat >hg38_chr2:223393922-223393932(-) atacaatggta >hg38_chr2:223417053-223417063(+) GAACAAAGGCA >hg38_chr2:223513938-223513948(+) CAACAATAGAA >hg38_chr2:223519413-223519423(-) caacaatgtgt >hg38_chr2:223532222-223532232(+) AAACAATGAAA >hg38_chr2:223532239-223532249(+) TAATAATGGCC >hg38_chr2:223532282-223532292(-) ACACAATGAAA >hg38_chr2:223538958-223538968(-) CCACAATGTGA >hg38_chr2:223538969-223538979(+) GGATAATGGGG >hg38_chr2:223547515-223547525(+) gtacaatgctg >hg38_chr2:223548579-223548589(+) aaactatggtt >hg38_chr2:223548595-223548605(+) tcacaatgggg >hg38_chr2:223563674-223563684(+) GGACAAAGGGA >hg38_chr2:223586520-223586530(+) TCACAATGTGG >hg38_chr2:223586545-223586555(-) ATATAATGGTT >hg38_chr2:223587004-223587014(-) GAACAATGAAG >hg38_chr2:223602762-223602772(-) TTACAACGACG >hg38_chr2:223604547-223604557(-) CCACAATGGCT >hg38_chr2:223622022-223622032(-) GCACAATGCTC >hg38_chr2:223643416-223643426(+) TGACAATGGCC >hg38_chr2:223644320-223644330(+) AAACAATGGGA >hg38_chr2:223688618-223688628(-) ACACAATCGCA >hg38_chr2:223699772-223699782(-) AAACAATGTCA >hg38_chr2:223726687-223726697(+) CAACAATGACC >hg38_chr2:223867265-223867275(+) AGACAATAAAC >hg38_chr2:223877541-223877551(-) TCATAATGGCA >hg38_chr2:223877550-223877560(+) GAACAATAGCT >hg38_chr2:223937652-223937662(-) gaacaaaggct >hg38_chr2:223937692-223937702(+) TAACAATGAAG >hg38_chr2:223951101-223951111(+) agacaaaggaa >hg38_chr2:223952401-223952411(-) agacaaaggga >hg38_chr2:223952456-223952466(-) ttacaaaggtg >hg38_chr2:223998277-223998287(+) TCACAATGTCT >hg38_chr2:224003707-224003717(+) TAACAGTGGCA >hg38_chr2:224061902-224061912(+) TGACAATGGCA >hg38_chr2:224061943-224061953(-) GTACAAAGGTG >hg38_chr2:224178396-224178406(-) AAACAATGACT >hg38_chr2:224224542-224224552(-) TCACAATGGCT >hg38_chr2:224284388-224284398(+) AGACAATGCCA >hg38_chr2:224295869-224295879(+) CAACAATAGTC >hg38_chr2:224347349-224347359(+) ATACAATAAAG >hg38_chr2:224376857-224376867(-) GAACAAAGGAC >hg38_chr2:224377060-224377070(+) ggacaaaggag >hg38_chr2:224399982-224399992(+) ataCCATGGAA >hg38_chr2:224415442-224415452(-) CAACAATGGGC >hg38_chr2:224417427-224417437(+) AAACAATAGTC >hg38_chr2:224456631-224456641(+) agacaataggc >hg38_chr2:224473841-224473851(+) ACACAATGCGC >hg38_chr2:224510682-224510692(-) GAACAATAACC >hg38_chr2:224523808-224523818(+) tgacaaaggga >hg38_chr2:224538024-224538034(+) GTACAATGTGT >hg38_chr2:224553012-224553022(-) ATACAATGTGC >hg38_chr2:224569233-224569243(+) AAACAATAAAA >hg38_chr2:224571064-224571074(+) ATACAATAATG >hg38_chr2:224571517-224571527(-) AAACTATGGCA >hg38_chr2:224614484-224614494(+) CAACAATAGTT >hg38_chr2:224659111-224659121(+) AAACAATGTAA >hg38_chr2:224684911-224684921(-) atacaatggaa >hg38_chr2:224703534-224703544(+) CTACAATAGCC >hg38_chr2:224731496-224731506(-) CTATAATGGCA >hg38_chr2:224802609-224802619(+) TGACAATGGCC >hg38_chr2:224818202-224818212(+) atacaatggtg >hg38_chr2:224818218-224818228(+) atacaatgaga >hg38_chr2:224868513-224868523(-) TCACAATAGGG >hg38_chr2:224869509-224869519(+) AAACAATGGTA >hg38_chr2:224884042-224884052(-) TCACAAAGGAC >hg38_chr2:224902414-224902424(+) AAACGATGGTG >hg38_chr2:224902473-224902483(-) ATACAATAGCC >hg38_chr2:224909015-224909025(-) GAACAAAGGTC >hg38_chr2:224909033-224909043(-) ATACAGTGGCT >hg38_chr2:224974159-224974169(-) tgacaatagac >hg38_chr2:224982344-224982354(+) GAACAATGCTG >hg38_chr2:224991394-224991404(+) taaCAAAGGCA >hg38_chr2:224991450-224991460(-) tgataatGGTG >hg38_chr2:224991456-224991466(-) taataatgata >hg38_chr2:225002250-225002260(-) TCACAATGGAC >hg38_chr2:225009611-225009621(+) GAACAATGACA >hg38_chr2:225032743-225032753(+) AAACAATGAGT >hg38_chr2:225033920-225033930(-) AAACAAAGGCT >hg38_chr2:225045431-225045441(+) tgactatggaa >hg38_chr2:225045457-225045467(+) TAACAATAACA >hg38_chr2:225045463-225045473(+) TAACAATGTAA >hg38_chr2:225045491-225045501(-) ACACAATAGTG >hg38_chr2:225045501-225045511(+) TAACAATATGT >hg38_chr2:225070949-225070959(-) aaacaatgagc >hg38_chr2:225072617-225072627(-) aaacaatagct >hg38_chr2:225073372-225073382(-) TAACAAAGGAC >hg38_chr2:225099964-225099974(-) gaacaatggtg >hg38_chr2:225100421-225100431(-) aaacaatagac >hg38_chr2:225103283-225103293(-) GAACAATAAAT >hg38_chr2:225105443-225105453(+) TTACAATGGCA >hg38_chr2:225114539-225114549(+) AAACAATGCAT >hg38_chr2:225174263-225174273(+) agacaatagaa >hg38_chr2:225202984-225202994(+) CTACAATAGTA >hg38_chr2:225203011-225203021(-) GGACAATGGAA >hg38_chr2:225206018-225206028(+) atacaaaggaa >hg38_chr2:225216569-225216579(-) atacaatgcat >hg38_chr2:225217784-225217794(+) ggacaatagAA >hg38_chr2:225283062-225283072(+) GGACAATAGAG >hg38_chr2:225343720-225343730(+) atacaatacac >hg38_chr2:225343761-225343771(+) gcacaatgacc >hg38_chr2:225349083-225349093(-) ATACTATGGAC >hg38_chr2:225452695-225452705(-) AAACAATAACA >hg38_chr2:225496828-225496838(-) GCACAATGAGC >hg38_chr2:225496898-225496908(-) TTACAATGGAG >hg38_chr2:225525669-225525679(+) atacaatgtgc >hg38_chr2:225591145-225591155(+) GAACAAAGGTG >hg38_chr2:225615199-225615209(+) ggacaaaggga >hg38_chr2:225660976-225660986(-) TTACAATGCAC >hg38_chr2:225748778-225748788(+) GGATAATGGAG >hg38_chr2:225786840-225786850(-) TCACAATGGAG >hg38_chr2:225786856-225786866(+) TTACAATGATT >hg38_chr2:225815281-225815291(+) TAACAATGGCT >hg38_chr2:225819219-225819229(-) GCACAATAGAG >hg38_chr2:225823205-225823215(+) aaacaataaca >hg38_chr2:225841114-225841124(-) tcacaatgact >hg38_chr2:225860408-225860418(+) ttacaatagca >hg38_chr2:225889549-225889559(-) ATACAATGGGA >hg38_chr2:225893742-225893752(+) TGATAATGGTG >hg38_chr2:225893813-225893823(+) GCACAAAGGAA >hg38_chr2:225894715-225894725(-) TTACTATGGAC >hg38_chr2:225996423-225996433(+) AAACAATGCTC >hg38_chr2:225999892-225999902(+) GAACAAAGGCT >hg38_chr2:225999929-225999939(-) GGACAATAGGT >hg38_chr2:226003494-226003504(-) gaacaatagct >hg38_chr2:226012271-226012281(+) GAACAAAGGGG >hg38_chr2:226016697-226016707(-) TTACAATAATC >hg38_chr2:226016716-226016726(-) AGACAATGGGT >hg38_chr2:226031955-226031965(-) GCACAATGAGT >hg38_chr2:226079227-226079237(-) GAACAATGCAT >hg38_chr2:226115112-226115122(+) GAATAATGGGA >hg38_chr2:226116054-226116064(-) TGACAATGAAT >hg38_chr2:226137634-226137644(-) aaacaatgcag >hg38_chr2:226141081-226141091(+) tcacaatgaaa >hg38_chr2:226151307-226151317(+) gaacaatgttg >hg38_chr2:226159997-226160007(+) AAACAATAGCT >hg38_chr2:226185971-226185981(-) GTACAATGTAA >hg38_chr2:226185994-226186004(+) ACACAATAAAT >hg38_chr2:226190692-226190702(-) ACACAATGTGT >hg38_chr2:226197081-226197091(+) TTACAATAGAC >hg38_chr2:226198855-226198865(+) ggACAATAAGA >hg38_chr2:226203989-226203999(+) agacaatgttc >hg38_chr2:226211439-226211449(+) GGATAATGCGA >hg38_chr2:226226441-226226451(-) aaataatgggt >hg38_chr2:226251039-226251049(-) AGACAAAGGAA >hg38_chr2:226317777-226317787(-) CCACAATGTTC >hg38_chr2:226325747-226325757(-) AAACAATAGGA >hg38_chr2:226346862-226346872(-) gtacaaaggcc >hg38_chr2:226369580-226369590(+) GAACAATAGTG >hg38_chr2:226405155-226405165(+) AGACAATAAGA >hg38_chr2:226426608-226426618(-) tcacaatgtat >hg38_chr2:226428955-226428965(+) CAACAATGCCC >hg38_chr2:226429871-226429881(-) ggacaatgagg >hg38_chr2:226435409-226435419(+) ATACAATGGAG >hg38_chr2:226442204-226442214(+) ccacaatgaca >hg38_chr2:226462520-226462530(+) tcacaatgtct >hg38_chr2:226466820-226466830(+) gtacactggaa >hg38_chr2:226580982-226580992(-) TGACAATAGCT >hg38_chr2:226602649-226602659(+) aAACAATGTCT >hg38_chr2:226602658-226602668(-) AAACAATGCAG >hg38_chr2:226602713-226602723(+) GTACAATGAAT >hg38_chr2:226643852-226643862(-) ACACAATGATG >hg38_chr2:226659658-226659668(+) ACACAATGGTC >hg38_chr2:226671124-226671134(+) agataatggat >hg38_chr2:226671187-226671197(-) GCACAATAGCC >hg38_chr2:226739397-226739407(-) aaacaatggct >hg38_chr2:226740144-226740154(+) CTACAATGCAT >hg38_chr2:226740871-226740881(-) AAACAATGACC >hg38_chr2:226740888-226740898(-) CTACAATATAA >hg38_chr2:226746603-226746613(+) TGACAATGAGG >hg38_chr2:226754384-226754394(+) Aaacaatatag >hg38_chr2:226782174-226782184(+) TCACAATATAA >hg38_chr2:226813360-226813370(+) GGACAATGCCA >hg38_chr2:226869443-226869453(-) TAACAATGCAT >hg38_chr2:226874911-226874921(-) agacaataaaa >hg38_chr2:226874963-226874973(-) atacaatagat >hg38_chr2:226885465-226885475(-) gtacaatattc >hg38_chr2:226888596-226888606(-) ACACAAAGGTC >hg38_chr2:226888762-226888772(-) TAACAATAATG >hg38_chr2:226913787-226913797(-) GTACAATAAGG >hg38_chr2:226977796-226977806(-) GAACAATAGGC >hg38_chr2:226977863-226977873(-) TTACAATGGTA >hg38_chr2:227035900-227035910(-) CAACAATGGCG >hg38_chr2:227113157-227113167(-) ggacaatggtg >hg38_chr2:227114351-227114361(+) ccacaatgtca >hg38_chr2:227158364-227158374(+) taacaatacta >hg38_chr2:227201424-227201434(-) ATACAATGTAA >hg38_chr2:227201436-227201446(-) CGACAGTGGCA >hg38_chr2:227214670-227214680(-) TCACAATGGAC >hg38_chr2:227217464-227217474(+) CCACAATGTTA >hg38_chr2:227239016-227239026(+) ACACAATGTAA >hg38_chr2:227249123-227249133(-) TCACAAAGGAA >hg38_chr2:227267649-227267659(-) taacaatgggc >hg38_chr2:227329221-227329231(-) ATACAAAGGGT >hg38_chr2:227348845-227348855(+) GGATAATGGCC >hg38_chr2:227388899-227388909(-) caacaatgggg >hg38_chr2:227392786-227392796(+) TGACAATGTTT >hg38_chr2:227442703-227442713(-) acacaatggca >hg38_chr2:227491330-227491340(-) TAACAATAAAT >hg38_chr2:227491906-227491916(-) TAATAATGGGT >hg38_chr2:227491918-227491928(-) TAACAATAACT >hg38_chr2:227493090-227493100(+) TAACAATATGT >hg38_chr2:227508234-227508244(+) agacaatagag >hg38_chr2:227520135-227520145(+) ACACAATGAAG >hg38_chr2:227538186-227538196(+) ATACAATGGGt >hg38_chr2:227644116-227644126(+) atacaatgttg >hg38_chr2:227669058-227669068(-) TAACAAAGGTT >hg38_chr2:227671386-227671396(+) TCACAATAGGA >hg38_chr2:227743271-227743281(-) TGACAATGGCT >hg38_chr2:227743331-227743341(-) ACACAATAGCT >hg38_chr2:227765918-227765928(+) GAACAATGGTC >hg38_chr2:227861467-227861477(+) gaacaatggtc >hg38_chr2:227884546-227884556(-) aaacaaaggac >hg38_chr2:227995989-227995999(+) GGACAATGATG >hg38_chr2:228028423-228028433(+) AAACAATAGCC >hg38_chr2:228054097-228054107(+) GTACAAAGGAA >hg38_chr2:228054143-228054153(+) ATACAATAGAA >hg38_chr2:228164621-228164631(-) aaacaatgtga >hg38_chr2:228164691-228164701(-) tgacaatgaga >hg38_chr2:228164938-228164948(-) agacaatgaca >hg38_chr2:228245699-228245709(-) ccacaatagga >hg38_chr2:228254057-228254067(-) ggacaatgaga >hg38_chr2:228262850-228262860(+) gtacaatgagg >hg38_chr2:228262862-228262872(+) taacaatgact >hg38_chr2:228275901-228275911(-) tcacaatagca >hg38_chr2:228298213-228298223(+) aaacaatgtgg >hg38_chr2:228355901-228355911(-) aaacaatagtc >hg38_chr2:228445392-228445402(-) taacaatggca >hg38_chr2:228474798-228474808(-) TTACAATGATC >hg38_chr2:228474828-228474838(+) agacaatgggt >hg38_chr2:228500584-228500594(+) acacaatgctg >hg38_chr2:228515259-228515269(+) TCACAATACGA >hg38_chr2:228515268-228515278(+) GAACAATGTGA >hg38_chr2:228529325-228529335(-) ACACAATAGAG >hg38_chr2:228567034-228567044(+) GGACAATAAAC >hg38_chr2:228702043-228702053(-) GCACAATGTGT >hg38_chr2:228730396-228730406(-) tgacaatagcc >hg38_chr2:228730432-228730442(+) tgacaatgaga >hg38_chr2:228805743-228805753(-) taacaataatc >hg38_chr2:228838594-228838604(+) AAACAATGAAA >hg38_chr2:228844471-228844481(+) GAACAATGAGT >hg38_chr2:228844531-228844541(+) GAACAATGATA >hg38_chr2:228852370-228852380(-) taacaatgcac >hg38_chr2:228853250-228853260(+) gaacaaaggaa >hg38_chr2:228868855-228868865(+) gaactatgggt >hg38_chr2:228892765-228892775(+) tcacaatgaaa >hg38_chr2:228892804-228892814(+) atacaataatg >hg38_chr2:228903884-228903894(+) GCACAATGGGG >hg38_chr2:228927435-228927445(-) aaacaataaac >hg38_chr2:228927446-228927456(-) agacaatggct >hg38_chr2:228966438-228966448(-) GTACAATATTT >hg38_chr2:228966470-228966480(-) tcacaatgtat >hg38_chr2:229048294-229048304(-) GAACAATGGGG >hg38_chr2:229057923-229057933(+) GGACAATGATA >hg38_chr2:229057952-229057962(+) TTACAAAGGAA >hg38_chr2:229071826-229071836(+) AAACAATGACT >hg38_chr2:229072048-229072058(+) AAACAATGAAT >hg38_chr2:229075293-229075303(-) ttacaatggtt >hg38_chr2:229088711-229088721(-) TGACAATGAGA >hg38_chr2:229137859-229137869(-) GAACAATGGGG >hg38_chr2:229168489-229168499(-) aaacaatgaca >hg38_chr2:229168501-229168511(-) taacaatagca >hg38_chr2:229266105-229266115(+) GAACAATAGGG >hg38_chr2:229286127-229286137(+) aaacaatggta >hg38_chr2:229286235-229286245(+) gaacaatatac >hg38_chr2:229327808-229327818(-) ggacaatgctg >hg38_chr2:229395991-229396001(+) taacaatggca >hg38_chr2:229407430-229407440(+) GAACAATGCGA >hg38_chr2:229420397-229420407(-) taacaaaggaa >hg38_chr2:229422853-229422863(+) agacactggac >hg38_chr2:229458467-229458477(+) atacaatagtt >hg38_chr2:229486861-229486871(-) tgacaatgatg >hg38_chr2:229502789-229502799(+) aaacaatggtt >hg38_chr2:229578448-229578458(+) AGACAAAGGAA >hg38_chr2:229601903-229601913(-) GGACAAAGGGA >hg38_chr2:229602934-229602944(+) gaacaatgtgg >hg38_chr2:229685419-229685429(-) GAACAATGACT >hg38_chr2:229699891-229699901(+) AAACAATGAGC >hg38_chr2:229699951-229699961(+) ACACAAAGGAC >hg38_chr2:229699958-229699968(+) GGACAAAGGGC >hg38_chr2:229709456-229709466(+) CTACAATGTGA >hg38_chr2:229745125-229745135(-) ggacaaaggac >hg38_chr2:229760356-229760366(+) AAACAATAACT >hg38_chr2:229768844-229768854(+) TTACAATGTAC >hg38_chr2:229774437-229774447(+) TTACAAAGGAA >hg38_chr2:229805608-229805618(-) TAACAATAATT >hg38_chr2:229805689-229805699(+) GCACAATAGTA >hg38_chr2:229818858-229818868(+) CTACAATAATA >hg38_chr2:229832586-229832596(-) agacaatgcct >hg38_chr2:229841574-229841584(+) GAACAATAAGA >hg38_chr2:229846455-229846465(-) aaacaatgtac >hg38_chr2:229846472-229846482(+) acacaatgcta >hg38_chr2:229850164-229850174(+) AAACAATATAT >hg38_chr2:229850197-229850207(+) AAACAATGTAA >hg38_chr2:229872936-229872946(+) ACACAATAAAC >hg38_chr2:229873487-229873497(+) TCACaatgaca >hg38_chr2:229875806-229875816(+) CTACAATGACA >hg38_chr2:229882822-229882832(+) TAACAATGCTC >hg38_chr2:229892028-229892038(-) TAACAATGTTC >hg38_chr2:229892095-229892105(+) CTACAATGGAG >hg38_chr2:229898360-229898370(+) CAACAATGTAC >hg38_chr2:229903178-229903188(+) acacaatgact >hg38_chr2:229911655-229911665(-) atacaatgtat >hg38_chr2:229919726-229919736(+) AAACAATGATA >hg38_chr2:229974419-229974429(-) ccacaatggcc >hg38_chr2:229980420-229980430(-) GAACAATGCAG >hg38_chr2:230008933-230008943(-) ACATAATGGTT >hg38_chr2:230036872-230036882(-) AAACAAAGGCA >hg38_chr2:230048341-230048351(-) CTACAATGAAT >hg38_chr2:230054199-230054209(+) CAACAATAGGG >hg38_chr2:230138317-230138327(+) CAACAATGATG >hg38_chr2:230180150-230180160(+) AAACAATGAGG >hg38_chr2:230218084-230218094(+) TTATAATGGGA >hg38_chr2:230269483-230269493(-) AGACAATGACC >hg38_chr2:230345182-230345192(-) tgacaatagca >hg38_chr2:230390806-230390816(-) taataatggct >hg38_chr2:230421042-230421052(-) tcataatggta >hg38_chr2:230423362-230423372(-) aaacaatggta >hg38_chr2:230423372-230423382(-) aaacaataata >hg38_chr2:230423384-230423394(-) aaacaaaggaa >hg38_chr2:230471440-230471450(-) GGACAATGAAG >hg38_chr2:230601827-230601837(+) GCATAATGGAG >hg38_chr2:230685342-230685352(-) TCACAGTGGTA >hg38_chr2:230720015-230720025(-) GCACAAAGGTA >hg38_chr2:230788390-230788400(-) caacaatagct >hg38_chr2:230793112-230793122(+) TAACAATAAGT >hg38_chr2:230793121-230793131(+) GTACAATGGCC >hg38_chr2:230793336-230793346(+) GTACAATGTAA >hg38_chr2:230871057-230871067(+) ttacaaagggc >hg38_chr2:230887499-230887509(+) caacaatgact >hg38_chr2:230913114-230913124(-) acacaatggga >hg38_chr2:230915526-230915536(-) TCACAATGGTT >hg38_chr2:230918306-230918316(-) taacaatgcag >hg38_chr2:230919028-230919038(+) ATACAAAGGGA >hg38_chr2:230924512-230924522(+) GAACAATGCAG >hg38_chr2:230961240-230961250(+) acacaatgcct >hg38_chr2:230965729-230965739(+) ccacaatagac >hg38_chr2:230977172-230977182(-) atacaacggtt >hg38_chr2:230977212-230977222(-) atacaatggcc >hg38_chr2:231013984-231013994(-) tgacaATAGGA >hg38_chr2:231070878-231070888(-) AAACAATAAAA >hg38_chr2:231071549-231071559(-) CAACAATAGGG >hg38_chr2:231073504-231073514(-) ctacaatagcc >hg38_chr2:231124237-231124247(+) TGACAATGCAG >hg38_chr2:231125107-231125117(-) ATACAACGTAT >hg38_chr2:231163318-231163328(+) ttacaaaggag >hg38_chr2:231167092-231167102(+) aaacaatgGTA >hg38_chr2:231179958-231179968(-) GAACAAAGGAA >hg38_chr2:231206637-231206647(-) gaacaatgtat >hg38_chr2:231255443-231255453(+) TCACAATGTAT >hg38_chr2:231255499-231255509(-) AAACGATGGGT >hg38_chr2:231297319-231297329(-) aaacaaagggg >hg38_chr2:231297328-231297338(+) ttacaaaggtg >hg38_chr2:231303385-231303395(+) gtacaatgcca >hg38_chr2:231320136-231320146(-) tgacaatgaaa >hg38_chr2:231348057-231348067(+) gaataatggtc >hg38_chr2:231365350-231365360(-) ACACAATGTTC >hg38_chr2:231425960-231425970(-) GGACAAAGGCA >hg38_chr2:231443916-231443926(+) taacaatatta >hg38_chr2:231452870-231452880(-) TAATAATGGGG >hg38_chr2:231458604-231458614(+) GTACACTGGAG >hg38_chr2:231540105-231540115(+) CAATAATGGGA >hg38_chr2:231552953-231552963(-) tcacaatgagg >hg38_chr2:231584247-231584257(+) tgacaaaggga >hg38_chr2:231604418-231604428(+) GAACAAAGGCC >hg38_chr2:231604474-231604484(+) CCACAATGGGG >hg38_chr2:231613453-231613463(+) AAACAATGGGA >hg38_chr2:231614379-231614389(-) GAACAAAGGCG >hg38_chr2:231621144-231621154(-) TGACAAAGGAC >hg38_chr2:231621158-231621168(-) TGACAAAGGAC >hg38_chr2:231656043-231656053(+) TAACAATATCC >hg38_chr2:231666994-231667004(-) AAACAAAGGGA >hg38_chr2:231681240-231681250(-) GAACAAAGGAC >hg38_chr2:231706332-231706342(+) TTACGATGACG >hg38_chr2:231706372-231706382(+) ACACAAAGGAG >hg38_chr2:231708400-231708410(-) GAACAATGGAC >hg38_chr2:231713863-231713873(-) ACATAATGGCA >hg38_chr2:231716836-231716846(-) AAACAATGCAT >hg38_chr2:231745530-231745540(+) GCACAAAGGAA >hg38_chr2:231778812-231778822(+) aaacaatgtat >hg38_chr2:231789153-231789163(-) gaacaatacac >hg38_chr2:231953450-231953460(-) GAACAATCGAG >hg38_chr2:231986558-231986568(-) agacaatgtaa >hg38_chr2:231990227-231990237(-) AAACAATAGAG >hg38_chr2:232009180-232009190(-) agacaatagga >hg38_chr2:232027446-232027456(+) ATACAGTGgct >hg38_chr2:232027878-232027888(-) TGACAATAATA >hg38_chr2:232055741-232055751(+) ttacaatagtt >hg38_chr2:232067650-232067660(-) gaacaatgcaa >hg38_chr2:232102109-232102119(-) agacaatgata >hg38_chr2:232103346-232103356(+) gcacaatgctg >hg38_chr2:232117700-232117710(-) GAACAATACGG >hg38_chr2:232162772-232162782(+) TGACAATGCTT >hg38_chr2:232196628-232196638(-) CAACAATGAAT >hg38_chr2:232196867-232196877(-) TTACAATGGTG >hg38_chr2:232197119-232197129(-) GAACAATGTAT >hg38_chr2:232204608-232204618(-) CAACAATGGTT >hg38_chr2:232225065-232225075(+) ccacaatagct >hg38_chr2:232225091-232225101(+) tgacaatgtta >hg38_chr2:232225337-232225347(+) taacaataaaa >hg38_chr2:232225369-232225379(+) ttacaatggaa >hg38_chr2:232274525-232274535(-) GAACAATGAAA >hg38_chr2:232276991-232277001(+) AAACGATGGTG >hg38_chr2:232282371-232282381(+) AGACAATGCCA >hg38_chr2:232313017-232313027(-) gtacactggaa >hg38_chr2:232471744-232471754(-) tcacaaaggaa >hg38_chr2:232472041-232472051(-) gtacaaaggtt >hg38_chr2:232472594-232472604(-) aaataatggca >hg38_chr2:232606155-232606165(+) atacgatggga >hg38_chr2:232621084-232621094(-) TGACAATGACT >hg38_chr2:232652667-232652677(+) agacaatgcct >hg38_chr2:232700260-232700270(-) ACACAATAGAA >hg38_chr2:232700707-232700717(-) CAACAATGACC >hg38_chr2:232758405-232758415(-) agacaatagtt >hg38_chr2:232761777-232761787(+) GAACAAAGGCC >hg38_chr2:232766046-232766056(-) TAACAATGGAA >hg38_chr2:232766073-232766083(+) GGATAATGGTC >hg38_chr2:232768030-232768040(-) TAACAATACAC >hg38_chr2:232795086-232795096(-) AGACAATGACT >hg38_chr2:232817731-232817741(-) acacaatggaa >hg38_chr2:233067334-233067344(+) gcacaatgctg >hg38_chr2:233067845-233067855(-) gtacaaaggat >hg38_chr2:233139311-233139321(+) TGACAATGGGA >hg38_chr2:233247396-233247406(+) GGACAATAGTT >hg38_chr2:233298827-233298837(-) GAACACTGGAC >hg38_chr2:233329878-233329888(-) CAACAATGTGC >hg38_chr2:233495119-233495129(+) aaacaatggag >hg38_chr2:233495956-233495966(+) aaacaatgtag >hg38_chr2:233501150-233501160(-) atacaatgttt >hg38_chr2:233504548-233504558(+) tgataatggtc >hg38_chr2:233504555-233504565(-) ctacaatgacc >hg38_chr2:233504607-233504617(-) taataatgata >hg38_chr2:233534129-233534139(+) AAACAAAGGCA >hg38_chr2:233544598-233544608(-) tcataatgggc >hg38_chr2:233571284-233571294(+) ctacaatagca >hg38_chr2:233571299-233571309(+) caacaatggaa >hg38_chr2:233707715-233707725(+) gaacaatgtta >hg38_chr2:233752914-233752924(+) TGACACTGGTA >hg38_chr2:233752946-233752956(+) GAACAATGGGA >hg38_chr2:233768329-233768339(+) GGACAATGCAA >hg38_chr2:233796943-233796953(+) CAACAATGAAA >hg38_chr2:234026370-234026380(-) TAACAAAGGAA >hg38_chr2:234032117-234032127(-) GCACAATAGCC >hg38_chr2:234037516-234037526(+) aaacaataaga >hg38_chr2:234037565-234037575(+) aaacaataaac >hg38_chr2:234037574-234037584(+) acacaatgaca >hg38_chr2:234041821-234041831(+) gaacaataaac >hg38_chr2:234044407-234044417(-) gaacaatgcta >hg38_chr2:234044776-234044786(-) gtacaatgtca >hg38_chr2:234044802-234044812(-) atacaatgcag >hg38_chr2:234111598-234111608(-) GAACAATAGCA >hg38_chr2:234137548-234137558(-) GAACAAAGGCA >hg38_chr2:234200171-234200181(+) CAACAATGGAT >hg38_chr2:234200203-234200213(-) AGACAATCGAT >hg38_chr2:234250079-234250089(+) CAACAATGAGA >hg38_chr2:234250098-234250108(+) TAACAAAGGGG >hg38_chr2:234326798-234326808(+) AAACAAAGGCC >hg38_chr2:234406353-234406363(+) ctacaatggaa >hg38_chr2:234433723-234433733(+) taacaatggga >hg38_chr2:234433744-234433754(+) GAACAAAGGCA >hg38_chr2:234451384-234451394(+) TCATAATGGAT >hg38_chr2:234451408-234451418(-) GAACATTGGCG >hg38_chr2:234494456-234494466(-) CAACAATGAGT >hg38_chr2:234494674-234494684(+) CCACAATGAAC >hg38_chr2:234497280-234497290(+) ACACAATGGGC >hg38_chr2:234510032-234510042(-) CTACAATGAAA >hg38_chr2:234558638-234558648(-) gtacaaaggct >hg38_chr2:234566359-234566369(+) GAACAATGACC >hg38_chr2:234581337-234581347(+) AAACAATGATT >hg38_chr2:234623542-234623552(-) GAACAATGAGC >hg38_chr2:234630580-234630590(+) TAACAATAAAC >hg38_chr2:234630616-234630626(+) GGACAATGAAG >hg38_chr2:234631920-234631930(+) CTACAATGTCA >hg38_chr2:234681337-234681347(+) ACACAATGAGC >hg38_chr2:234682497-234682507(+) GCATAATGGAC >hg38_chr2:234682504-234682514(+) GGACAATGACA >hg38_chr2:234685925-234685935(-) tcacaATGATA >hg38_chr2:234686282-234686292(-) agacaatgtat >hg38_chr2:234687326-234687336(-) ATACAATGGAA >hg38_chr2:234692830-234692840(+) GAACAAAGGCC >hg38_chr2:234710780-234710790(-) aaacaaaggct >hg38_chr2:234728328-234728338(+) tcacaatgacA >hg38_chr2:234730555-234730565(+) GTACAATAAAA >hg38_chr2:234792792-234792802(+) GGACAATGGCA >hg38_chr2:234823986-234823996(+) AGACAATAGGG >hg38_chr2:234842604-234842614(+) ACACAAAGGAA >hg38_chr2:234864909-234864919(+) CAACAATGACA >hg38_chr2:234864917-234864927(+) ACACAATGTCC >hg38_chr2:234887344-234887354(+) GAATAATGGAT >hg38_chr2:234898704-234898714(+) GTACAATAGAT >hg38_chr2:234913179-234913189(-) agacaaaggaa >hg38_chr2:234927127-234927137(+) CAACAATGCAT >hg38_chr2:234927139-234927149(+) TTACAATGGAC >hg38_chr2:234943202-234943212(-) agacaatggga >hg38_chr2:234960886-234960896(-) AAACAATGTAA >hg38_chr2:234960907-234960917(-) TGACAATGGCT >hg38_chr2:234960920-234960930(+) GAACAATAGCT >hg38_chr2:234980526-234980536(+) ggacaataggt >hg38_chr2:234993923-234993933(-) AAACAAAGGGT >hg38_chr2:234994682-234994692(+) TCACAATGCCA >hg38_chr2:234994728-234994738(-) CAACAATGAGT >hg38_chr2:234994759-234994769(-) tgacaATAGCT >hg38_chr2:235006217-235006227(-) AGACAAAGGAG >hg38_chr2:235051044-235051054(-) CAACAATGGGA >hg38_chr2:235054628-235054638(+) GAACAATGCCA >hg38_chr2:235063528-235063538(-) GAACAATGTGT >hg38_chr2:235088810-235088820(-) AAACAATATAA >hg38_chr2:235149461-235149471(+) AAACAATAGTT >hg38_chr2:235158034-235158044(-) AGACAATAAGA >hg38_chr2:235181660-235181670(-) TAACAATGGCA >hg38_chr2:235211013-235211023(+) TTACAAAGGAC >hg38_chr2:235211316-235211326(-) atacaatgcag >hg38_chr2:235211861-235211871(+) TAACAATGATC >hg38_chr2:235272060-235272070(+) atacaatagaa >hg38_chr2:235318102-235318112(+) acacaaaggta >hg38_chr2:235338555-235338565(-) taacaatatct >hg38_chr2:235400017-235400027(+) agacaatgggg >hg38_chr2:235406671-235406681(+) AGACAATGCCC >hg38_chr2:235416905-235416915(-) TGACAATGTGC >hg38_chr2:235659038-235659048(-) GAACAATGGAG >hg38_chr2:235666705-235666715(+) CAACAAAGGTA >hg38_chr2:235719371-235719381(-) ATACAATGGAA >hg38_chr2:235754570-235754580(-) TTACAATGTCC >hg38_chr2:235772995-235773005(-) gaacaATAGTA >hg38_chr2:235784874-235784884(+) TAATAATGGAT >hg38_chr2:235784902-235784912(+) TTACAATGACT >hg38_chr2:235844117-235844127(-) AGACAATGGAT >hg38_chr2:235852797-235852807(-) GCACAATGCGG >hg38_chr2:235859054-235859064(-) gtacaaagggt >hg38_chr2:235859749-235859759(-) AGACAATAGTT >hg38_chr2:235883841-235883851(+) GAACAATAATC >hg38_chr2:235913367-235913377(-) acacaatagac >hg38_chr2:235946750-235946760(-) GAACAATGACG >hg38_chr2:235980810-235980820(-) AAACAATGGGA >hg38_chr2:236054279-236054289(+) TCACAATGTAG >hg38_chr2:236061652-236061662(-) ttactatggag >hg38_chr2:236065328-236065338(-) CAACAATAGGG >hg38_chr2:236085867-236085877(+) GGACAATGGCT >hg38_chr2:236087061-236087071(+) AAACAAAGGCC >hg38_chr2:236101307-236101317(+) AAACAATAATA >hg38_chr2:236108262-236108272(-) GAACAATACGT >hg38_chr2:236131535-236131545(+) TCACAATGACT >hg38_chr2:236131560-236131570(-) AAACAATATAA >hg38_chr2:236159608-236159618(-) TGACAATGGCT >hg38_chr2:236169653-236169663(-) TAACAATGCCC >hg38_chr2:236179988-236179998(+) AAACAAAGGGG >hg38_chr2:236198711-236198721(-) tcacaaaggaa >hg38_chr2:236206417-236206427(-) caacAATGGGC >hg38_chr2:236307806-236307816(+) TGACAATAGCT >hg38_chr2:236341832-236341842(-) gaacaaaggct >hg38_chr2:236341861-236341871(+) aaacaatgcct >hg38_chr2:236344218-236344228(+) GAACAATAGGA >hg38_chr2:236369362-236369372(+) GGACAATGGGT >hg38_chr2:236552180-236552190(-) TCACAATGCAC >hg38_chr2:236572761-236572771(+) GCACAATAGAC >hg38_chr2:236575138-236575148(-) GAACAATCGAG >hg38_chr2:236588223-236588233(-) CCACAATGACT >hg38_chr2:236671755-236671765(-) AAACAATAGAT >hg38_chr2:236684711-236684721(+) GGACAATGTGC >hg38_chr2:236691756-236691766(-) aaacaatgctc >hg38_chr2:236691784-236691794(-) caacaatgacc >hg38_chr2:236702284-236702294(-) TAACAATGGCT >hg38_chr2:236715635-236715645(+) TGACAATAGCC >hg38_chr2:236715692-236715702(-) AAACAATGGCC >hg38_chr2:236811302-236811312(-) ttacaaaggtc >hg38_chr2:236826938-236826948(-) cgacaatgggc >hg38_chr2:236828177-236828187(-) taacaaaggac >hg38_chr2:236868169-236868179(+) AGACAAAGGAA >hg38_chr2:236891622-236891632(-) tgacaatgatt >hg38_chr2:236899057-236899067(-) GTACAAAGGCT >hg38_chr2:236916458-236916468(-) CAACAATGGGG >hg38_chr2:236925688-236925698(-) AGACAATATAA >hg38_chr2:236961817-236961827(+) GAACAATAACT >hg38_chr2:236965806-236965816(-) GAACAATGGGC >hg38_chr2:236976370-236976380(+) GAACAATGATC >hg38_chr2:236998415-236998425(+) ACACAATGGGA >hg38_chr2:237019203-237019213(+) acacaatgaca >hg38_chr2:237019209-237019219(+) tgacaataata >hg38_chr2:237037380-237037390(+) CCACAATAGTG >hg38_chr2:237086446-237086456(-) TTACAATGGTC >hg38_chr2:237089429-237089439(+) CTACAATGTAT >hg38_chr2:237092566-237092576(-) CAACAATGGAA >hg38_chr2:237092583-237092593(-) CAACAATGGAA >hg38_chr2:237101350-237101360(+) taacaatggag >hg38_chr2:237105992-237106002(+) gtactatggaa >hg38_chr2:237197090-237197100(+) GTACAATGCTG >hg38_chr2:237202201-237202211(-) TGACAATAGCC >hg38_chr2:237202496-237202506(-) AGATAATGGAA >hg38_chr2:237211033-237211043(-) TCACAATGGCA >hg38_chr2:237255437-237255447(+) TAACAATGCAG >hg38_chr2:237269575-237269585(-) GCACAATGAAA >hg38_chr2:237345023-237345033(-) TCACAATGCAT >hg38_chr2:237390386-237390396(+) CAATAATGGGA >hg38_chr2:237489723-237489733(-) GAACAATGGTG >hg38_chr2:237594970-237594980(+) GAACAATGGGC >hg38_chr2:237614482-237614492(-) taacaaaggta >hg38_chr2:237673273-237673283(+) GGACAATGCGG >hg38_chr2:237719511-237719521(-) TAACAATGAAG >hg38_chr2:237733296-237733306(-) ACACAAAGGGA >hg38_chr2:237777230-237777240(-) caacaatagta >hg38_chr2:237805190-237805200(+) caacaatgaca >hg38_chr2:237805234-237805244(+) ttacaatagtc >hg38_chr2:237823454-237823464(+) TGACAATAGTC >hg38_chr2:237833243-237833253(+) atacaatgttc >hg38_chr2:237833528-237833538(+) ATACAATGTAA >hg38_chr2:237858556-237858566(+) AAACGATGGTC >hg38_chr2:237893199-237893209(-) agacaatggaa >hg38_chr2:238004076-238004086(-) taacaataaac >hg38_chr2:238005746-238005756(-) gaacaatggaa >hg38_chr2:238009618-238009628(+) atACAATAGCT >hg38_chr2:238009628-238009638(-) GCACAATGACA >hg38_chr2:238009636-238009646(-) AGACAAAGGCA >hg38_chr2:238048136-238048146(+) gaacaatgaca >hg38_chr2:238081812-238081822(+) CAACAATGAGA >hg38_chr2:238081824-238081834(-) TGACAATGCCA >hg38_chr2:238081844-238081854(-) AAACAAAGGCC >hg38_chr2:238240988-238240998(-) AGACAAAGGCG >hg38_chr2:238241002-238241012(-) GGACAAAGGGT >hg38_chr2:238243069-238243079(+) GAACAAAGGCA >hg38_chr2:238261367-238261377(+) GTACAATGTCC >hg38_chr2:238290406-238290416(-) GAACAATGGAG >hg38_chr2:238394465-238394475(-) aaacaatgcag >hg38_chr2:238436686-238436696(-) CAACAATGTAG >hg38_chr2:238462964-238462974(-) agacaataaca >hg38_chr2:238468106-238468116(-) GGACAATGAGT >hg38_chr2:238492479-238492489(-) gaacaataaca >hg38_chr2:238494150-238494160(+) GAACAATCGCA >hg38_chr2:238526196-238526206(+) CAACAATGGCT >hg38_chr2:238604389-238604399(+) tgacaatggat >hg38_chr2:238604788-238604798(-) atacaatagag >hg38_chr2:238604810-238604820(-) ctacaatagca >hg38_chr2:238644844-238644854(-) AAACAATGCCA >hg38_chr2:238644870-238644880(+) AGACAAAGGAA >hg38_chr2:238728848-238728858(-) GGATAATGGAC >hg38_chr2:238732646-238732656(-) ttacaatagct >hg38_chr2:238732693-238732703(-) tcactatggaa >hg38_chr2:238751004-238751014(+) agataatggga >hg38_chr2:238751386-238751396(+) taataatgtat >hg38_chr2:238755115-238755125(-) atacaatggaa >hg38_chr2:238756401-238756411(+) CTACAATGATT >hg38_chr2:238757364-238757374(+) GAACAGTGGAG >hg38_chr2:238787847-238787857(+) CAACAATGAAA >hg38_chr2:238821609-238821619(+) GGACAATGTGA >hg38_chr2:238826366-238826376(+) caacaatggta >hg38_chr2:238995336-238995346(-) gtacaatgccc >hg38_chr2:238995395-238995405(+) acacaatgtta >hg38_chr2:238995906-238995916(-) gcacaatgctt >hg38_chr2:239008845-239008855(+) acacaaaggga >hg38_chr2:239021567-239021577(-) GAACAAAGGGA >hg38_chr2:239021597-239021607(-) ACACAAAGGTA >hg38_chr2:239127651-239127661(+) tgacaaaggaa >hg38_chr2:239209872-239209882(+) aaacaaaggat >hg38_chr2:239247738-239247748(+) GAACAATAAAC >hg38_chr2:239267848-239267858(-) GAACAATGGCA >hg38_chr2:239291143-239291153(+) ATACAATAGTC >hg38_chr2:239291893-239291903(-) aaacaaaggtt >hg38_chr2:239291930-239291940(-) AGACAAAGGAG >hg38_chr2:239295576-239295586(+) GAACAATGCAC >hg38_chr2:239296429-239296439(+) CTACAATGGCT >hg38_chr2:239326215-239326225(+) ccacaatacga >hg38_chr2:239344359-239344369(+) CTACAATAGTG >hg38_chr2:239398398-239398408(+) TCACAATGAAG >hg38_chr2:239404898-239404908(+) AAACAATGGTT >hg38_chr2:239414439-239414449(+) tcacaatgaat >hg38_chr2:239440767-239440777(+) CAACAATGAGA >hg38_chr2:239451383-239451393(-) CAACAATGTAT >hg38_chr2:239601567-239601577(-) TGACAAAGGAC >hg38_chr2:239608940-239608950(+) AGACAATGAGT >hg38_chr2:239619124-239619134(-) aaacagtggat >hg38_chr2:239803949-239803959(+) GGACAAAGGGA >hg38_chr2:239831422-239831432(+) TGACAATAGGC >hg38_chr2:239934709-239934719(-) agacaatcgca >hg38_chr2:239959438-239959448(+) CCACAATGGGT >hg38_chr2:240008341-240008351(+) GAACAATAAAT >hg38_chr2:240008350-240008360(-) TCACTATGGAT >hg38_chr2:240023437-240023447(+) agacaatgagt >hg38_chr2:240051981-240051991(+) taacaatgtgc >hg38_chr2:240051989-240051999(-) gtacaatggca >hg38_chr2:240067274-240067284(-) AAACAATGAAG >hg38_chr2:240155198-240155208(-) GAACAATGGAT >hg38_chr2:240194550-240194560(-) CCACAATGGAT >hg38_chr2:240194598-240194608(-) ccacaatggat >hg38_chr2:240221241-240221251(+) ttacaatgttg >hg38_chr2:240251320-240251330(-) ACACAAAGGTC >hg38_chr2:240305720-240305730(+) atacaaaggca >hg38_chr2:240370154-240370164(-) GGACAATGCCC >hg38_chr2:240486232-240486242(-) gtacaaaggaa >hg38_chr2:240487415-240487425(-) acacaatagct >hg38_chr2:240491388-240491398(-) aaacaatgaga >hg38_chr2:240491428-240491438(-) gcacaatgcca >hg38_chr2:240819915-240819925(-) GAACAATGGGG >hg38_chr2:240821532-240821542(+) aaacaataaaa >hg38_chr2:240865322-240865332(+) GAACAATGAGA >hg38_chr2:241006415-241006425(+) gaacaatgatg >hg38_chr2:241006438-241006448(-) aaacaatgcta >hg38_chr2:241035692-241035702(-) CGACAGTGGTG >hg38_chr2:241043786-241043796(-) ttacaatggta >hg38_chr2:241257801-241257811(+) taacagtggtc >hg38_chr2:241257829-241257839(-) tgataatggtt >hg38_chr2:241265039-241265049(+) AAACAATGGTC >hg38_chr2:241310348-241310358(-) gtacaatatat >hg38_chr2:241310379-241310389(+) taataatgtcg >hg38_chr2:241319387-241319397(+) ggataatggat >hg38_chr2:241320047-241320057(-) atacaatattt >hg38_chr2:241320112-241320122(-) gaacaaaggaa >hg38_chr2:241332763-241332773(+) atacaatggaa >hg38_chr2:241393749-241393759(-) CGACAAAGGCC >hg38_chr2:241559941-241559951(+) AAACAATAGAA >hg38_chr20:119295-119305(+) agacaatgcat >hg38_chr20:167934-167944(+) ccacaatggct >hg38_chr20:167972-167982(+) gtacaaaggtt >hg38_chr20:168419-168429(+) taacaatacta >hg38_chr20:168444-168454(+) taacaatagaa >hg38_chr20:205556-205566(+) aaaCAATAGTA >hg38_chr20:205575-205585(-) TTACAATGTTT >hg38_chr20:209190-209200(-) TTACAATAATC >hg38_chr20:211363-211373(+) GAACAAAGGAG >hg38_chr20:211965-211975(+) acacaatggat >hg38_chr20:266971-266981(+) GAACAAAGGCC >hg38_chr20:279478-279488(-) AGACAATGGGG >hg38_chr20:290418-290428(+) GGACAATAGCC >hg38_chr20:291742-291752(-) ctacaatgata >hg38_chr20:400309-400319(-) TCACAATAGGA >hg38_chr20:401877-401887(-) tcacaatgccc >hg38_chr20:481921-481931(+) GTACAATAAAT >hg38_chr20:481932-481942(-) TTACAGTGGAT >hg38_chr20:489317-489327(+) AGACAATGGTA >hg38_chr20:502230-502240(+) ATACAATATCT >hg38_chr20:533905-533915(+) TTACAATATAG >hg38_chr20:533962-533972(+) TCACAATGTGA >hg38_chr20:543900-543910(-) TTACAATAGGA >hg38_chr20:550475-550485(+) atacactggca >hg38_chr20:574743-574753(-) AAACAATGAGA >hg38_chr20:665725-665735(-) GAACAATGGAA >hg38_chr20:665748-665758(+) GAACAATGAAG >hg38_chr20:848396-848406(-) GAACAATAGAG >hg38_chr20:856733-856743(-) GAACAAAGGCG >hg38_chr20:886972-886982(+) ctacaatagtg >hg38_chr20:891079-891089(+) gcacaatgcat >hg38_chr20:1106397-1106407(-) TAACAATGTCT >hg38_chr20:1131718-1131728(-) ACACAATAGGT >hg38_chr20:1132851-1132861(-) aaacaaaggac >hg38_chr20:1132874-1132884(-) taacaatgaac >hg38_chr20:1133199-1133209(-) taacaatatac >hg38_chr20:1133943-1133953(-) ttacaatagat >hg38_chr20:1136663-1136673(+) gtacactggag >hg38_chr20:1152826-1152836(+) ggacaatgcaa >hg38_chr20:1167115-1167125(-) AGACAATGACT >hg38_chr20:1257910-1257920(-) ttactatggac >hg38_chr20:1301374-1301384(-) AGACAATGGGA >hg38_chr20:1301424-1301434(-) TCACAATAGGC >hg38_chr20:1366427-1366437(-) gaacaataatc >hg38_chr20:1373786-1373796(+) GAACAATGGCT >hg38_chr20:1396786-1396796(-) gtacaatagca >hg38_chr20:1466064-1466074(-) gaacaatgcct >hg38_chr20:1470253-1470263(+) AGACAATGGCT >hg38_chr20:1497906-1497916(-) aaacaatgctg >hg38_chr20:1502341-1502351(-) acacaatataa >hg38_chr20:1518908-1518918(-) ggacaatgccc >hg38_chr20:1531649-1531659(-) gaacaataaaa >hg38_chr20:1667906-1667916(-) atacaataaga >hg38_chr20:1740920-1740930(+) GCACAATGATT >hg38_chr20:1751448-1751458(-) ccacaatgggg >hg38_chr20:1754471-1754481(+) ccacaatgaga >hg38_chr20:1757621-1757631(-) AGACAATAGCA >hg38_chr20:1803707-1803717(-) TAACAAAGGCA >hg38_chr20:1811883-1811893(-) AGACAATGGCT >hg38_chr20:1816431-1816441(+) gaacaatgcct >hg38_chr20:1816464-1816474(+) gaacaatgcct >hg38_chr20:1860471-1860481(-) TGACAATGCTG >hg38_chr20:1960768-1960778(+) atacaaaggcc >hg38_chr20:1972148-1972158(-) taacaatgaat >hg38_chr20:2098432-2098442(-) taacaatacaa >hg38_chr20:2114977-2114987(-) GCACAATGAAG >hg38_chr20:2130890-2130900(+) TGACAATAGAG >hg38_chr20:2221603-2221613(+) TTACAATGACT >hg38_chr20:2230531-2230541(-) TGACAATGCCT >hg38_chr20:2231044-2231054(-) AAACAAAGGCT >hg38_chr20:2235053-2235063(+) aaataatggca >hg38_chr20:2245317-2245327(+) agacaaaggat >hg38_chr20:2245749-2245759(-) caacaatggac >hg38_chr20:2296294-2296304(+) GAATAATGGGC >hg38_chr20:2306129-2306139(+) AAACAATGCAT >hg38_chr20:2346561-2346571(+) TTACAATGAAT >hg38_chr20:2390099-2390109(-) agacaatatta >hg38_chr20:2390179-2390189(-) gtacaatgaac >hg38_chr20:2458429-2458439(-) atacaatggaa >hg38_chr20:2458784-2458794(-) atactatgcgt >hg38_chr20:2539456-2539466(+) CCACAATGAAA >hg38_chr20:2539466-2539476(-) ATACAATGGTT >hg38_chr20:2539517-2539527(+) AAACAATGACG >hg38_chr20:2585108-2585118(+) gtacaatgtct >hg38_chr20:2585182-2585192(+) ttacaatggga >hg38_chr20:2705994-2706004(+) TAACAATGGGA >hg38_chr20:2706030-2706040(+) TAACAATGGTG >hg38_chr20:2781895-2781905(+) atacaaagata >hg38_chr20:2800961-2800971(-) agacaataggg >hg38_chr20:2923224-2923234(+) AAACAAAGGTA >hg38_chr20:2947596-2947606(-) TCACAATGCCT >hg38_chr20:3095442-3095452(+) ggacaatgccc >hg38_chr20:3095495-3095505(-) ggacaaaggtg >hg38_chr20:3276449-3276459(-) AGACAATGTGT >hg38_chr20:3276462-3276472(+) GCACAATGAGT >hg38_chr20:3315268-3315278(-) AGACAATGCCA >hg38_chr20:3325931-3325941(+) AAACAATGTGC >hg38_chr20:3326272-3326282(+) GGACAATAGCC >hg38_chr20:3326298-3326308(+) AAATAATGGTT >hg38_chr20:3373552-3373562(-) GTACAATGTAC >hg38_chr20:3406560-3406570(+) AAACAATGCCC >hg38_chr20:3407213-3407223(-) CCACAATGGCT >hg38_chr20:3407253-3407263(-) CCACAATGGCT >hg38_chr20:3408854-3408864(+) CGACAATAGCC >hg38_chr20:3488311-3488321(-) aaacaatgaaa >hg38_chr20:3508419-3508429(-) caacaatgcag >hg38_chr20:3545765-3545775(-) GAACAATGAGG >hg38_chr20:3560423-3560433(-) tgacaatagcc >hg38_chr20:3566049-3566059(-) AGACAATGACA >hg38_chr20:3566063-3566073(-) TAACAAAGGAC >hg38_chr20:3577895-3577905(-) CAACAATGTTC >hg38_chr20:3625329-3625339(-) atacaatggta >hg38_chr20:3661650-3661660(+) GAACAATGAGG >hg38_chr20:3698208-3698218(+) ATACACTGGAC >hg38_chr20:3698215-3698225(+) GGACAAAGGCA >hg38_chr20:3806409-3806419(-) GGACAATGGGG >hg38_chr20:3807796-3807806(-) TTACAATGGGG >hg38_chr20:3811702-3811712(-) GAACAATAGAT >hg38_chr20:3830781-3830791(-) atacaatggaa >hg38_chr20:3874769-3874779(-) tgacaatagac >hg38_chr20:3874790-3874800(-) ggacaatggcc >hg38_chr20:3900087-3900097(-) ATACAATACTA >hg38_chr20:3900122-3900132(+) ATAcagtggag >hg38_chr20:3956240-3956250(+) gtacaatgtta >hg38_chr20:3969232-3969242(+) AGATAATGGAA >hg38_chr20:3973413-3973423(+) aaacaatagag >hg38_chr20:3987280-3987290(+) ACACAAAGGCA >hg38_chr20:3999256-3999266(-) gtacaaaggat >hg38_chr20:4014104-4014114(+) GGACAATGAAA >hg38_chr20:4022841-4022851(+) ggacaaaggtg >hg38_chr20:4026845-4026855(+) AGACAAAGGAT >hg38_chr20:4063600-4063610(+) GAACAATAGAG >hg38_chr20:4218042-4218052(+) AGACAATGGCC >hg38_chr20:4226110-4226120(-) TAACAATGCCC >hg38_chr20:4297556-4297566(+) TGACAATGATT >hg38_chr20:4333350-4333360(-) GGACAATGGAG >hg38_chr20:4333383-4333393(-) ACACAAAGGGA >hg38_chr20:4381066-4381076(-) aaacaatggtg >hg38_chr20:4381092-4381102(-) caataatgggt >hg38_chr20:4382909-4382919(-) gaacaatgtta >hg38_chr20:4432156-4432166(-) TGACAATGTAG >hg38_chr20:4434968-4434978(+) TCACAATGAGC >hg38_chr20:4435005-4435015(+) ATACAAAGCGA >hg38_chr20:4444548-4444558(+) atacaatgcct >hg38_chr20:4510377-4510387(+) GAATAATGGAA >hg38_chr20:4513046-4513056(+) GAACAAAGGCT >hg38_chr20:4520839-4520849(+) gtacaatgaaa >hg38_chr20:4536453-4536463(-) tcacaatgatt >hg38_chr20:4545523-4545533(-) taacaatgcac >hg38_chr20:4546482-4546492(+) aaataatggca >hg38_chr20:4570041-4570051(-) caacaatggag >hg38_chr20:4578653-4578663(-) ctacaatgtgc >hg38_chr20:4596077-4596087(-) acacaatataa >hg38_chr20:4605268-4605278(+) gtacaatgtga >hg38_chr20:4607429-4607439(-) TTACAATGCTG >hg38_chr20:4614085-4614095(+) CGACAATGAGA >hg38_chr20:4638691-4638701(-) GAACAAAGCGA >hg38_chr20:4638706-4638716(+) GAACAATATGA >hg38_chr20:4656597-4656607(+) GAACAATAGAA >hg38_chr20:4657077-4657087(-) CCACAATGTGT >hg38_chr20:4677788-4677798(-) CAACAATGGGA >hg38_chr20:4697678-4697688(+) gtacaaaggag >hg38_chr20:4720224-4720234(-) TTACAAAGGCA >hg38_chr20:4745214-4745224(-) TTACAATGGGG >hg38_chr20:4808045-4808055(-) GAACAATGCTC >hg38_chr20:4822195-4822205(-) AAACAATGATT >hg38_chr20:4822218-4822228(-) GTACAATGGGA >hg38_chr20:4980279-4980289(+) gtacaatgctg >hg38_chr20:5101985-5101995(+) ctacaatgaca >hg38_chr20:5115886-5115896(+) agacaatgact >hg38_chr20:5140242-5140252(-) ttacaatagca >hg38_chr20:5140284-5140294(-) AAacaaaggca >hg38_chr20:5184858-5184868(-) GGACAATGAAC >hg38_chr20:5192522-5192532(+) GCACAATGTTT >hg38_chr20:5196304-5196314(-) ACACAATGAGG >hg38_chr20:5213110-5213120(+) GCACAATGGGG >hg38_chr20:5223068-5223078(-) TTACAATGTTA >hg38_chr20:5223076-5223086(-) TCACAATGTTA >hg38_chr20:5255729-5255739(-) tcataatgggt >hg38_chr20:5255782-5255792(+) atacaatattt >hg38_chr20:5265814-5265824(-) TAACAAAGGCA >hg38_chr20:5270795-5270805(+) ACACAATGGCA >hg38_chr20:5340068-5340078(+) gaacaatgtaa >hg38_chr20:5340105-5340115(-) gtacaatgttc >hg38_chr20:5510654-5510664(-) GTACCATGGAC >hg38_chr20:5547744-5547754(+) TAACAGTGGGA >hg38_chr20:5553424-5553434(+) ttacaatggcc >hg38_chr20:5567155-5567165(-) ATATAATGGTC >hg38_chr20:5567429-5567439(+) GTACAATAGTC >hg38_chr20:5592335-5592345(-) TGACAATAGTT >hg38_chr20:5592356-5592366(+) ACACAATGATC >hg38_chr20:5592369-5592379(+) CCACAATGTAA >hg38_chr20:5642595-5642605(+) GGACAATGCTG >hg38_chr20:5654714-5654724(-) GAATAATGGAT >hg38_chr20:5660634-5660644(+) agacagtggta >hg38_chr20:5714730-5714740(-) TAACAATGTCA >hg38_chr20:5714739-5714749(-) TAACCATGGTA >hg38_chr20:5714761-5714771(+) AGACAATATAC >hg38_chr20:5782047-5782057(-) GAACAATGCAG >hg38_chr20:5800139-5800149(+) atacaatgcac >hg38_chr20:5823030-5823040(-) taacaatagca >hg38_chr20:5873370-5873380(+) agacaatgtgt >hg38_chr20:5910031-5910041(+) atacaatacaa >hg38_chr20:5910036-5910046(+) atacaatacaa >hg38_chr20:5910041-5910051(+) atacaatacaa >hg38_chr20:5910046-5910056(+) atacaatacaa >hg38_chr20:5910051-5910061(+) atacaatacaa >hg38_chr20:5910056-5910066(+) atacaatacaa >hg38_chr20:5910061-5910071(+) atacaatacaa >hg38_chr20:5910066-5910076(+) atacaatacaa >hg38_chr20:5910071-5910081(+) atacaatacaa >hg38_chr20:5910113-5910123(-) gaacaatggat >hg38_chr20:5910671-5910681(-) atacaatagaa >hg38_chr20:5946624-5946634(+) CCACAATGGAT >hg38_chr20:5964012-5964022(-) AAACAATATTA >hg38_chr20:6004827-6004837(+) ACACAATGAGT >hg38_chr20:6102200-6102210(+) AGACAATGCTG >hg38_chr20:6102213-6102223(+) TCACAATGTTC >hg38_chr20:6106569-6106579(+) CGACACTGGGC >hg38_chr20:6178691-6178701(-) GCACAAAGGAC >hg38_chr20:6204384-6204394(-) ttacaatagat >hg38_chr20:6231094-6231104(-) acacaataaat >hg38_chr20:6238157-6238167(-) CTATAATGGGC >hg38_chr20:6267977-6267987(+) TCACAGTGGTA >hg38_chr20:6405042-6405052(+) AAACAATGTTG >hg38_chr20:6432713-6432723(+) agacaatgtgt >hg38_chr20:6434596-6434606(-) ggacaatgata >hg38_chr20:6434643-6434653(+) aaacaatagaa >hg38_chr20:6492306-6492316(+) GGACAAAGGAG >hg38_chr20:6492845-6492855(+) GAACAATGACA >hg38_chr20:6492896-6492906(-) TTACAATGAGG >hg38_chr20:6534117-6534127(+) AAACAATGCGG >hg38_chr20:6534129-6534139(-) CCACAATGTTC >hg38_chr20:6534666-6534676(-) GTACAAAGGTA >hg38_chr20:6541904-6541914(+) AAATAATGGGG >hg38_chr20:6541912-6541922(-) GCACAATGCCC >hg38_chr20:6542322-6542332(-) ATACAATGCAT >hg38_chr20:6561664-6561674(+) AAACAAAGGGA >hg38_chr20:6562157-6562167(-) CTACAATGCCC >hg38_chr20:6576315-6576325(-) ACACAATGGTA >hg38_chr20:6596051-6596061(+) GAACAATACAC >hg38_chr20:6596106-6596116(+) TGACAACGGGA >hg38_chr20:6683178-6683188(-) ACACAAAGGAG >hg38_chr20:6683187-6683197(+) GTACAGTGGAA >hg38_chr20:6708308-6708318(+) gaacaATGTCT >hg38_chr20:6709793-6709803(-) ATACAATAACC >hg38_chr20:6709862-6709872(+) AAACAATGTCA >hg38_chr20:6786688-6786698(-) GAACAAAGGCA >hg38_chr20:6800230-6800240(-) AGACAAAGGAG >hg38_chr20:6814797-6814807(+) AAACTATGGCT >hg38_chr20:6815018-6815028(+) TAACAATGATC >hg38_chr20:6829628-6829638(-) GGACAATGTCT >hg38_chr20:6829648-6829658(-) ACACAAAGGCA >hg38_chr20:6883255-6883265(-) TAACAATAGCC >hg38_chr20:6883286-6883296(-) AAATAATGGGC >hg38_chr20:6921406-6921416(+) TAACAATGGCT >hg38_chr20:6921422-6921432(+) TCACAATAATA >hg38_chr20:6992980-6992990(-) AAACAATGAAA >hg38_chr20:7058229-7058239(+) atacaatggtg >hg38_chr20:7082895-7082905(-) AGACAATGCAG >hg38_chr20:7094568-7094578(-) ccacaatggaa >hg38_chr20:7196570-7196580(-) TTACAATGACT >hg38_chr20:7205052-7205062(+) aaacaatgaac >hg38_chr20:7248712-7248722(+) ATATAATGGTC >hg38_chr20:7248781-7248791(+) GAACAAAGGGA >hg38_chr20:7249607-7249617(-) TAACAATAAAG >hg38_chr20:7361658-7361668(-) TAACAATGGCT >hg38_chr20:7402790-7402800(+) TTACAATgggt >hg38_chr20:7412206-7412216(+) caataatggaa >hg38_chr20:7418128-7418138(+) CTATAATGGTA >hg38_chr20:7596743-7596753(+) caacaatgttg >hg38_chr20:7603424-7603434(+) atataatgata >hg38_chr20:7603459-7603469(-) ttacaatgttt >hg38_chr20:7637272-7637282(+) GGACAATGGAG >hg38_chr20:7672815-7672825(+) TAACAATGAAA >hg38_chr20:7720585-7720595(+) ATACAATTGAA >hg38_chr20:7728596-7728606(+) tcacaatgtct >hg38_chr20:7856402-7856412(+) AAACAAAGGCA >hg38_chr20:7856469-7856479(-) TCACAATGCTG >hg38_chr20:7880093-7880103(-) AAACATTGGCG >hg38_chr20:7896998-7897008(-) taacaaaggaa >hg38_chr20:8002869-8002879(+) ACACAATGCTC >hg38_chr20:8007647-8007657(-) gaacaatagga >hg38_chr20:8008836-8008846(-) GGACAATAAAA >hg38_chr20:8008860-8008870(+) GGACAATGCTT >hg38_chr20:8055648-8055658(-) TGACAATGAAA >hg38_chr20:8059567-8059577(-) GAACAATAATA >hg38_chr20:8094677-8094687(-) tcacaatgaga >hg38_chr20:8125100-8125110(+) CTACAATAGCA >hg38_chr20:8156880-8156890(+) AAACAATGACT >hg38_chr20:8231613-8231623(-) aaacaaaggat >hg38_chr20:8255415-8255425(+) taacaaTGTCA >hg38_chr20:8256472-8256482(+) agacaatggaa >hg38_chr20:8271015-8271025(-) CAACAATGCAT >hg38_chr20:8271087-8271097(-) ACACAATGGCA >hg38_chr20:8272350-8272360(+) CAACAAAGGTA >hg38_chr20:8275768-8275778(-) GGACAATGCAC >hg38_chr20:8281781-8281791(-) GTACAATGTTA >hg38_chr20:8303715-8303725(-) CAACAATGCAC >hg38_chr20:8303741-8303751(-) GAACAATGTGC >hg38_chr20:8307158-8307168(-) TTACAATAAAC >hg38_chr20:8336484-8336494(+) GTACAATAGCA >hg38_chr20:8344908-8344918(+) GCACAATAGAT >hg38_chr20:8347043-8347053(+) AAACTATGGAA >hg38_chr20:8349116-8349126(-) ACACAATGGAG >hg38_chr20:8349154-8349164(+) AGACAATAAAA >hg38_chr20:8415043-8415053(-) TAACAATAAAG >hg38_chr20:8429396-8429406(-) CAACAATGCCA >hg38_chr20:8429406-8429416(-) acacAATAGAC >hg38_chr20:8434097-8434107(+) GCACAATAGGT >hg38_chr20:8443884-8443894(+) tcacaatagca >hg38_chr20:8448074-8448084(+) ATACAATAGTC >hg38_chr20:8491867-8491877(-) taacaaaggac >hg38_chr20:8492342-8492352(-) TAACAATGCAA >hg38_chr20:8522595-8522605(+) GAACAATGAAA >hg38_chr20:8528311-8528321(+) ggacaatggat >hg38_chr20:8531190-8531200(-) TAACAATGTGT >hg38_chr20:8534756-8534766(+) GAATAATGGAG >hg38_chr20:8558527-8558537(+) acataatggtt >hg38_chr20:8572631-8572641(+) gaacaatggac >hg38_chr20:8575840-8575850(-) AAACAAAGGGA >hg38_chr20:8592467-8592477(-) caacaataggt >hg38_chr20:8602669-8602679(-) GAACAATAGCT >hg38_chr20:8615493-8615503(+) CAACAATGCAC >hg38_chr20:8617593-8617603(-) AAACAATAAAT >hg38_chr20:8651673-8651683(+) ggacaatagag >hg38_chr20:8656482-8656492(+) TAACAAAGGCT >hg38_chr20:8667310-8667320(-) CGACAATGCCA >hg38_chr20:8671143-8671153(-) GGACAATGTGT >hg38_chr20:8694355-8694365(+) GAACAATGAGT >hg38_chr20:8694397-8694407(+) GGACAATAAAC >hg38_chr20:8694740-8694750(+) GTACAATATGT >hg38_chr20:8694999-8695009(-) TTACAAAGGTC >hg38_chr20:8695020-8695030(+) TGACAATGTAC >hg38_chr20:8721277-8721287(-) CAACAATGAAG >hg38_chr20:8755805-8755815(+) agacaaaggtt >hg38_chr20:8790524-8790534(+) agacaaaggta >hg38_chr20:8834926-8834936(-) gaacaaaggtt >hg38_chr20:8835643-8835653(+) TGACAATGCAT >hg38_chr20:8863150-8863160(+) TGACAATGGTT >hg38_chr20:8870909-8870919(-) AGACAAAGGTC >hg38_chr20:8875715-8875725(-) CCACAATGGAA >hg38_chr20:8878395-8878405(-) TTACAATGTCG >hg38_chr20:8883981-8883991(+) ACACAATGATG >hg38_chr20:8884001-8884011(-) ATACAATGTTC >hg38_chr20:8914236-8914246(-) ctacaataggc >hg38_chr20:9014920-9014930(+) GAACAAAGGAG >hg38_chr20:9014937-9014947(+) TAACAAAGGGA >hg38_chr20:9021873-9021883(+) TAACAATGCAG >hg38_chr20:9067643-9067653(-) AAACAATAGTC >hg38_chr20:9102989-9102999(-) GAACAAAGGCA >hg38_chr20:9103016-9103026(-) GTACAATGGCA >hg38_chr20:9125845-9125855(+) gcacaatgtga >hg38_chr20:9126649-9126659(-) CAACAATAGGA >hg38_chr20:9135298-9135308(+) AAACAAAGGCA >hg38_chr20:9145225-9145235(-) AAACAAAGGTG >hg38_chr20:9167553-9167563(-) AGACAATGAAT >hg38_chr20:9196284-9196294(+) TGACAATGGAA >hg38_chr20:9205952-9205962(-) caacaatagaa >hg38_chr20:9205985-9205995(-) ttacaaaggtt >hg38_chr20:9211825-9211835(-) TTACAATGGTA >hg38_chr20:9214418-9214428(-) caacaaaggta >hg38_chr20:9227063-9227073(-) gaacaatagca >hg38_chr20:9227667-9227677(-) gaacaatgcaa >hg38_chr20:9270429-9270439(+) atacaatgtgt >hg38_chr20:9270987-9270997(-) CTACAATGAGT >hg38_chr20:9285884-9285894(-) TCACAATAGAC >hg38_chr20:9285899-9285909(+) GAACAATGCCA >hg38_chr20:9299114-9299124(-) GTACAATGGGA >hg38_chr20:9306699-9306709(-) CAACAATGAGT >hg38_chr20:9332233-9332243(+) TGACAATGGGA >hg38_chr20:9332246-9332256(+) TCACAATAGCC >hg38_chr20:9361242-9361252(+) tcacaatggac >hg38_chr20:9367749-9367759(-) TGACAATGACT >hg38_chr20:9408228-9408238(-) TGACAATGTAA >hg38_chr20:9408270-9408280(+) AGACTATGGAT >hg38_chr20:9409431-9409441(-) GGATAATGGTT >hg38_chr20:9422386-9422396(-) AGACAATGGGA >hg38_chr20:9422840-9422850(-) AAACCATGGTA >hg38_chr20:9422913-9422923(-) AGACAATGGAC >hg38_chr20:9452030-9452040(-) GAACAAAGGCT >hg38_chr20:9452846-9452856(+) TAATAATGGCA >hg38_chr20:9514160-9514170(-) AGACAATGCAG >hg38_chr20:9531609-9531619(-) TAACAATGATG >hg38_chr20:9531639-9531649(-) AAACAATAGTA >hg38_chr20:9532192-9532202(-) TGACAATGAGT >hg38_chr20:9532204-9532214(-) GGACAATGGCA >hg38_chr20:9562736-9562746(+) GCATAATGGGC >hg38_chr20:9572856-9572866(+) TTACAAAGGAG >hg38_chr20:9610018-9610028(-) AAACAAAGGCT >hg38_chr20:9610041-9610051(+) ACACAAAGGAA >hg38_chr20:9623749-9623759(+) tgacaaaggat >hg38_chr20:9663411-9663421(-) TGACAATGCTA >hg38_chr20:9808091-9808101(-) gtacaatattc >hg38_chr20:9824967-9824977(-) TTACAATGAAC >hg38_chr20:9845552-9845562(+) acacaatgccg >hg38_chr20:9876740-9876750(+) aaacaatggag >hg38_chr20:9940016-9940026(+) gaacaatacac >hg38_chr20:9940496-9940506(+) ccacaatgcta >hg38_chr20:9978435-9978445(+) GTACAATAAAG >hg38_chr20:9978459-9978469(+) TAACAAAGGTA >hg38_chr20:9985133-9985143(-) gtacaatgaaa >hg38_chr20:10006541-10006551(+) TAACAATGAAA >hg38_chr20:10039792-10039802(-) CAACAATGTGA >hg38_chr20:10137654-10137664(-) AGACAATGCTT >hg38_chr20:10169994-10170004(+) tcacaatggtg >hg38_chr20:10300800-10300810(-) TAACAACGATA >hg38_chr20:10303549-10303559(+) CCACAATAGGT >hg38_chr20:10333191-10333201(-) AAACAATGTAT >hg38_chr20:10353726-10353736(+) tgataatggga >hg38_chr20:10354490-10354500(-) gaacaataaag >hg38_chr20:10355200-10355210(+) AGACAATGGAA >hg38_chr20:10415510-10415520(-) TGACAATAGCT >hg38_chr20:10427502-10427512(+) TTACAATGACA >hg38_chr20:10427508-10427518(+) TGACAATGTTG >hg38_chr20:10430331-10430341(-) gcacaatgaga >hg38_chr20:10430347-10430357(-) acacaatggaa >hg38_chr20:10430358-10430368(+) gtacaatgtga >hg38_chr20:10434444-10434454(+) TGACAATGATT >hg38_chr20:10454961-10454971(-) ccacaatgaga >hg38_chr20:10467009-10467019(+) TGACAATGATT >hg38_chr20:10469701-10469711(-) GAACAATGATG >hg38_chr20:10472836-10472846(+) CAACAATAGTT >hg38_chr20:10473386-10473396(-) GTACAATAAAA >hg38_chr20:10474444-10474454(+) ATACAATGTCT >hg38_chr20:10491419-10491429(-) agacaaaggag >hg38_chr20:10521262-10521272(-) acacaatgaga >hg38_chr20:10524899-10524909(-) agacaatggca >hg38_chr20:10524964-10524974(-) agacaatgata >hg38_chr20:10556171-10556181(-) AAACAATGAGA >hg38_chr20:10559175-10559185(+) GAACAATGCCG >hg38_chr20:10573474-10573484(+) TTACAATGGGC >hg38_chr20:10573498-10573508(-) GCACAATAGGA >hg38_chr20:10604575-10604585(+) GTACAAAGGGA >hg38_chr20:10640498-10640508(+) GGACAAAGGAC >hg38_chr20:10641109-10641119(+) TTACAATGGCC >hg38_chr20:10641127-10641137(-) GAACAATGAAA >hg38_chr20:10655819-10655829(-) GAACAATGCGG >hg38_chr20:10662605-10662615(-) TTACAATGGTA >hg38_chr20:10667052-10667062(+) AAACAAAGGTA >hg38_chr20:10667275-10667285(-) GCACAATGGCC >hg38_chr20:10667430-10667440(-) GGACAATAGAG >hg38_chr20:10715205-10715215(+) atacaatgtgg >hg38_chr20:10722092-10722102(-) ACACAATAGCT >hg38_chr20:10779021-10779031(+) taacaataggc >hg38_chr20:10779037-10779047(-) agacaatgttc >hg38_chr20:10780029-10780039(-) taacaatgacc >hg38_chr20:10780421-10780431(-) AGACAATAGTT >hg38_chr20:10793959-10793969(-) ACACAATGAAA >hg38_chr20:10861943-10861953(-) CCACAATGAAA >hg38_chr20:10869174-10869184(-) gaacaatgaat >hg38_chr20:10905635-10905645(-) ATACAATGTGG >hg38_chr20:10952819-10952829(-) GCACAATGCTG >hg38_chr20:10953672-10953682(+) gaacaatagaa >hg38_chr20:10954525-10954535(+) GCACAAAGGAA >hg38_chr20:10993613-10993623(+) TAACAATGGTT >hg38_chr20:10995003-10995013(+) ACACAATGTCC >hg38_chr20:10995027-10995037(+) ACACAATGTCA >hg38_chr20:11013716-11013726(-) ctacaatgtgt >hg38_chr20:11025651-11025661(-) ACATAATGGTA >hg38_chr20:11066067-11066077(-) CTACAATGTAA >hg38_chr20:11066113-11066123(+) CGACTATGTAA >hg38_chr20:11094471-11094481(+) GTACAATGATG >hg38_chr20:11112557-11112567(+) TTACAAAGGCG >hg38_chr20:11144131-11144141(-) ACACAAAGGTC >hg38_chr20:11153990-11154000(+) GCACAAAGGAA >hg38_chr20:11158391-11158401(-) GAACAATAACC >hg38_chr20:11170267-11170277(-) TAACAATGGGC >hg38_chr20:11179154-11179164(+) GCACAATAGCA >hg38_chr20:11189295-11189305(-) CCACAATGACC >hg38_chr20:11189305-11189315(-) CAACAATAGAC >hg38_chr20:11208043-11208053(-) cgacaatcgac >hg38_chr20:11238560-11238570(-) TCACAATGGTT >hg38_chr20:11247821-11247831(+) AGATAATGGGC >hg38_chr20:11248200-11248210(-) TGACAATGGGG >hg38_chr20:11249146-11249156(-) ACACAATAGCA >hg38_chr20:11266758-11266768(-) AAACAAAGGCA >hg38_chr20:11271424-11271434(-) GGACAATGGGC >hg38_chr20:11289762-11289772(-) ttacactggac >hg38_chr20:11294946-11294956(-) acacaatggat >hg38_chr20:11305602-11305612(-) GCACAATGAAC >hg38_chr20:11349999-11350009(-) gaacaatgccc >hg38_chr20:11351198-11351208(-) GGACAATGGCA >hg38_chr20:11389165-11389175(+) gtacaatggcc >hg38_chr20:11412218-11412228(+) agacaatgaac >hg38_chr20:11412245-11412255(+) agacaatgatg >hg38_chr20:11429257-11429267(-) TGACAAAGGAA >hg38_chr20:11438152-11438162(-) AAACAATAACA >hg38_chr20:11447905-11447915(-) TAACAATGGGC >hg38_chr20:11447917-11447927(+) CGACAATAACA >hg38_chr20:11451778-11451788(+) AAACAATGGGT >hg38_chr20:11455669-11455679(+) AGACAATGGAT >hg38_chr20:11456805-11456815(-) AGACAATGTCA >hg38_chr20:11456873-11456883(-) AAACAATGAAT >hg38_chr20:11467974-11467984(-) taacagtggac >hg38_chr20:11488357-11488367(-) acacaatgcct >hg38_chr20:11490623-11490633(-) ACACAATAAAT >hg38_chr20:11497872-11497882(-) TCACAATGGCA >hg38_chr20:11497917-11497927(+) AAACAATGGGC >hg38_chr20:11520757-11520767(-) CCACAATAGAA >hg38_chr20:11522351-11522361(-) CAACAATAGAT >hg38_chr20:11537505-11537515(-) caacaatagtg >hg38_chr20:11540760-11540770(+) GGACAAAGGGT >hg38_chr20:11551189-11551199(+) tcacaatgcac >hg38_chr20:11551466-11551476(+) CAACAATAGTA >hg38_chr20:11554026-11554036(-) GTACAATATGA >hg38_chr20:11569338-11569348(-) gaacaaaggta >hg38_chr20:11574395-11574405(-) atataatgtac >hg38_chr20:11641229-11641239(-) GAACAAAGGTG >hg38_chr20:11777038-11777048(+) atacaatggaa >hg38_chr20:11792734-11792744(+) GAACAATGTTC >hg38_chr20:11803765-11803775(-) tgacaatgatg >hg38_chr20:11803778-11803788(-) gaataatggcc >hg38_chr20:11806874-11806884(+) taacaatgagc >hg38_chr20:11844981-11844991(-) tgacaatggtt >hg38_chr20:11880316-11880326(+) ggacaatgagt >hg38_chr20:11891754-11891764(-) GGACAATGGGT >hg38_chr20:11892603-11892613(-) ATACAACGGCG >hg38_chr20:11910415-11910425(-) CAACAAAGGAC >hg38_chr20:11912336-11912346(+) CCACAATGGGC >hg38_chr20:11992752-11992762(+) GAACAAAGGAC >hg38_chr20:11994218-11994228(-) gaacactggta >hg38_chr20:11997521-11997531(-) aaacaatgtat >hg38_chr20:12014262-12014272(-) tgataatgggt >hg38_chr20:12058069-12058079(-) CAACAATGCAG >hg38_chr20:12073080-12073090(+) AAACAATGCGC >hg38_chr20:12073132-12073142(-) GCACAAAGGAA >hg38_chr20:12118761-12118771(+) ttacaataaca >hg38_chr20:12118781-12118791(+) atacaataact >hg38_chr20:12118835-12118845(+) gtacaatagtc >hg38_chr20:12172434-12172444(-) agacaatgacc >hg38_chr20:12217596-12217606(+) GAACTATGGAT >hg38_chr20:12305460-12305470(-) TTACAATGTTA >hg38_chr20:12500867-12500877(+) GCACAATGTCC >hg38_chr20:12549806-12549816(-) taacaataaca >hg38_chr20:12554613-12554623(+) TAACAATGGAC >hg38_chr20:12570621-12570631(-) TAACAATGTGA >hg38_chr20:12574268-12574278(-) acacaatgtct >hg38_chr20:12598964-12598974(-) GCACAATGTAT >hg38_chr20:12652760-12652770(-) taacaatgttc >hg38_chr20:12760957-12760967(-) ATACAATGGAA >hg38_chr20:12761297-12761307(+) TTACAATGTTA >hg38_chr20:12798360-12798370(+) TTACAATAGGT >hg38_chr20:12867698-12867708(-) caacaatgggc >hg38_chr20:12867710-12867720(+) caataatggga >hg38_chr20:12915970-12915980(+) GAACAATGGAG >hg38_chr20:12924366-12924376(-) aaataatggga >hg38_chr20:12963082-12963092(-) TTACAATGTTC >hg38_chr20:12966064-12966074(-) gaacaaaggag >hg38_chr20:12967889-12967899(-) atacaataaag >hg38_chr20:12990418-12990428(+) taacaatatct >hg38_chr20:13009870-13009880(-) AAACAATGCTC >hg38_chr20:13013036-13013046(+) GCACAATAGGT >hg38_chr20:13014276-13014286(+) ccacaatagaa >hg38_chr20:13021252-13021262(+) aaacaatggaa >hg38_chr20:13054260-13054270(+) ctataatgggt >hg38_chr20:13059658-13059668(-) CTAcaatgtgc >hg38_chr20:13099949-13099959(+) AAACAATGGGA >hg38_chr20:13109294-13109304(+) GGACAATGGCT >hg38_chr20:13117515-13117525(-) GCACGATGGAA >hg38_chr20:13139245-13139255(+) AGACAATGAAA >hg38_chr20:13139293-13139303(-) ATACTATGGAA >hg38_chr20:13139611-13139621(-) aaacaatggaa >hg38_chr20:13158072-13158082(-) ATACAATATAT >hg38_chr20:13161892-13161902(-) ATACAATGGTT >hg38_chr20:13161897-13161907(-) GTACAATACAA >hg38_chr20:13169395-13169405(+) ctacaatggta >hg38_chr20:13169403-13169413(+) gtacaatacaa >hg38_chr20:13169960-13169970(+) TAACAAAGGCA >hg38_chr20:13172582-13172592(-) TTAcaatagaa >hg38_chr20:13201085-13201095(+) GGACAAAGGGA >hg38_chr20:13237921-13237931(-) TAACAATGGCA >hg38_chr20:13372940-13372950(-) tgacaatgata >hg38_chr20:13372981-13372991(+) taacaatacat >hg38_chr20:13389572-13389582(-) GTATAATGGAA >hg38_chr20:13396113-13396123(-) CCACAATAGAA >hg38_chr20:13396831-13396841(-) TAACAATGAAA >hg38_chr20:13397302-13397312(+) taacaataagt >hg38_chr20:13409300-13409310(-) atacaatagta >hg38_chr20:13417293-13417303(-) TAACAATGCTA >hg38_chr20:13421639-13421649(-) tgataatggta >hg38_chr20:13485776-13485786(-) ACACAAAGGGA >hg38_chr20:13485824-13485834(+) GGACAATAATA >hg38_chr20:13491898-13491908(+) GGACAATAATA >hg38_chr20:13501603-13501613(+) gaacaataaaa >hg38_chr20:13515329-13515339(+) ACACAATGAGG >hg38_chr20:13536216-13536226(+) taacaatattc >hg38_chr20:13536266-13536276(-) GGATAATGGTC >hg38_chr20:13547864-13547874(+) aaaccatggta >hg38_chr20:13547883-13547893(+) atacaatagct >hg38_chr20:13562083-13562093(+) gtacaatgtat >hg38_chr20:13571830-13571840(-) cgacaatgagt >hg38_chr20:13577958-13577968(+) caacaatgcta >hg38_chr20:13591740-13591750(+) taacaatgtat >hg38_chr20:13626959-13626969(+) taacaatggaa >hg38_chr20:13636697-13636707(+) aaacaataacc >hg38_chr20:13649681-13649691(-) CTACAATAGTG >hg38_chr20:13649912-13649922(+) AAACAAAGGTA >hg38_chr20:13684027-13684037(+) ctacagtggta >hg38_chr20:13694595-13694605(+) ACACAATAGCT >hg38_chr20:13698526-13698536(-) AGACACTGGTA >hg38_chr20:13700967-13700977(+) GAACAAAGGGT >hg38_chr20:13739495-13739505(+) TCACAATGTAC >hg38_chr20:13763691-13763701(+) GAACAATAAAA >hg38_chr20:13763984-13763994(+) AAACAATGATA >hg38_chr20:13772350-13772360(+) GAATAATGTAC >hg38_chr20:13791737-13791747(+) TAACAATGTTC >hg38_chr20:13856533-13856543(+) GAACAATGATG >hg38_chr20:13856551-13856561(-) GAATAATGGGA >hg38_chr20:13856558-13856568(-) GAACAATGAAT >hg38_chr20:13856572-13856582(+) GAACAATGATG >hg38_chr20:13874679-13874689(+) TTACAAAGGAG >hg38_chr20:13874724-13874734(+) CCACAATAGCA >hg38_chr20:13894621-13894631(+) agacaatagag >hg38_chr20:13990993-13991003(+) AAACAATGGGC >hg38_chr20:14000962-14000972(-) aaacaaaggag >hg38_chr20:14000971-14000981(-) aaacaatgtaa >hg38_chr20:14001029-14001039(-) tcacaaaggac >hg38_chr20:14039934-14039944(-) CTACAATGTTC >hg38_chr20:14055415-14055425(-) AAACAAAGGCT >hg38_chr20:14062187-14062197(-) AAACAAAGGAC >hg38_chr20:14128258-14128268(+) ATACAAAGGAG >hg38_chr20:14166763-14166773(-) GAACAATGAGA >hg38_chr20:14166795-14166805(-) GGACAATAGGA >hg38_chr20:14188639-14188649(-) AAACAATGTTG >hg38_chr20:14189675-14189685(+) AGACAATGTGT >hg38_chr20:14250545-14250555(-) ACACAATGGCT >hg38_chr20:14259754-14259764(+) atactatggaa >hg38_chr20:14286388-14286398(+) CTACAATGGGT >hg38_chr20:14303826-14303836(+) ATACAGTGGCT >hg38_chr20:14314331-14314341(+) GTACAGTGGGA >hg38_chr20:14314339-14314349(+) GGACAATAGAA >hg38_chr20:14331427-14331437(+) ATACAATAGTG >hg38_chr20:14333975-14333985(-) AGACAATGACA >hg38_chr20:14374344-14374354(+) TAACAATGTGA >hg38_chr20:14374385-14374395(-) TTACAATGCTG >hg38_chr20:14380781-14380791(-) gtataatggag >hg38_chr20:14380798-14380808(-) gtacaataatt >hg38_chr20:14385335-14385345(-) AAACAATAAAA >hg38_chr20:14406580-14406590(-) acacaatGCTA >hg38_chr20:14449281-14449291(-) AAACAATGCCA >hg38_chr20:14489587-14489597(-) TTACAATAGAG >hg38_chr20:14491717-14491727(-) AGACAATATAG >hg38_chr20:14513779-14513789(-) GCACAATATAT >hg38_chr20:14550726-14550736(-) caacaatagaT >hg38_chr20:14550739-14550749(-) ttacaatgatg >hg38_chr20:14565238-14565248(+) gtacaatgtgc >hg38_chr20:14568447-14568457(-) GAACAATAAGA >hg38_chr20:14593935-14593945(-) AGACAAAGGGA >hg38_chr20:14618810-14618820(+) agacaatgaga >hg38_chr20:14635489-14635499(+) TCACAATAGTG >hg38_chr20:14635527-14635537(+) ATACAAAGGTA >hg38_chr20:14679702-14679712(+) ATACAATTGAT >hg38_chr20:14687072-14687082(-) GTAGAATGGTA >hg38_chr20:14687137-14687147(+) CTACAATAATA >hg38_chr20:14696860-14696870(-) GGACAATAGTT >hg38_chr20:14708070-14708080(+) caacaatagtg >hg38_chr20:14711756-14711766(-) GAACAATGCAA >hg38_chr20:14712793-14712803(+) ATACAATAGGA >hg38_chr20:14712839-14712849(+) GGACAATAGGA >hg38_chr20:14721616-14721626(-) GTACAAAGGGT >hg38_chr20:14773718-14773728(-) GTACAATGAAG >hg38_chr20:14774625-14774635(+) AGACAATGGAT >hg38_chr20:14838135-14838145(-) acacaataaac >hg38_chr20:14841630-14841640(-) ATACAATAGAA >hg38_chr20:14841678-14841688(+) AAACAATGTTG >hg38_chr20:14847522-14847532(+) GTACAATGCAA >hg38_chr20:14879652-14879662(-) GAACAATGTAA >hg38_chr20:14883320-14883330(+) CTACAATGTGG >hg38_chr20:14963403-14963413(+) AGACAATGGGA >hg38_chr20:14966805-14966815(-) atacaatggca >hg38_chr20:14979390-14979400(-) ACACAATGGAA >hg38_chr20:14992975-14992985(+) ATACAATAGAA >hg38_chr20:14992998-14993008(-) ATACAATGGAA >hg38_chr20:15003325-15003335(+) aaacaatagca >hg38_chr20:15006763-15006773(+) gtacaatgtct >hg38_chr20:15012773-15012783(-) GAACAATGACT >hg38_chr20:15083099-15083109(+) TAACAATGGCC >hg38_chr20:15083144-15083154(+) TGACAAAGGTC >hg38_chr20:15113303-15113313(+) TAACAATGCCA >hg38_chr20:15113333-15113343(-) ACACAATAAAA >hg38_chr20:15128707-15128717(+) GAACAATGATG >hg38_chr20:15155595-15155605(-) gcacaatggaa >hg38_chr20:15289863-15289873(+) TGACAATGGAG >hg38_chr20:15290502-15290512(+) GTACAATAACT >hg38_chr20:15290573-15290583(-) TTACAATGTTT >hg38_chr20:15304982-15304992(+) taacaatggag >hg38_chr20:15318704-15318714(+) gaacaaaggaa >hg38_chr20:15336208-15336218(-) TTACAATGACT >hg38_chr20:15475561-15475571(-) ACACAATGATG >hg38_chr20:15496014-15496024(-) cgacactggcc >hg38_chr20:15518132-15518142(-) CAACAATGAAC >hg38_chr20:15531958-15531968(-) ACACAATAAAA >hg38_chr20:15627807-15627817(+) ttacaaaggtg >hg38_chr20:15633373-15633383(+) TAACAATGCCA >hg38_chr20:15638102-15638112(-) GAACAATGCAC >hg38_chr20:15654226-15654236(-) TGATAATGGTG >hg38_chr20:15658425-15658435(+) TAACAAAGGCA >hg38_chr20:15658452-15658462(-) AAACAATGAGG >hg38_chr20:15663934-15663944(+) GTATAATGATA >hg38_chr20:15724549-15724559(-) atacaatagaa >hg38_chr20:15739850-15739860(-) GAACAGTGGAT >hg38_chr20:15767415-15767425(+) aaacaatagtt >hg38_chr20:15768174-15768184(+) taacaatgggg >hg38_chr20:15795608-15795618(-) GAATAATGGTC >hg38_chr20:15851885-15851895(-) ggacaatgcac >hg38_chr20:15935246-15935256(+) CCACAATAGGG >hg38_chr20:16006205-16006215(-) CAATAATGGTC >hg38_chr20:16049052-16049062(+) acacaatgagg >hg38_chr20:16065523-16065533(-) gaacaatggca >hg38_chr20:16205704-16205714(+) CAACAATGGAA >hg38_chr20:16215352-16215362(+) CCACAATGGGG >hg38_chr20:16329555-16329565(-) atacaatgtgt >hg38_chr20:16350106-16350116(+) TTACTATGGCA >hg38_chr20:16364316-16364326(-) AGACAATAGCT >hg38_chr20:16364374-16364384(+) TAACAATGCAG >hg38_chr20:16499669-16499679(-) CAACAATGGAT >hg38_chr20:16500632-16500642(-) CGACAAAGGGT >hg38_chr20:16500669-16500679(+) ACACAATGCTG >hg38_chr20:16501157-16501167(+) ttacaatgaaa >hg38_chr20:16574684-16574694(+) gaacaaCGGCA >hg38_chr20:16574811-16574821(-) AAACAAAGGGA >hg38_chr20:16587284-16587294(-) taacaATGTCC >hg38_chr20:16619926-16619936(-) gtacaatggcc >hg38_chr20:16619963-16619973(+) gaacaatacta >hg38_chr20:16620165-16620175(+) gaacaatggct >hg38_chr20:16624103-16624113(+) CAACAATGACC >hg38_chr20:16661781-16661791(+) CAACAATGACT >hg38_chr20:16661855-16661865(+) AAACAGTGGTA >hg38_chr20:16727233-16727243(+) CAACAATAACG >hg38_chr20:16783862-16783872(-) tgacaatgtta >hg38_chr20:16802227-16802237(+) AGACAATAGAG >hg38_chr20:16818822-16818832(-) GGACAGTGGTA >hg38_chr20:16836738-16836748(-) aaataatggtc >hg38_chr20:16836779-16836789(-) tcacaatgaag >hg38_chr20:16855779-16855789(-) gcataatgggt >hg38_chr20:16865253-16865263(+) AGACAAAGGAG >hg38_chr20:16953077-16953087(-) ACATAATGGGT >hg38_chr20:16953091-16953101(-) AGACAATGCTA >hg38_chr20:16953147-16953157(+) TTACAATGCAG >hg38_chr20:16966988-16966998(+) TGATAATGGAC >hg38_chr20:17013152-17013162(+) ctacaatgcac >hg38_chr20:17024743-17024753(-) GCACAATGCCG >hg38_chr20:17026603-17026613(-) GAACAATGGCA >hg38_chr20:17128387-17128397(+) GAACAATGGAC >hg38_chr20:17147885-17147895(+) CAACAATGAGA >hg38_chr20:17171671-17171681(-) GGACAATGGGT >hg38_chr20:17229469-17229479(-) GAACAATGGTT >hg38_chr20:17247911-17247921(-) AAACTATGGCT >hg38_chr20:17248853-17248863(+) TTACAAAGGGG >hg38_chr20:17252438-17252448(+) TAACAATAAAA >hg38_chr20:17266387-17266397(+) gaacaatggga >hg38_chr20:17266408-17266418(-) tcacaatggac >hg38_chr20:17270512-17270522(-) AGATAATGGCA >hg38_chr20:17270530-17270540(-) ACACAATGTTT >hg38_chr20:17291311-17291321(-) acacaatggtg >hg38_chr20:17304895-17304905(-) ACACAATAGGA >hg38_chr20:17318504-17318514(-) ATACAATGCAG >hg38_chr20:17318593-17318603(+) AAACAATAAGT >hg38_chr20:17318794-17318804(-) TGACAATAGAA >hg38_chr20:17418931-17418941(+) TTACAATGCAG >hg38_chr20:17437116-17437126(+) cgacagtggga >hg38_chr20:17438712-17438722(+) tgacgatggaa >hg38_chr20:17445677-17445687(+) ACACCATGGCG >hg38_chr20:17469315-17469325(-) CAACAATAGGG >hg38_chr20:17533578-17533588(-) aaacaaaggcc >hg38_chr20:17560791-17560801(+) GAATAATGGGA >hg38_chr20:17565523-17565533(+) tgataatggca >hg38_chr20:17570798-17570808(-) AAACAAAGGAG >hg38_chr20:17602305-17602315(-) gcacaatagct >hg38_chr20:17606330-17606340(-) CCACAATGCAA >hg38_chr20:17606388-17606398(-) TCACAATGAAA >hg38_chr20:17678434-17678444(+) TTACAATGCAC >hg38_chr20:17710463-17710473(+) tgacaatggcc >hg38_chr20:17909984-17909994(+) AAACAAAGGCA >hg38_chr20:17957592-17957602(+) GTACAATACAT >hg38_chr20:17983912-17983922(-) taacaaaggaa >hg38_chr20:17984166-17984176(-) gaacaatgggg >hg38_chr20:18001831-18001841(+) gaacaatgggc >hg38_chr20:18033165-18033175(-) AAACAATGGTG >hg38_chr20:18101772-18101782(-) tcacaatgttg >hg38_chr20:18120462-18120472(+) acacaatgtgt >hg38_chr20:18155539-18155549(-) caacaatggct >hg38_chr20:18252856-18252866(+) CAACAATAGAA >hg38_chr20:18267889-18267899(-) ttacaaaggcc >hg38_chr20:18299527-18299537(+) aaataatggct >hg38_chr20:18307436-18307446(-) gaacaatggaa >hg38_chr20:18307451-18307461(-) aaacaatggca >hg38_chr20:18323901-18323911(+) gaactatggtg >hg38_chr20:18331439-18331449(-) atacaatagat >hg38_chr20:18394616-18394626(+) TCACAATAGCC >hg38_chr20:18417126-18417136(-) TAACAATGCAT >hg38_chr20:18436849-18436859(+) aaacaatggag >hg38_chr20:18476448-18476458(-) atacaatggaa >hg38_chr20:18507551-18507561(-) GAACGATGACG >hg38_chr20:18507617-18507627(-) ATACAATATGA >hg38_chr20:18558260-18558270(-) aaacaatgaga >hg38_chr20:18585541-18585551(+) taacaaaggcc >hg38_chr20:18585557-18585567(-) GTACAATAAAG >hg38_chr20:18589965-18589975(-) TTACAATGCAA >hg38_chr20:18684044-18684054(-) taacaaaggcc >hg38_chr20:18730513-18730523(-) aaacaataaga >hg38_chr20:18731477-18731487(+) gtataatgaaa >hg38_chr20:18890523-18890533(+) GCATAATGGTG >hg38_chr20:18909378-18909388(-) taacaatgcaa >hg38_chr20:18957538-18957548(+) gaacaatagga >hg38_chr20:19410376-19410386(-) TGACAATGAGG >hg38_chr20:19513029-19513039(+) GAACAATAGGA >hg38_chr20:19530245-19530255(-) TCACAATAGAA >hg38_chr20:19574663-19574673(+) CAACAATGGCA >hg38_chr20:19583935-19583945(-) CCACAATAGTC >hg38_chr20:19606226-19606236(-) GCACACTGGCG >hg38_chr20:19664363-19664373(-) TGACAATGTCA >hg38_chr20:19725462-19725472(-) AGACAATGAAC >hg38_chr20:19735731-19735741(+) CGAGAATGGAA >hg38_chr20:19749243-19749253(+) TAACTATGGAG >hg38_chr20:19774705-19774715(-) GGACAATGGCC >hg38_chr20:19774723-19774733(-) gaacaatggct >hg38_chr20:19784361-19784371(+) TTACAAAGGGT >hg38_chr20:19812785-19812795(-) AGACAATGACT >hg38_chr20:19828590-19828600(-) AGATAAtggag >hg38_chr20:19828612-19828622(-) ACATAATGGTT >hg38_chr20:19835985-19835995(-) GAACAAAGGCA >hg38_chr20:19874519-19874529(-) AGATAATGACG >hg38_chr20:19881836-19881846(-) TTACAATGTTT >hg38_chr20:19914349-19914359(+) TGACAATGACT >hg38_chr20:19914389-19914399(-) GAACAATGCAG >hg38_chr20:19959107-19959117(-) tgacaatagtg >hg38_chr20:19976902-19976912(+) TCACAAAGGAA >hg38_chr20:20021407-20021417(-) agacaacggga >hg38_chr20:20029767-20029777(+) gaacaatgaga >hg38_chr20:20054587-20054597(-) agacaatatcg >hg38_chr20:20054613-20054623(+) ctacaatggca >hg38_chr20:20060140-20060150(+) ccacaatagta >hg38_chr20:20060211-20060221(-) aaacaatgtca >hg38_chr20:20092628-20092638(+) caacaatagaa >hg38_chr20:20092830-20092840(+) ggacaatagca >hg38_chr20:20106521-20106531(+) TCACAATGGTG >hg38_chr20:20156429-20156439(+) acataatggtg >hg38_chr20:20169687-20169697(+) agacaatgcca >hg38_chr20:20169719-20169729(+) gaacaatgtaa >hg38_chr20:20182736-20182746(-) TTACAAAGGAA >hg38_chr20:20217173-20217183(-) GCACAATGATT >hg38_chr20:20221903-20221913(-) tgacaatgtct >hg38_chr20:20225503-20225513(-) ACACAATAGCT >hg38_chr20:20225549-20225559(-) ATACAAAGGCA >hg38_chr20:20260860-20260870(+) CTACAATGCTC >hg38_chr20:20263546-20263556(-) GAACAATGGGT >hg38_chr20:20299758-20299768(+) atataatggtc >hg38_chr20:20331707-20331717(-) GGACAAAGGAG >hg38_chr20:20364425-20364435(+) GAACAAAGGCA >hg38_chr20:20367721-20367731(-) CGACAATGCAC >hg38_chr20:20368053-20368063(+) GAACAATGGCC >hg38_chr20:20372001-20372011(+) TAACAATGAAG >hg38_chr20:20443049-20443059(+) TTACAATAGCT >hg38_chr20:20447156-20447166(-) GGACAATGGAT >hg38_chr20:20452559-20452569(-) GTACAATGTCG >hg38_chr20:20465334-20465344(+) CGACTATGGGA >hg38_chr20:20471122-20471132(+) ACACAATAGCA >hg38_chr20:20492682-20492692(-) GGACAAAGGGT >hg38_chr20:20492714-20492724(-) GGACAATGAGG >hg38_chr20:20493972-20493982(+) CCACAATGCAT >hg38_chr20:20498627-20498637(-) GAACAATGGGG >hg38_chr20:20560408-20560418(+) AAACAATGTTC >hg38_chr20:20560482-20560492(-) TAACAATAAGT >hg38_chr20:20596933-20596943(-) caacaatgcct >hg38_chr20:20597355-20597365(-) TAAGAATGGTA >hg38_chr20:20599023-20599033(+) ggacaatgggc >hg38_chr20:20616054-20616064(-) TTACAATGAAT >hg38_chr20:20616066-20616076(-) TGATAATGGAG >hg38_chr20:20630001-20630011(+) CAACAATGCTA >hg38_chr20:20666722-20666732(-) ATACAATGGCT >hg38_chr20:20667133-20667143(+) AAACAATGCTA >hg38_chr20:20677408-20677418(+) gaacaatgcaa >hg38_chr20:20695451-20695461(+) tcacaatgaga >hg38_chr20:20797449-20797459(+) ATACAATGGAA >hg38_chr20:20822109-20822119(-) gaacaataggt >hg38_chr20:21056097-21056107(+) aaacaatgctg >hg38_chr20:21070211-21070221(-) gcacaataggg >hg38_chr20:21089675-21089685(+) AAACAATGTCC >hg38_chr20:21090264-21090274(-) CGATAATAGAA >hg38_chr20:21090299-21090309(-) CAACAATGCCA >hg38_chr20:21098451-21098461(+) ACACAATGACT >hg38_chr20:21098500-21098510(-) ATACAATGCTT >hg38_chr20:21098509-21098519(-) TTACAATGAAT >hg38_chr20:21111916-21111926(-) GGATAATGGGG >hg38_chr20:21125425-21125435(-) GGACAATGCTC >hg38_chr20:21158284-21158294(+) GTATAATGGAA >hg38_chr20:21158309-21158319(+) ACATAATGGCC >hg38_chr20:21189880-21189890(-) GCACAATGCCA >hg38_chr20:21189932-21189942(+) GCACAATGGAG >hg38_chr20:21190867-21190877(+) AGACAATAGAT >hg38_chr20:21192159-21192169(+) AAACAAAGGTT >hg38_chr20:21202406-21202416(+) AAACAAAGGAA >hg38_chr20:21214633-21214643(+) TGACAATAGTG >hg38_chr20:21216797-21216807(+) ttacaaaggat >hg38_chr20:21233121-21233131(+) ACACAATGGAG >hg38_chr20:21235011-21235021(-) GCACAATGTAT >hg38_chr20:21235554-21235564(-) AGACAATGTTT >hg38_chr20:21289800-21289810(-) GCACAATAGAA >hg38_chr20:21289840-21289850(-) TGACAATGTGG >hg38_chr20:21296538-21296548(-) gaacaatgcca >hg38_chr20:21302787-21302797(-) TAATAATGGCT >hg38_chr20:21308323-21308333(-) ctacaatgact >hg38_chr20:21309792-21309802(-) taacaatatta >hg38_chr20:21310500-21310510(-) gaacaatgtta >hg38_chr20:21319011-21319021(-) caacaatgata >hg38_chr20:21333720-21333730(+) TCACAATGGCC >hg38_chr20:21342636-21342646(+) Aaacaatagtg >hg38_chr20:21345422-21345432(-) ACACAAAGGAG >hg38_chr20:21382529-21382539(-) CTACAATAGGC >hg38_chr20:21397611-21397621(+) AAACAATGGTC >hg38_chr20:21436546-21436556(-) TGACAATGATG >hg38_chr20:21474017-21474027(+) TAACAATGACC >hg38_chr20:21483833-21483843(-) GTACAATATAG >hg38_chr20:21497697-21497707(-) ACACAATGAAA >hg38_chr20:21530104-21530114(-) TAACAATGAAG >hg38_chr20:21540900-21540910(+) AAACAATGACC >hg38_chr20:21544950-21544960(+) gaacaaaggaa >hg38_chr20:21544968-21544978(-) atacagtggaa >hg38_chr20:21554731-21554741(-) AGACAATGAGT >hg38_chr20:21569818-21569828(-) CCACAATGGCA >hg38_chr20:21608113-21608123(-) AAACAATGGGC >hg38_chr20:21608499-21608509(+) CCACAATGGGA >hg38_chr20:21700159-21700169(-) AGACAATGTCA >hg38_chr20:21700177-21700187(+) AGACAAAGGGC >hg38_chr20:21700213-21700223(-) GAATAATGGAC >hg38_chr20:21827088-21827098(-) GCACAATGAGG >hg38_chr20:21948607-21948617(+) TTACAATAGTC >hg38_chr20:22181000-22181010(+) tgataatggta >hg38_chr20:22181039-22181049(-) taacaataaca >hg38_chr20:22253703-22253713(-) tcacaatgaca >hg38_chr20:22375500-22375510(+) CCACAATGGAG >hg38_chr20:22390422-22390432(+) TAACAATAGCC >hg38_chr20:22392714-22392724(+) TGACAATGCAG >hg38_chr20:22406869-22406879(+) GAACAATAGGT >hg38_chr20:22430219-22430229(+) GAACAATCGTT >hg38_chr20:22456243-22456253(-) GAACAAAGGAG >hg38_chr20:22456289-22456299(-) TGACAATGTAG >hg38_chr20:22480809-22480819(+) aaacaaaggca >hg38_chr20:22495389-22495399(-) GAACACTGGAC >hg38_chr20:22567534-22567544(+) TTACAATGTAG >hg38_chr20:22579210-22579220(+) GCACAATGGGC >hg38_chr20:22579262-22579272(-) GGACAATGGAG >hg38_chr20:22620353-22620363(+) TGACAATGTTC >hg38_chr20:22639130-22639140(+) acacaatgttt >hg38_chr20:22663021-22663031(-) GTACAACGTAA >hg38_chr20:22663070-22663080(-) ACACAATGTGA >hg38_chr20:22679347-22679357(+) TGACAATAGCA >hg38_chr20:22722383-22722393(+) GAACAATGAAA >hg38_chr20:22730526-22730536(-) tgataatggag >hg38_chr20:22756941-22756951(+) AGACAATGAGA >hg38_chr20:22760926-22760936(-) GAACAATGCTC >hg38_chr20:22811178-22811188(-) AGACAAAGGGA >hg38_chr20:22811215-22811225(+) GGACAATGCCT >hg38_chr20:22816251-22816261(-) AGACAATGGCA >hg38_chr20:23029829-23029839(-) TAACAATGGTT >hg38_chr20:23055247-23055257(-) GCACAATGCCA >hg38_chr20:23063684-23063694(-) ATACAATGCTT >hg38_chr20:23063706-23063716(+) AGACAATGGTG >hg38_chr20:23063743-23063753(-) CCACAATGGAC >hg38_chr20:23078677-23078687(+) AAATAATGGAT >hg38_chr20:23078730-23078740(-) GAACAAAGGGT >hg38_chr20:23097214-23097224(+) CCACAATGGGA >hg38_chr20:23177610-23177620(-) ATATAATGTAC >hg38_chr20:23352726-23352736(+) GGACAATGTGA >hg38_chr20:23446739-23446749(+) AGACAATGGCT >hg38_chr20:23446758-23446768(-) GTACAATAGGC >hg38_chr20:23529796-23529806(-) tcacaatgaaa >hg38_chr20:23533372-23533382(+) acacaataggg >hg38_chr20:23574551-23574561(-) aaacaatgaaa >hg38_chr20:23609692-23609702(+) TGACAATGCTA >hg38_chr20:23612366-23612376(+) TCACAATGGCC >hg38_chr20:23622868-23622878(+) taacaatagca >hg38_chr20:24055773-24055783(+) GGACAATAAAA >hg38_chr20:24061126-24061136(+) TCACAATGAGC >hg38_chr20:24096836-24096846(-) TAACAATGGAT >hg38_chr20:24128629-24128639(-) gaacaataaca >hg38_chr20:24128641-24128651(-) agacaatgacc >hg38_chr20:24185103-24185113(+) TCACAATGGAC >hg38_chr20:24185128-24185138(+) TCACAATGGAC >hg38_chr20:24213587-24213597(+) caataatggta >hg38_chr20:24235139-24235149(+) CCACAATGAGG >hg38_chr20:24236240-24236250(-) GGACAATGAAA >hg38_chr20:24236247-24236257(-) AGACACTGGAC >hg38_chr20:24239655-24239665(-) atacattggta >hg38_chr20:24239706-24239716(-) Atacactggat >hg38_chr20:24245307-24245317(-) TTACAATGAAT >hg38_chr20:24373726-24373736(+) gaacaatgggg >hg38_chr20:24382875-24382885(-) AAACAATGCTG >hg38_chr20:24383847-24383857(-) GAACAATGCTA >hg38_chr20:24386951-24386961(-) TGACAATGAGG >hg38_chr20:24418742-24418752(+) AAACAATGTGC >hg38_chr20:24497951-24497961(+) TTACACTGGAA >hg38_chr20:24636010-24636020(+) TAACAATGACT >hg38_chr20:24711005-24711015(-) atacaatgaaa >hg38_chr20:24711020-24711030(+) atacaatgttc >hg38_chr20:24717946-24717956(-) aaacaatgaca >hg38_chr20:24717972-24717982(-) aaataatggat >hg38_chr20:24825818-24825828(+) ACACTATGGTC >hg38_chr20:24881897-24881907(+) CTACAATGGGA >hg38_chr20:24884154-24884164(+) acacaaaggat >hg38_chr20:24887280-24887290(+) taacaatggaa >hg38_chr20:24974760-24974770(+) acacaatgaca >hg38_chr20:24975376-24975386(+) taacaatgaac >hg38_chr20:24990913-24990923(+) GAACAATGTTC >hg38_chr20:25032797-25032807(-) AAACAAAGGCC >hg38_chr20:25098048-25098058(+) ggacaatgaaa >hg38_chr20:25098074-25098084(+) ctataatggtg >hg38_chr20:25188077-25188087(+) AGATAATGGAA >hg38_chr20:25188128-25188138(+) TAACAATAACC >hg38_chr20:25202554-25202564(-) ccacaatggaa >hg38_chr20:25351110-25351120(-) tgacaatagac >hg38_chr20:25421791-25421801(-) TGACAATGAGG >hg38_chr20:25424773-25424783(-) taacaatgaag >hg38_chr20:25425030-25425040(-) ttacaatgaat >hg38_chr20:25439130-25439140(+) agataatggag >hg38_chr20:25489695-25489705(-) TGACAATGTGG >hg38_chr20:25549678-25549688(+) GCACAATGCAC >hg38_chr20:25592805-25592815(-) GAACAATGCCC >hg38_chr20:25724181-25724191(-) gtacaaaggtc >hg38_chr20:25740799-25740809(+) ttacaatgtgt >hg38_chr20:25740810-25740820(-) gaacaatgcca >hg38_chr20:25740837-25740847(+) ttactatgggt >hg38_chr20:25971976-25971986(-) agacaatacgg >hg38_chr20:26140808-26140818(+) ATACAATGAGG >hg38_chr20:30610855-30610865(+) GCACAAAGGGA >hg38_chr20:31057823-31057833(+) atataatggaa >hg38_chr20:31274679-31274689(+) CCACAATGTCC >hg38_chr20:31309905-31309915(-) acacaaaggat >hg38_chr20:31321549-31321559(-) ttacaataaat >hg38_chr20:31395431-31395441(-) TTACAAAGGCT >hg38_chr20:31413760-31413770(+) ctacaatgggc >hg38_chr20:31422671-31422681(+) agacaatgtat >hg38_chr20:31495395-31495405(-) caacaatggca >hg38_chr20:31581531-31581541(+) ACACAATGGCC >hg38_chr20:31585881-31585891(-) ttacaataagt >hg38_chr20:31595067-31595077(-) GGACAAAGGGC >hg38_chr20:31603154-31603164(-) ATACAAAGGAA >hg38_chr20:31611760-31611770(-) GTACAATGGCC >hg38_chr20:31611827-31611837(+) ctacaatatac >hg38_chr20:31653093-31653103(-) acacaatgacc >hg38_chr20:31662375-31662385(+) AGACAATGCCT >hg38_chr20:31685841-31685851(+) taacaatggca >hg38_chr20:31712214-31712224(+) AGACAATAGCG >hg38_chr20:31712825-31712835(+) GAACAAAGGAT >hg38_chr20:31716505-31716515(-) aaacaatgttt >hg38_chr20:31719852-31719862(+) AGACAATAGTC >hg38_chr20:31727508-31727518(-) acacaaaggca >hg38_chr20:31790888-31790898(+) atacaatggga >hg38_chr20:31797594-31797604(+) GTACAATGCTG >hg38_chr20:31808662-31808672(+) CAACAATGCCT >hg38_chr20:31838349-31838359(+) GGACAATGGGG >hg38_chr20:31838390-31838400(+) TTACAAAGGAT >hg38_chr20:31862129-31862139(-) GAACAAAGGAT >hg38_chr20:31906108-31906118(-) gaacaATGGCC >hg38_chr20:31911755-31911765(-) TAACAAAGGCT >hg38_chr20:31911773-31911783(+) ACACAACGGCA >hg38_chr20:31935636-31935646(-) GGACAAAGGAG >hg38_chr20:31988047-31988057(+) AGACAATGACT >hg38_chr20:31991052-31991062(-) AGACAAAGGGC >hg38_chr20:32024212-32024222(-) AGACAATGGCT >hg38_chr20:32040380-32040390(+) ATACAGTGGGC >hg38_chr20:32042850-32042860(+) atacaatagaa >hg38_chr20:32090147-32090157(+) AGACAATAGAC >hg38_chr20:32113763-32113773(-) acacaatggtg >hg38_chr20:32127273-32127283(-) TAACaatggca >hg38_chr20:32207723-32207733(-) GCACAATGGCC >hg38_chr20:32207735-32207745(-) CCACAATGCAC >hg38_chr20:32218828-32218838(+) GGACAATGGTG >hg38_chr20:32240454-32240464(+) GAACAATGCCC >hg38_chr20:32295046-32295056(-) caacaatgtaa >hg38_chr20:32302539-32302549(-) AAACAATGGGG >hg38_chr20:32326075-32326085(-) AAACAATGCCA >hg38_chr20:32326118-32326128(+) ATATAATGGGA >hg38_chr20:32326568-32326578(-) AAACAAAGGGT >hg38_chr20:32326635-32326645(-) GTACGATGGGC >hg38_chr20:32402573-32402583(+) taacaatgtat >hg38_chr20:32402645-32402655(-) ttacaatgaac >hg38_chr20:32463713-32463723(+) AAACAATGCCC >hg38_chr20:32466444-32466454(-) AGACAATGCTG >hg38_chr20:32475708-32475718(+) ACACAATGGCC >hg38_chr20:32485320-32485330(-) TCACAATGCAA >hg38_chr20:32528114-32528124(+) CAACAATGGGC >hg38_chr20:32528134-32528144(+) CGACAACGAAA >hg38_chr20:32541663-32541673(-) GAACAAAGGCC >hg38_chr20:32561106-32561116(-) TGACAAAGGTC >hg38_chr20:32627170-32627180(+) TGACAAAGGGA >hg38_chr20:32747870-32747880(+) GTATAATGATA >hg38_chr20:32747889-32747899(-) AGACAATGCAA >hg38_chr20:32751924-32751934(-) GGACAATAGAG >hg38_chr20:32756329-32756339(+) ttacaatgggg >hg38_chr20:32764731-32764741(+) AGACAAAGGGA >hg38_chr20:32779865-32779875(+) AAACAAAGGGG >hg38_chr20:32780968-32780978(-) gaacaatgcag >hg38_chr20:32780980-32780990(+) ccacaatagcc >hg38_chr20:32852750-32852760(-) GAACTATGGTC >hg38_chr20:33096158-33096168(+) TAACAGTGGCA >hg38_chr20:33127772-33127782(+) gaacaaaggga >hg38_chr20:33255238-33255248(+) aaacaacggtg >hg38_chr20:33277595-33277605(-) TCACAATGCTT >hg38_chr20:33352932-33352942(-) TGACAATGAAG >hg38_chr20:33384081-33384091(-) AAACAATGGTT >hg38_chr20:33384120-33384130(+) GTACAATATTC >hg38_chr20:33432055-33432065(+) GAACAATGGTC >hg38_chr20:33475896-33475906(-) AGACAATGGGC >hg38_chr20:33477432-33477442(-) AAACtatggag >hg38_chr20:33561766-33561776(-) ACACAATGCAA >hg38_chr20:33561822-33561832(-) AGACAATGCAG >hg38_chr20:33568600-33568610(-) GAACAATGGCT >hg38_chr20:33570840-33570850(+) CCACAATGAAA >hg38_chr20:33674794-33674804(+) ttacaacgggg >hg38_chr20:33684681-33684691(+) aaacaatggtg >hg38_chr20:33684694-33684704(-) Taacaatagca >hg38_chr20:33684712-33684722(-) CCACAATGCTA >hg38_chr20:33690014-33690024(-) CAACAATGGAC >hg38_chr20:33720379-33720389(+) GGACAATAGCA >hg38_chr20:33726664-33726674(-) CAACAATAGGA >hg38_chr20:33734019-33734029(-) AAACAATGAGG >hg38_chr20:33756198-33756208(+) ggacaatagag >hg38_chr20:33815737-33815747(-) gtacaatggga >hg38_chr20:33832157-33832167(-) TGACAACGGAG >hg38_chr20:33833840-33833850(-) GGACAATGTAA >hg38_chr20:33909742-33909752(+) taacaatggct >hg38_chr20:33914575-33914585(-) acacaatggaa >hg38_chr20:33915830-33915840(-) ggacaatggtc >hg38_chr20:33976380-33976390(-) aaacaatagtc >hg38_chr20:34052484-34052494(-) GAACAATAGTG >hg38_chr20:34052551-34052561(-) TAACAATGCTC >hg38_chr20:34054008-34054018(+) GAACAATGATG >hg38_chr20:34165146-34165156(-) CAACAATGAGA >hg38_chr20:34180997-34181007(-) CAACAATGAGA >hg38_chr20:34181049-34181059(-) AAACAAAGGCA >hg38_chr20:34199998-34200008(-) tgacaatgcaa >hg38_chr20:34200020-34200030(-) taacaatatat >hg38_chr20:34262919-34262929(-) CAACAATGAGA >hg38_chr20:34335007-34335017(+) GAACAATGGTG >hg38_chr20:34382301-34382311(+) TAACAATGCTT >hg38_chr20:34397401-34397411(+) ACACAATGAAA >hg38_chr20:34401042-34401052(-) gaacaatggct >hg38_chr20:34401087-34401097(-) acacaatgaga >hg38_chr20:34447451-34447461(-) CAACAATGGTG >hg38_chr20:34621935-34621945(+) GAACAATGAGT >hg38_chr20:34624815-34624825(+) ATACAATGGCC >hg38_chr20:34680833-34680843(+) atacaatgtaa >hg38_chr20:34713594-34713604(+) AGACAATGGGG >hg38_chr20:34782388-34782398(+) GGACAATAAGA >hg38_chr20:34792206-34792216(-) AGACAATGAAA >hg38_chr20:34806128-34806138(-) ccacaatgaga >hg38_chr20:34806994-34807004(-) acacaaaggca >hg38_chr20:34810486-34810496(-) GTACAATAGCT >hg38_chr20:34952092-34952102(+) acacaatggca >hg38_chr20:34984142-34984152(+) taataatgggc >hg38_chr20:34984197-34984207(+) AAACAATGAAG >hg38_chr20:34984223-34984233(+) TTACAAAGGAG >hg38_chr20:35017295-35017305(-) ttacaaaggcg >hg38_chr20:35021345-35021355(+) ACACAATGGAG >hg38_chr20:35042965-35042975(-) aaacaaagggt >hg38_chr20:35049521-35049531(+) atacaatagca >hg38_chr20:35056322-35056332(+) atacagtggtg >hg38_chr20:35064612-35064622(-) TAACAATAAAT >hg38_chr20:35064668-35064678(+) CAACAATGAAA >hg38_chr20:35069436-35069446(-) AAACAATGTAT >hg38_chr20:35081026-35081036(+) ttacaatgggt >hg38_chr20:35081040-35081050(-) atacaataaaa >hg38_chr20:35096835-35096845(-) GGACAATGCAT >hg38_chr20:35104927-35104937(-) aaacaatgctt >hg38_chr20:35166353-35166363(-) TAACAAAGGAG >hg38_chr20:35193598-35193608(+) TTACAAaggaa >hg38_chr20:35220910-35220920(+) aaacaatgtct >hg38_chr20:35318612-35318622(+) AGACAATGGCA >hg38_chr20:35320848-35320858(+) TGACAAAGGAC >hg38_chr20:35325541-35325551(-) GTACAATGCAA >hg38_chr20:35326117-35326127(+) ctacaatggtg >hg38_chr20:35326152-35326162(+) aaacaatgtga >hg38_chr20:35334417-35334427(-) AAACAATGTTT >hg38_chr20:35351905-35351915(-) ACACAATAGGA >hg38_chr20:35357814-35357824(+) TAACAATAACG >hg38_chr20:35406830-35406840(+) acacaatgttc >hg38_chr20:35447262-35447272(-) gaacaataaga >hg38_chr20:35447307-35447317(+) taacaatgaaa >hg38_chr20:35454749-35454759(-) AAACAAAGGGG >hg38_chr20:35512076-35512086(-) gtacaataaat >hg38_chr20:35649844-35649854(+) AGACAATAAAA >hg38_chr20:35650261-35650271(+) GAACAATGAAA >hg38_chr20:35662854-35662864(+) TAACAATACGT >hg38_chr20:35709291-35709301(+) GAACAATGAAG >hg38_chr20:35709350-35709360(+) CTACAATAGCA >hg38_chr20:35711057-35711067(+) TAACAGTGGAT >hg38_chr20:35750894-35750904(+) GTACAATGAAT >hg38_chr20:35769234-35769244(+) CGACAGTGGCT >hg38_chr20:35769282-35769292(+) AGACAAAGGGA >hg38_chr20:35810414-35810424(-) aaacaaaggcg >hg38_chr20:35855211-35855221(-) AAACAATGCTA >hg38_chr20:36091715-36091725(+) TAACAAAGGAG >hg38_chr20:36097481-36097491(-) CAATAATGGTC >hg38_chr20:36097523-36097533(-) ATACAAAGGCC >hg38_chr20:36115041-36115051(+) Taacaatagct >hg38_chr20:36115088-36115098(-) aaacaatagaa >hg38_chr20:36127297-36127307(-) AGACAAAGGCA >hg38_chr20:36129371-36129381(-) TTACAATGCAA >hg38_chr20:36138806-36138816(-) tcacaatagtt >hg38_chr20:36205106-36205116(-) CCACAATGGCT >hg38_chr20:36238388-36238398(+) TAACAATGATA >hg38_chr20:36253741-36253751(+) caacaatgcaa >hg38_chr20:36274652-36274662(-) TCACAATGCGG >hg38_chr20:36391676-36391686(-) agacaaaggga >hg38_chr20:36439708-36439718(+) GAACAATGGGT >hg38_chr20:36465273-36465283(-) ACACAATGCCT >hg38_chr20:36465304-36465314(-) gaacaaTGGTC >hg38_chr20:36540069-36540079(-) gtacaatgata >hg38_chr20:36592578-36592588(+) CCACAATGGTG >hg38_chr20:36677527-36677537(+) agacactggac >hg38_chr20:36700669-36700679(+) ACACAATGAGC >hg38_chr20:36836839-36836849(-) GCACAATGGGA >hg38_chr20:36941541-36941551(-) tgacaatggaa >hg38_chr20:36941560-36941570(+) tcacaatgctc >hg38_chr20:36941586-36941596(+) acataatggga >hg38_chr20:36943535-36943545(-) agacaaaggAA >hg38_chr20:36947147-36947157(-) GAACAATAGAC >hg38_chr20:36947201-36947211(-) GAACAATAGAC >hg38_chr20:37018160-37018170(-) GGACAATGCAT >hg38_chr20:37018211-37018221(-) ATACAGTGGGT >hg38_chr20:37025059-37025069(+) CCACAATGTAA >hg38_chr20:37120670-37120680(-) AGACAATGCCC >hg38_chr20:37195712-37195722(-) TAACAATGGAA >hg38_chr20:37205472-37205482(-) GTACAAAGACG >hg38_chr20:37205728-37205738(-) GGACAAAGGAA >hg38_chr20:37219341-37219351(-) ggacaatggat >hg38_chr20:37220911-37220921(+) CAACAATGAAA >hg38_chr20:37235332-37235342(-) GCACAATGGCA >hg38_chr20:37241608-37241618(+) TAACAATAGGA >hg38_chr20:37241617-37241627(+) GAACAAAGGCC >hg38_chr20:37246051-37246061(-) GAACAATGGGA >hg38_chr20:37259048-37259058(-) taacaataaga >hg38_chr20:37286145-37286155(-) GCACAATGAAG >hg38_chr20:37295811-37295821(+) GAACAATGGCC >hg38_chr20:37304452-37304462(-) aaacaaaggaa >hg38_chr20:37312611-37312621(+) aaacaataaat >hg38_chr20:37337467-37337477(+) ccacaatgcac >hg38_chr20:37365055-37365065(-) TTACTATGGAC >hg38_chr20:37377205-37377215(-) ACACAATGTTG >hg38_chr20:37412444-37412454(-) GAACAATGAGG >hg38_chr20:37422300-37422310(-) aaaCAATAAAT >hg38_chr20:37482854-37482864(+) TTACAATAGAT >hg38_chr20:37482867-37482877(-) atacaATGAAA >hg38_chr20:37497270-37497280(-) atacaataccg >hg38_chr20:37504190-37504200(+) AAACAATGGAT >hg38_chr20:37518724-37518734(+) TTACAATAAAA >hg38_chr20:37518746-37518756(-) GAATAATGGCA >hg38_chr20:37518755-37518765(-) TTACAATGTGA >hg38_chr20:37537298-37537308(-) ATACAATGGCC >hg38_chr20:37552967-37552977(-) gaacaataacg >hg38_chr20:37572975-37572985(-) GAAcaatggga >hg38_chr20:37712303-37712313(+) GGACAATGCAG >hg38_chr20:37726058-37726068(-) gaacaataacc >hg38_chr20:37730044-37730054(+) AAACAATGTCA >hg38_chr20:37745491-37745501(-) gaacaatgtct >hg38_chr20:37789815-37789825(-) TGACAATATAA >hg38_chr20:37816138-37816148(-) AAACAATGTTC >hg38_chr20:37816159-37816169(+) AAACAAAGGAT >hg38_chr20:37846750-37846760(-) aaacaaaggct >hg38_chr20:37876369-37876379(-) tcacaatgaaa >hg38_chr20:37898577-37898587(-) GAACAATGGCT >hg38_chr20:37909433-37909443(+) GGACAATGCCC >hg38_chr20:37970650-37970660(+) agacaatgAAT >hg38_chr20:37970681-37970691(+) TAATAATGGCA >hg38_chr20:37986941-37986951(-) AAATAATGGGC >hg38_chr20:37991100-37991110(+) GAACAATGGGA >hg38_chr20:38041063-38041073(-) ATACAATATAG >hg38_chr20:38057458-38057468(-) GCACAATGGGC >hg38_chr20:38100844-38100854(+) atacaatgaaa >hg38_chr20:38152423-38152433(+) CTATAATGGCT >hg38_chr20:38186003-38186013(-) caacaatggta >hg38_chr20:38275752-38275762(-) taacaatgcat >hg38_chr20:38275801-38275811(-) acacaatgacg >hg38_chr20:38275815-38275825(-) gtacactgacg >hg38_chr20:38337812-38337822(-) ggacaatgggc >hg38_chr20:38342427-38342437(-) AAACAAAGacg >hg38_chr20:38353395-38353405(-) gaacaatgggg >hg38_chr20:38383250-38383260(+) gaacaatagcc >hg38_chr20:38383318-38383328(+) tcacaatgcac >hg38_chr20:38395506-38395516(-) gcacaatgaca >hg38_chr20:38507842-38507852(-) AAACAATGGGA >hg38_chr20:38518725-38518735(+) TGacaatggct >hg38_chr20:38520352-38520362(-) AGACAATGACA >hg38_chr20:38524861-38524871(-) GAACAAAGGAG >hg38_chr20:38527975-38527985(+) GGACAATGGTT >hg38_chr20:38547490-38547500(-) CGACAATAATa >hg38_chr20:38547543-38547553(-) TTACAAAGGCA >hg38_chr20:38591005-38591015(-) GAACAATGGCC >hg38_chr20:38752807-38752817(+) GGACAATGCCA >hg38_chr20:38779807-38779817(+) AGACAAAGGAG >hg38_chr20:38804770-38804780(-) GAACAATAGGT >hg38_chr20:38870641-38870651(+) aaacagtggga >hg38_chr20:38962761-38962771(+) AGACAATGCTG >hg38_chr20:38964237-38964247(+) gaacaaaggca >hg38_chr20:38971371-38971381(+) aaaCAATGTTG >hg38_chr20:38976263-38976273(-) gcacaaaggaa >hg38_chr20:39018757-39018767(+) ACACAATGGTA >hg38_chr20:39029545-39029555(-) atacaatgggc >hg38_chr20:39210966-39210976(+) GCACAATGGTC >hg38_chr20:39294649-39294659(-) taacaatagca >hg38_chr20:39294942-39294952(-) GTACAATATGT >hg38_chr20:39298320-39298330(+) CAACAATGCCT >hg38_chr20:39312272-39312282(-) GGACAATGTGA >hg38_chr20:39312311-39312321(+) GAACAATGGCT >hg38_chr20:39344279-39344289(-) ttacaatggct >hg38_chr20:39344302-39344312(-) gaacaatgcat >hg38_chr20:39395716-39395726(+) GGACAATGGGG >hg38_chr20:39403747-39403757(-) GCACAATAACG >hg38_chr20:39448945-39448955(-) tgacaatgata >hg38_chr20:39474674-39474684(-) TAACAATAATA >hg38_chr20:39538893-39538903(+) TTACAGTGGAC >hg38_chr20:39588772-39588782(+) ACACAATGTCT >hg38_chr20:39612501-39612511(-) CAACAAAGGAC >hg38_chr20:39612515-39612525(+) ATACAATAATA >hg38_chr20:39612524-39612534(+) TAACAATAAAT >hg38_chr20:39643235-39643245(+) TAACAATGCCC >hg38_chr20:39677276-39677286(+) GGACAAAGGCG >hg38_chr20:39737074-39737084(-) GAACAAAGGGC >hg38_chr20:39795787-39795797(+) GTATAATGTAA >hg38_chr20:39809862-39809872(-) taacaatatca >hg38_chr20:39809889-39809899(-) aaacaataata >hg38_chr20:39812358-39812368(-) tcacaatgacg >hg38_chr20:39871595-39871605(+) ACACAATGAAT >hg38_chr20:39871658-39871668(+) ATACAATGGGT >hg38_chr20:39871668-39871678(+) TAACAAAGGGC >hg38_chr20:39874142-39874152(-) GGACAATGGTG >hg38_chr20:39877577-39877587(+) ACACAATGGGC >hg38_chr20:39877825-39877835(+) AGACAATGACT >hg38_chr20:39999987-39999997(+) tgacaatgata >hg38_chr20:40016324-40016334(+) CAACAATGAtg >hg38_chr20:40016357-40016367(+) acacaatggca >hg38_chr20:40016381-40016391(-) gaacaataaac >hg38_chr20:40173316-40173326(-) tcacaaaggaa >hg38_chr20:40176059-40176069(-) CTACAATATAA >hg38_chr20:40187309-40187319(-) taacaatatag >hg38_chr20:40205568-40205578(-) TTACAATGAGA >hg38_chr20:40238851-40238861(+) tcacaatgaat >hg38_chr20:40241667-40241677(-) AGACAATGAAT >hg38_chr20:40241718-40241728(+) GAACAAAGTCG >hg38_chr20:40275241-40275251(-) TAATAATGGGC >hg38_chr20:40279956-40279966(+) agacaatgaac >hg38_chr20:40317355-40317365(-) TAACAATAATA >hg38_chr20:40317692-40317702(-) GAACAATGGAG >hg38_chr20:40335963-40335973(+) TGATAATGGGC >hg38_chr20:40335999-40336009(+) TGACAATGTGA >hg38_chr20:40377877-40377887(-) taacaatgact >hg38_chr20:40394154-40394164(+) GGACAATGCAC >hg38_chr20:40405649-40405659(+) TAATAATGGGA >hg38_chr20:40415365-40415375(-) GGACAATGGAA >hg38_chr20:40452956-40452966(-) tcacaatgacc >hg38_chr20:40689659-40689669(-) GAACAAAGGGC >hg38_chr20:40717669-40717679(-) GAACAATGCGG >hg38_chr20:40728193-40728203(+) agacaatggga >hg38_chr20:40923877-40923887(+) agacaatagat >hg38_chr20:40927247-40927257(+) atacaatggga >hg38_chr20:40936948-40936958(+) tcacaatgaga >hg38_chr20:40946452-40946462(+) GAACAATGATC >hg38_chr20:40946462-40946472(-) TCACAATAGGG >hg38_chr20:40971128-40971138(+) taacaatggaa >hg38_chr20:40982797-40982807(+) TGACAATGCAT >hg38_chr20:40982841-40982851(+) TGACAATGCAT >hg38_chr20:40994058-40994068(+) acacagtggaa >hg38_chr20:41029584-41029594(-) GAACAATGGGG >hg38_chr20:41029664-41029674(+) GGACAACGGAG >hg38_chr20:41051135-41051145(-) TCACAATATAA >hg38_chr20:41053240-41053250(-) agacaaaggaa >hg38_chr20:41060295-41060305(+) acacaatgtaa >hg38_chr20:41061303-41061313(-) GTACAATGACA >hg38_chr20:41065912-41065922(+) CAACAATGGGT >hg38_chr20:41074477-41074487(-) AAACAATGCCC >hg38_chr20:41092199-41092209(-) CAACAATGAAG >hg38_chr20:41135450-41135460(+) GGACAAAGGGT >hg38_chr20:41137433-41137443(-) GAACAAAGgcg >hg38_chr20:41138679-41138689(-) GGACAAAGGGC >hg38_chr20:41179141-41179151(+) GAACAATGGCC >hg38_chr20:41188273-41188283(-) ccacaatgaga >hg38_chr20:41200998-41201008(+) CAACAATGGTT >hg38_chr20:41201009-41201019(-) ATACAATAGCC >hg38_chr20:41201022-41201032(+) AAACAATGCAA >hg38_chr20:41211682-41211692(+) taataatgtac >hg38_chr20:41211692-41211702(+) ccacaatgaat >hg38_chr20:41216376-41216386(-) taacaatggtt >hg38_chr20:41223334-41223344(+) gcacaatggta >hg38_chr20:41225022-41225032(+) gcacaatgtct >hg38_chr20:41225082-41225092(+) TGATAATGGAG >hg38_chr20:41245525-41245535(+) GAACAAAGGAC >hg38_chr20:41259277-41259287(+) gcacaatatat >hg38_chr20:41259282-41259292(-) gtacaatatat >hg38_chr20:41262596-41262606(-) CAACAATGGCA >hg38_chr20:41292338-41292348(+) TCACAATGAGC >hg38_chr20:41331591-41331601(+) ATACAATGGGC >hg38_chr20:41390512-41390522(-) tgacaatgctg >hg38_chr20:41432664-41432674(+) atacaatgtcc >hg38_chr20:41433520-41433530(+) gaacaaagggg >hg38_chr20:41476676-41476686(+) gcacaatgcaa >hg38_chr20:41482654-41482664(+) TCACAATGACG >hg38_chr20:41495153-41495163(-) acacaatgttt >hg38_chr20:41495174-41495184(+) tcacaatagtc >hg38_chr20:41500136-41500146(+) GCACAATGACC >hg38_chr20:41581820-41581830(-) gcacaatgctg >hg38_chr20:41587699-41587709(+) GTACAAAGGAT >hg38_chr20:41624333-41624343(-) acacaatgaac >hg38_chr20:41624392-41624402(-) agacaatggaa >hg38_chr20:41643681-41643691(-) GAACAAAGGTT >hg38_chr20:41645461-41645471(-) AAACAATGCAC >hg38_chr20:41650681-41650691(-) TAACAATGTAA >hg38_chr20:41737978-41737988(-) acacaatacgc >hg38_chr20:41738320-41738330(-) ccacaatgagg >hg38_chr20:41769868-41769878(-) tcacaaaggat >hg38_chr20:41850248-41850258(+) taacaataatc >hg38_chr20:41882961-41882971(+) TAACAATGGCC >hg38_chr20:42170819-42170829(+) acacaatatta >hg38_chr20:42262916-42262926(+) AGATAATGGGG >hg38_chr20:42272747-42272757(+) aTACAGTGGGG >hg38_chr20:42370613-42370623(+) agacaatgatg >hg38_chr20:43035055-43035065(+) AGACAAAGGAA >hg38_chr20:43058351-43058361(+) gaacaatgaag >hg38_chr20:43132118-43132128(-) tgataatgggc >hg38_chr20:43132127-43132137(-) taacaatggtg >hg38_chr20:43132140-43132150(-) gtacaatgttc >hg38_chr20:43219020-43219030(+) taacagtggtc >hg38_chr20:43299060-43299070(+) gaacaatgttc >hg38_chr20:43391759-43391769(+) gaacaatgtaa >hg38_chr20:43457126-43457136(+) GGACAAAGGAC >hg38_chr20:43457892-43457902(-) ACACAATGGCG >hg38_chr20:43492172-43492182(+) ccacaatggaa >hg38_chr20:43520259-43520269(-) atacaatggaa >hg38_chr20:43676477-43676487(-) acacaatgctg >hg38_chr20:43676530-43676540(-) atacaatggac >hg38_chr20:43728276-43728286(-) ctataatgggc >hg38_chr20:43761502-43761512(-) TCACAATGACT >hg38_chr20:43761528-43761538(-) ACATAATGGTC >hg38_chr20:43761545-43761555(+) TCACAATAGAC >hg38_chr20:43792027-43792037(-) aaacaatggaa >hg38_chr20:43793046-43793056(+) gaacaataaaa >hg38_chr20:43865435-43865445(+) gtacaataatg >hg38_chr20:43894656-43894666(+) CAACAATGGCC >hg38_chr20:43895086-43895096(+) TCACAATAGCC >hg38_chr20:43900634-43900644(+) CTACAATGGAA >hg38_chr20:43904370-43904380(-) TAACAAAGGAG >hg38_chr20:43904428-43904438(+) TAACAAAGGGC >hg38_chr20:43914726-43914736(+) gaACAATAGCG >hg38_chr20:43926976-43926986(+) acacaatgggt >hg38_chr20:43987707-43987717(+) GAACAATGTAT >hg38_chr20:43987752-43987762(+) TCATAATGGAT >hg38_chr20:44204676-44204686(-) gaacaatagac >hg38_chr20:44207665-44207675(-) GCACAATGAAG >hg38_chr20:44209975-44209985(+) AAACAATCGGC >hg38_chr20:44253555-44253565(+) TGACAATGCGG >hg38_chr20:44270819-44270829(+) ccacaatgtct >hg38_chr20:44272556-44272566(-) tcacaatgagg >hg38_chr20:44282941-44282951(+) gaacaatggtt >hg38_chr20:44386711-44386721(+) ggacaatgtac >hg38_chr20:44521684-44521694(+) AAACAATGGCG >hg38_chr20:44579919-44579929(+) AAACAATAGCC >hg38_chr20:44646297-44646307(-) GAACAAAGGAG >hg38_chr20:44648096-44648106(-) TAACAATAGCT >hg38_chr20:44648108-44648118(-) TTACAATAATC >hg38_chr20:44652490-44652500(+) aaacaatgtct >hg38_chr20:44652504-44652514(+) aaacaatgttg >hg38_chr20:44693083-44693093(-) Taacaataaca >hg38_chr20:44706428-44706438(-) TGACAATGAAG >hg38_chr20:44707027-44707037(+) GAACAAAGGAA >hg38_chr20:44762540-44762550(-) AGACAATGATG >hg38_chr20:44762580-44762590(+) AGACAATGGGG >hg38_chr20:44827035-44827045(-) aaacaatgTTA >hg38_chr20:44827415-44827425(-) taacaatgaca >hg38_chr20:44827593-44827603(-) taacaataaaa >hg38_chr20:44832916-44832926(-) GAACAATGAAT >hg38_chr20:44884102-44884112(-) TGACAATATAT >hg38_chr20:44915243-44915253(+) TCACAGTGGTA >hg38_chr20:44970311-44970321(-) TAACAAAGGAA >hg38_chr20:44988992-44989002(-) ccacaatggaa >hg38_chr20:45011245-45011255(-) GCACAATGATC >hg38_chr20:45040164-45040174(-) agacaatgacc >hg38_chr20:45041831-45041841(-) AAACAATGTTT >hg38_chr20:45045641-45045651(+) ACACAATGCAG >hg38_chr20:45051446-45051456(-) TAACAATGAGC >hg38_chr20:45051452-45051462(-) ATACAATAACA >hg38_chr20:45052170-45052180(+) TTACAATGCTA >hg38_chr20:45059536-45059546(+) ggacaaaggga >hg38_chr20:45072841-45072851(+) taacaatagca >hg38_chr20:45128096-45128106(+) taacaatgcac >hg38_chr20:45128125-45128135(+) aaacaatgatc >hg38_chr20:45164002-45164012(+) taataatggca >hg38_chr20:45226513-45226523(-) aaacaatggaa >hg38_chr20:45228845-45228855(-) agacaatggcc >hg38_chr20:45234509-45234519(-) ttacaataaga >hg38_chr20:45248782-45248792(+) aaacaataaga >hg38_chr20:45249427-45249437(+) taacaatgtca >hg38_chr20:45275183-45275193(+) taacaatgtta >hg38_chr20:45275211-45275221(+) cgataatgacc >hg38_chr20:45293342-45293352(+) GCACAATGCGC >hg38_chr20:45319624-45319634(+) tcacaatgcct >hg38_chr20:45379473-45379483(-) aaactatggtc >hg38_chr20:45382313-45382323(-) tcacaatagct >hg38_chr20:45419796-45419806(+) gaacaatagct >hg38_chr20:45470802-45470812(-) GAACAATGTCC >hg38_chr20:45580034-45580044(-) aaacaatgtgg >hg38_chr20:45583437-45583447(+) gaacaataacc >hg38_chr20:45592306-45592316(-) ggacaatagaa >hg38_chr20:45620229-45620239(-) ATACAATATTT >hg38_chr20:45642671-45642681(-) gtacaacgata >hg38_chr20:45643014-45643024(-) ccacaatggaa >hg38_chr20:45701098-45701108(-) gcacaatagat >hg38_chr20:45809722-45809732(-) caacaatagtt >hg38_chr20:45837544-45837554(+) taacactggtt >hg38_chr20:45846422-45846432(+) ttataatggtg >hg38_chr20:45935047-45935057(-) acacaaaggcg >hg38_chr20:45972208-45972218(-) CTACAATAGGC >hg38_chr20:46079544-46079554(+) ctacaatgaca >hg38_chr20:46085408-46085418(+) AGATAATGGAG >hg38_chr20:46089902-46089912(+) GGACAAAGGCG >hg38_chr20:46140216-46140226(+) GAACAAAGGGG >hg38_chr20:46197451-46197461(+) GCACAATGCTT >hg38_chr20:46197695-46197705(-) TAACAAAGGTG >hg38_chr20:46199489-46199499(+) TCACGATGGCG >hg38_chr20:46199546-46199556(+) GGTCAATGGCG >hg38_chr20:46201198-46201208(-) GCACAATGAGC >hg38_chr20:46203672-46203682(-) TGACAATagtt >hg38_chr20:46221063-46221073(-) gtacaatggtc >hg38_chr20:46268221-46268231(+) AAACAATAGCA >hg38_chr20:46341848-46341858(+) AAACAATAAGA >hg38_chr20:46341999-46342009(-) ACACAATGGGC >hg38_chr20:46536828-46536838(-) CAACAATGTCA >hg38_chr20:46583779-46583789(-) CAACAATGGCC >hg38_chr20:46595095-46595105(-) CAACAAAGGAC >hg38_chr20:46622447-46622457(+) AGACAATAAAA >hg38_chr20:46622521-46622531(-) GTACAATAGGC >hg38_chr20:46623173-46623183(+) ATACAATGGTT >hg38_chr20:46626987-46626997(-) ccacaatgaac >hg38_chr20:46631464-46631474(-) GCACAATGGTC >hg38_chr20:46704964-46704974(+) gaacaatgggg >hg38_chr20:46739274-46739284(+) caacaatgcaa >hg38_chr20:46815145-46815155(-) GGACAATGTGG >hg38_chr20:46834457-46834467(+) AGACAATGTTT >hg38_chr20:46834504-46834514(+) AAACAATGTTT >hg38_chr20:46928934-46928944(-) ACACAATGTGC >hg38_chr20:46979448-46979458(+) CAACAATGCAA >hg38_chr20:46979717-46979727(+) CCACAATAGGA >hg38_chr20:46990661-46990671(-) AAACAATAGCT >hg38_chr20:47146921-47146931(+) aaacaatggaa >hg38_chr20:47274313-47274323(-) acacaatgtat >hg38_chr20:47292166-47292176(+) TTACAATACGC >hg38_chr20:47319976-47319986(-) CCACAATGTAA >hg38_chr20:47349931-47349941(-) AAATAATGGTT >hg38_chr20:47368420-47368430(+) GCACAATAGGC >hg38_chr20:47369272-47369282(-) gaacaatggga >hg38_chr20:47423110-47423120(+) GGATAATGGTG >hg38_chr20:47468846-47468856(+) GAATAATGGGG >hg38_chr20:47479854-47479864(-) CAACAATGGAA >hg38_chr20:47479872-47479882(-) AAACAAAGGGA >hg38_chr20:47503749-47503759(-) AAACAATGAAC >hg38_chr20:47503764-47503774(+) GTACAATGAAT >hg38_chr20:47527188-47527198(-) taacaatagag >hg38_chr20:47527214-47527224(-) caacaatgtac >hg38_chr20:47546317-47546327(-) ttacaataact >hg38_chr20:47559176-47559186(-) caacaatgaag >hg38_chr20:47559211-47559221(-) ggacaatgccc >hg38_chr20:47574720-47574730(-) ATACAAAGGAA >hg38_chr20:47574761-47574771(-) ACACAATGCTA >hg38_chr20:47643663-47643673(-) aaacaaaggag >hg38_chr20:47653887-47653897(-) GGACAAAGGGT >hg38_chr20:47654400-47654410(-) ATACAATATCT >hg38_chr20:47725405-47725415(+) atacaatgcaa >hg38_chr20:47757552-47757562(+) GAACAATGGGA >hg38_chr20:47764326-47764336(+) aaacaatgctt >hg38_chr20:47766412-47766422(+) AGACAATAGAA >hg38_chr20:47773630-47773640(-) AGACAAAGGAA >hg38_chr20:47940343-47940353(+) GAACAAAGGCT >hg38_chr20:47940393-47940403(+) GAACAATGATG >hg38_chr20:47940409-47940419(+) AAACAATGATA >hg38_chr20:47964378-47964388(+) AGAcaatgctt >hg38_chr20:47998958-47998968(-) ACACAATGGAT >hg38_chr20:48020164-48020174(-) TAACAATAAAT >hg38_chr20:48020244-48020254(+) AAACAATAGCG >hg38_chr20:48029657-48029667(-) TAACAAAGGAT >hg38_chr20:48051999-48052009(+) TTACAAAGGCA >hg38_chr20:48065007-48065017(+) taacgatggcc >hg38_chr20:48103118-48103128(-) CGACAACGGTG >hg38_chr20:48113168-48113178(-) ACACAATAGCT >hg38_chr20:48140874-48140884(-) GAACAATGACA >hg38_chr20:48140922-48140932(+) AAACAATGGCT >hg38_chr20:48143513-48143523(-) tgacaatgaaa >hg38_chr20:48143570-48143580(-) TAACAATAAAG >hg38_chr20:48155199-48155209(-) AAACAAAGGCC >hg38_chr20:48187028-48187038(-) TAACAATGCGT >hg38_chr20:48246923-48246933(+) GAACAATGAGA >hg38_chr20:48275572-48275582(-) AGATAATGGGc >hg38_chr20:48275586-48275596(+) GAACAATAACT >hg38_chr20:48292382-48292392(-) TTACAAAGGGG >hg38_chr20:48293043-48293053(+) aaacaaaggga >hg38_chr20:48295553-48295563(-) AGACAAAGGGC >hg38_chr20:48299213-48299223(-) AAACAATGGTC >hg38_chr20:48316720-48316730(-) gaacaatagga >hg38_chr20:48335553-48335563(+) ATACACTGGAG >hg38_chr20:48336123-48336133(+) AGACAAAGGCA >hg38_chr20:48349760-48349770(+) aaataatggaa >hg38_chr20:48349834-48349844(+) acacaaagggt >hg38_chr20:48374448-48374458(+) ACACAATAAAC >hg38_chr20:48425486-48425496(-) tcacaatgagc >hg38_chr20:48425500-48425510(-) gaacaatgggg >hg38_chr20:48449060-48449070(-) ACACAATGAAA >hg38_chr20:48456359-48456369(+) TTACAAAGGAT >hg38_chr20:48523294-48523304(-) GAACAAAGGCC >hg38_chr20:48538477-48538487(-) gaacaatgtgt >hg38_chr20:48538722-48538732(+) AAACAATGTGG >hg38_chr20:48621028-48621038(-) GGACAATGGGC >hg38_chr20:48747170-48747180(-) ACACAAAGGAC >hg38_chr20:48747538-48747548(+) TCACAATGAGC >hg38_chr20:48751414-48751424(-) GCACAATAGCG >hg38_chr20:48751427-48751437(+) CAACAATGGGA >hg38_chr20:48763474-48763484(+) GGACAATGAGT >hg38_chr20:48763665-48763675(+) AAACAATGCAC >hg38_chr20:48779283-48779293(+) ttacaatggga >hg38_chr20:48779332-48779342(+) cgacaatacca >hg38_chr20:48784106-48784116(+) tcacaatgaga >hg38_chr20:48790159-48790169(+) ggacaatagga >hg38_chr20:48795016-48795026(-) TAACAATGTCA >hg38_chr20:48797307-48797317(+) GGACAAAGGGC >hg38_chr20:48846657-48846667(-) ACACAATAGAA >hg38_chr20:48846680-48846690(+) AAACAATGCCA >hg38_chr20:48849420-48849430(-) GTACAATGAAG >hg38_chr20:48849443-48849453(-) aaacaataCGG >hg38_chr20:48851070-48851080(-) aaacaaaggag >hg38_chr20:48851081-48851091(-) agacaataggt >hg38_chr20:48897536-48897546(-) ctacaatggaa >hg38_chr20:48925140-48925150(-) TTACAAAGGAC >hg38_chr20:48965160-48965170(+) acataatggat >hg38_chr20:48984961-48984971(-) AGACAATGTAT >hg38_chr20:48984968-48984978(-) GGACAATAGAC >hg38_chr20:48992138-48992148(-) CCACAATAGTC >hg38_chr20:48992193-48992203(-) AGACAATGCCT >hg38_chr20:49000730-49000740(-) GAACAAAGGAT >hg38_chr20:49020193-49020203(-) AAACAATGGGG >hg38_chr20:49046172-49046182(-) TGACAATGCTC >hg38_chr20:49084008-49084018(-) ACACAATAATA >hg38_chr20:49095182-49095192(+) GAACAAAGGAG >hg38_chr20:49144751-49144761(+) ggacaataaaa >hg38_chr20:49144787-49144797(-) atacaatagtg >hg38_chr20:49166519-49166529(-) GTATAATGAAT >hg38_chr20:49174220-49174230(+) GAACAATGTTG >hg38_chr20:49179624-49179634(+) TCACAATGGCT >hg38_chr20:49187376-49187386(+) AAACAATGCCC >hg38_chr20:49219216-49219226(+) AGACAATGGAA >hg38_chr20:49237610-49237620(+) agacaataaat >hg38_chr20:49246622-49246632(+) GAACAATGTAG >hg38_chr20:49260031-49260041(-) ccacaatgaga >hg38_chr20:49268397-49268407(+) TGACAATGGGT >hg38_chr20:49278701-49278711(-) GGACAATAGCG >hg38_chr20:49283015-49283025(+) taacaatcgct >hg38_chr20:49323948-49323958(-) gaacaatgagt >hg38_chr20:49371203-49371213(-) GTACAATAGTG >hg38_chr20:49371249-49371259(-) GAACAATGTTG >hg38_chr20:49371257-49371267(-) GTACAATGGAA >hg38_chr20:49380520-49380530(-) GTACAATAGCT >hg38_chr20:49384711-49384721(+) GCACAAAGGGA >hg38_chr20:49452486-49452496(-) GGACAATGACC >hg38_chr20:49625900-49625910(-) TAACAATGTGT >hg38_chr20:49635153-49635163(-) GAACAATGTGC >hg38_chr20:49657825-49657835(+) AAACAATGACT >hg38_chr20:49657841-49657851(+) GAATAATGGAC >hg38_chr20:49691949-49691959(+) CTACAATGAAT >hg38_chr20:49691964-49691974(-) GGACAAAGGGC >hg38_chr20:49700734-49700744(+) ggacaaaggaa >hg38_chr20:49706914-49706924(-) ATACAATTGAC >hg38_chr20:49733828-49733838(+) aaacaatgtag >hg38_chr20:49733868-49733878(+) aaacaaaggta >hg38_chr20:49768604-49768614(+) aaacaataggg >hg38_chr20:49772443-49772453(+) GAACAATGGGT >hg38_chr20:49817833-49817843(+) CAACAATGAAG >hg38_chr20:49837039-49837049(+) ggacaaaggac >hg38_chr20:49848286-49848296(-) AAACAAAGGGC >hg38_chr20:49848307-49848317(-) ACACAATGATT >hg38_chr20:49867686-49867696(-) agacaaaGGTG >hg38_chr20:49888589-49888599(+) TTACACTGGTA >hg38_chr20:50055396-50055406(+) TAACAATGCCA >hg38_chr20:50086496-50086506(+) AAACAAAGGCA >hg38_chr20:50094520-50094530(+) gtacaatggaa >hg38_chr20:50099026-50099036(-) GAACAATAGTT >hg38_chr20:50113412-50113422(-) TCACAATCGCG >hg38_chr20:50147128-50147138(+) TAACAATGGCT >hg38_chr20:50168582-50168592(-) CTACAATGGTG >hg38_chr20:50201018-50201028(+) GGACAAAGGGA >hg38_chr20:50243241-50243251(-) GAACAATGGGC >hg38_chr20:50267668-50267678(+) GAACAATGGGT >hg38_chr20:50325907-50325917(-) taacaatgaga >hg38_chr20:50330740-50330750(-) GAACAAAGGCT >hg38_chr20:50475912-50475922(-) CGACAATGAGG >hg38_chr20:50513532-50513542(-) TCACAATGAAA >hg38_chr20:50545321-50545331(+) AGACAATAGGA >hg38_chr20:50570707-50570717(-) agacaatgatc >hg38_chr20:50571309-50571319(-) TAACAAAGGAA >hg38_chr20:50655049-50655059(-) CAACAATGGTT >hg38_chr20:50661706-50661716(+) GGACAAAGGGT >hg38_chr20:50670657-50670667(-) ACACAATGCTC >hg38_chr20:50690734-50690744(+) gtacaatgagg >hg38_chr20:50746647-50746657(+) GAACAAAGGTG >hg38_chr20:50761660-50761670(-) AAACAATGGTA >hg38_chr20:50818842-50818852(+) AGACAATGGCC >hg38_chr20:50872991-50873001(-) tcacaatggat >hg38_chr20:50931475-50931485(-) GGACAATGAGT >hg38_chr20:50931704-50931714(+) GGATAATGGCC >hg38_chr20:50956681-50956691(+) GAACAATGACT >hg38_chr20:50957231-50957241(+) caacaatgtta >hg38_chr20:50993239-50993249(+) AGACAATAggc >hg38_chr20:50994286-50994296(-) CAACAATGAAA >hg38_chr20:50994322-50994332(+) Taacaatagtt >hg38_chr20:51033933-51033943(-) agacaaaggac >hg38_chr20:51070946-51070956(-) agacaatggca >hg38_chr20:51078925-51078935(+) gcacaatgttg >hg38_chr20:51084752-51084762(+) GTACAATGAAG >hg38_chr20:51095866-51095876(-) TAACAATGCTA >hg38_chr20:51134487-51134497(-) aaacaatgtct >hg38_chr20:51171597-51171607(+) ACACAATGACT >hg38_chr20:51171609-51171619(-) TCACAATGTGT >hg38_chr20:51182739-51182749(+) ggacaatgcta >hg38_chr20:51182748-51182758(-) taacaatagta >hg38_chr20:51187408-51187418(-) AAACAATATTA >hg38_chr20:51222127-51222137(+) AAACAATAGCT >hg38_chr20:51258794-51258804(+) aaacaaaggaa >hg38_chr20:51264309-51264319(+) TAACTATGGAT >hg38_chr20:51285038-51285048(-) gaacaataggg >hg38_chr20:51286901-51286911(-) TAACAATAAAC >hg38_chr20:51327413-51327423(-) AAACAATGAAG >hg38_chr20:51339580-51339590(+) AAACAATGAGC >hg38_chr20:51339948-51339958(-) TGACAAAGGAA >hg38_chr20:51361105-51361115(+) aaacaatgctg >hg38_chr20:51378399-51378409(+) gcacaatggct >hg38_chr20:51385230-51385240(-) GAACAGTGGTT >hg38_chr20:51385249-51385259(-) GTACAAAGGAG >hg38_chr20:51420082-51420092(-) aaataatgggt >hg38_chr20:51446952-51446962(-) AAACAATAGAC >hg38_chr20:51600199-51600209(-) GCATAATGGAA >hg38_chr20:51611606-51611616(+) GAACAATGCCT >hg38_chr20:51615303-51615313(-) caacaatggaa >hg38_chr20:51639485-51639495(-) GTACAGTGGAC >hg38_chr20:51679785-51679795(-) gaacaaagggg >hg38_chr20:51697017-51697027(+) GCACAATGGTT >hg38_chr20:51710680-51710690(+) TAACAATGGAC >hg38_chr20:51761162-51761172(-) GCACAATGGCC >hg38_chr20:51781451-51781461(-) TAACAATGATG >hg38_chr20:51802666-51802676(+) TGACAAAGGCG >hg38_chr20:51847500-51847510(+) gcacaatgtag >hg38_chr20:51848528-51848538(+) AAACAAAGGAC >hg38_chr20:51848577-51848587(+) ATACAATAGGA >hg38_chr20:51862917-51862927(+) agacaatgaag >hg38_chr20:51949381-51949391(-) GGACAACGGAG >hg38_chr20:51958054-51958064(+) GAACAAAGGCA >hg38_chr20:51994966-51994976(+) gaacaataggc >hg38_chr20:52021123-52021133(+) GGACAATGGCC >hg38_chr20:52021140-52021150(+) TGACAATAGCC >hg38_chr20:52021194-52021204(+) GAACACTGGAA >hg38_chr20:52083137-52083147(+) TAACAATAGCA >hg38_chr20:52083151-52083161(+) GTACAATAGCA >hg38_chr20:52093641-52093651(-) ctacaatgagt >hg38_chr20:52190985-52190995(+) aaacaatgCAC >hg38_chr20:52191304-52191314(-) AGACAATCGCC >hg38_chr20:52192543-52192553(-) AGACAATAGGG >hg38_chr20:52217952-52217962(-) aaacaaaggag >hg38_chr20:52229828-52229838(+) tcacaatgtgg >hg38_chr20:52242939-52242949(-) AAACAATGTCT >hg38_chr20:52301968-52301978(-) gaacaaagGTA >hg38_chr20:52363724-52363734(+) GAACAATGAAC >hg38_chr20:52405124-52405134(-) agacaatggag >hg38_chr20:52426844-52426854(-) ccacaatgtct >hg38_chr20:52484340-52484350(-) CTACAATAGGT >hg38_chr20:52493429-52493439(-) GAACAATGCCG >hg38_chr20:52500357-52500367(-) AGACAAAGGTC >hg38_chr20:52509299-52509309(+) tcacaatagct >hg38_chr20:52509369-52509379(+) acacaatggaa >hg38_chr20:52616826-52616836(-) GAACAAAGGAT >hg38_chr20:52624435-52624445(-) atacaatagaa >hg38_chr20:52649834-52649844(-) aaacaatggtt >hg38_chr20:52649887-52649897(-) ttacaatagct >hg38_chr20:52731296-52731306(+) AAACAAAGGAG >hg38_chr20:52746223-52746233(-) aaacaatagga >hg38_chr20:52841181-52841191(-) cgacaatgcca >hg38_chr20:52847245-52847255(+) AAACAAAGGAA >hg38_chr20:52847914-52847924(+) ATACAATGTCC >hg38_chr20:52848562-52848572(-) AGACAATAGCT >hg38_chr20:52880316-52880326(-) ATACAATATCT >hg38_chr20:52880388-52880398(-) TAACAATGAGT >hg38_chr20:52895358-52895368(-) taacaatggtt >hg38_chr20:52899339-52899349(-) GAACAATGTCG >hg38_chr20:52920235-52920245(+) GAACAATGCCT >hg38_chr20:52923498-52923508(-) aaacaaaggcg >hg38_chr20:52923515-52923525(-) aaacaatgcag >hg38_chr20:52924660-52924670(+) ATACAAAGGGG >hg38_chr20:53003542-53003552(-) CAACAATGAAG >hg38_chr20:53022164-53022174(-) gaacaaaggct >hg38_chr20:53022808-53022818(-) ACACAAAGGAA >hg38_chr20:53049105-53049115(+) TTACAAAGGGC >hg38_chr20:53049121-53049131(-) TTACAAAGGGC >hg38_chr20:53076340-53076350(+) TGATAATGGAG >hg38_chr20:53076401-53076411(-) acacaataggg >hg38_chr20:53077685-53077695(+) gcacaaaggtc >hg38_chr20:53082236-53082246(-) GTACAAAGGGG >hg38_chr20:53146333-53146343(-) ACACAATGCCA >hg38_chr20:53151229-53151239(+) GAACAATGTCC >hg38_chr20:53227039-53227049(+) CAACAAAGGAC >hg38_chr20:53243553-53243563(+) TAACAATGACT >hg38_chr20:53249935-53249945(-) ggacaatgagc >hg38_chr20:53262361-53262371(-) CAACAATAGAG >hg38_chr20:53278842-53278852(+) gaacaatgagg >hg38_chr20:53282427-53282437(-) acacaatgcac >hg38_chr20:53283199-53283209(-) tgataatgggc >hg38_chr20:53316241-53316251(-) CAACAATGAAC >hg38_chr20:53316291-53316301(-) atacaatgcct >hg38_chr20:53328109-53328119(+) TGACAATGGTG >hg38_chr20:53365030-53365040(+) CAACAATGCAA >hg38_chr20:53380551-53380561(+) ACACAATGCAA >hg38_chr20:53380615-53380625(+) GAATAATGGGG >hg38_chr20:53386847-53386857(+) GAATAATGGTT >hg38_chr20:53409696-53409706(+) CGAGAATGGAA >hg38_chr20:53409707-53409717(-) CAACAATGGAC >hg38_chr20:53413344-53413354(+) agacaaaggat >hg38_chr20:53440539-53440549(-) gaacaatgcct >hg38_chr20:53491621-53491631(+) GAACAATGGGC >hg38_chr20:53547891-53547901(-) AAACAAAGGCT >hg38_chr20:53579141-53579151(+) GGACAATAGGA >hg38_chr20:53579149-53579159(+) GGACAATGTGT >hg38_chr20:53588902-53588912(-) GAACAATGATT >hg38_chr20:53593240-53593250(-) AAACAATGGCC >hg38_chr20:53593271-53593281(-) AAACAATGCGT >hg38_chr20:53595970-53595980(+) ACACAATAGCA >hg38_chr20:53599365-53599375(+) acacactggta >hg38_chr20:53622454-53622464(+) AAACAATGTTT >hg38_chr20:53622523-53622533(-) gaacaatgcaa >hg38_chr20:53643039-53643049(-) TAACAGTGACG >hg38_chr20:53679710-53679720(-) AAACAATGCAG >hg38_chr20:53679747-53679757(+) ATACAATGGCA >hg38_chr20:53721037-53721047(-) CAACAATGGCA >hg38_chr20:53737701-53737711(+) AGACAATAGCC >hg38_chr20:53737752-53737762(-) TTACAATAGAA >hg38_chr20:53740312-53740322(-) GTATAATGAAA >hg38_chr20:53758741-53758751(+) AGACAATGCAG >hg38_chr20:53768607-53768617(-) GAACAATGTAG >hg38_chr20:53787202-53787212(+) TAACAATGCCT >hg38_chr20:53789908-53789918(-) TTACAATAAAT >hg38_chr20:53810025-53810035(+) atacaatacat >hg38_chr20:53828286-53828296(-) TGACAATGGAT >hg38_chr20:53867917-53867927(-) GCACAATGGGA >hg38_chr20:53893120-53893130(-) CAATAATGGAC >hg38_chr20:53916251-53916261(+) AGACAAAGGGT >hg38_chr20:53942310-53942320(+) AGACAAAGGAA >hg38_chr20:53961658-53961668(+) CAACAATGGAG >hg38_chr20:53980505-53980515(-) TGACAATAATA >hg38_chr20:54014809-54014819(+) CAACAATAGTC >hg38_chr20:54025745-54025755(+) GTACAATGTGG >hg38_chr20:54179053-54179063(-) atacaATGTCT >hg38_chr20:54179075-54179085(+) acacaatagcg >hg38_chr20:54198211-54198221(+) GAACAATGAGT >hg38_chr20:54220058-54220068(+) CAACAATGAGA >hg38_chr20:54224662-54224672(-) TAACAATGCCA >hg38_chr20:54234507-54234517(-) gaacaatgata >hg38_chr20:54234515-54234525(-) ttacaatagaa >hg38_chr20:54234548-54234558(+) acacaatgctc >hg38_chr20:54238152-54238162(-) TGACAATACGG >hg38_chr20:54239496-54239506(+) AAACAATAAAA >hg38_chr20:54259831-54259841(-) gtacaatggaa >hg38_chr20:54267578-54267588(-) GCACAATAGCT >hg38_chr20:54269061-54269071(-) acacaatgatc >hg38_chr20:54359809-54359819(+) aaacaatgaac >hg38_chr20:54367086-54367096(+) ATACAAAGGAA >hg38_chr20:54386170-54386180(-) TAACTATGGAT >hg38_chr20:54386178-54386188(+) TTACAATAAGT >hg38_chr20:54415197-54415207(+) TAACAATACGT >hg38_chr20:54447045-54447055(-) TGACAATGTTT >hg38_chr20:54468352-54468362(+) gtacaatggca >hg38_chr20:54468361-54468371(+) caacaatgagt >hg38_chr20:54477574-54477584(+) CAATAATGGGA >hg38_chr20:54479302-54479312(-) AAACAATGGGG >hg38_chr20:54564931-54564941(+) ctacaatgacc >hg38_chr20:54564982-54564992(-) gaacaaaggaa >hg38_chr20:54624894-54624904(+) CCACAATGGAG >hg38_chr20:54624903-54624913(-) AAACAATGCCT >hg38_chr20:54633926-54633936(+) ACACAATGCTC >hg38_chr20:54639426-54639436(+) TAACAATGACT >hg38_chr20:54649669-54649679(-) AGATAATGCGT >hg38_chr20:54649692-54649702(+) aaacaatggct >hg38_chr20:54680915-54680925(-) AGACAATAGAA >hg38_chr20:55103595-55103605(+) taacaatgcac >hg38_chr20:55140956-55140966(-) TGATAATGGCA >hg38_chr20:55140993-55141003(-) TAACAATATCT >hg38_chr20:55141003-55141013(-) TAACAATAGGT >hg38_chr20:55166342-55166352(-) GAACAAAGGCA >hg38_chr20:55167932-55167942(+) atacaatggga >hg38_chr20:55167941-55167951(+) gaacaatagta >hg38_chr20:55365391-55365401(-) TAACAATAGCA >hg38_chr20:55662102-55662112(-) GAATAATGGAG >hg38_chr20:56003313-56003323(+) GAACAGTGGAA >hg38_chr20:56228150-56228160(-) GAATAATGGTT >hg38_chr20:56356356-56356366(-) acacaatgaca >hg38_chr20:56376446-56376456(-) CAACAATGACA >hg38_chr20:56376482-56376492(-) CTACTATGGAC >hg38_chr20:56431650-56431660(-) GAACAATGGGG >hg38_chr20:56433674-56433684(-) GGACAATGACT >hg38_chr20:56455259-56455269(+) aaacaatgggt >hg38_chr20:56584999-56585009(+) AGACAATGAAT >hg38_chr20:56596283-56596293(-) TAACAAAGGGC >hg38_chr20:56617842-56617852(+) tgacaatagta >hg38_chr20:56635293-56635303(+) GAACAATGGCT >hg38_chr20:56714720-56714730(+) tcacaatgtgt >hg38_chr20:56750650-56750660(+) CTACAATGATG >hg38_chr20:56770042-56770052(+) gtacaatggac >hg38_chr20:56770103-56770113(-) acacagtggaa >hg38_chr20:56815977-56815987(+) AGACAATagcg >hg38_chr20:56843959-56843969(-) ACACAAAGGAA >hg38_chr20:56897493-56897503(-) agacaatggcc >hg38_chr20:56951285-56951295(+) aaacaaagggc >hg38_chr20:56956460-56956470(-) tgacaatgtga >hg38_chr20:56961883-56961893(+) aaacaatgctg >hg38_chr20:56961901-56961911(-) gtacaaagata >hg38_chr20:57000804-57000814(-) ACACAACGGTA >hg38_chr20:57071387-57071397(-) TGATAATGGAG >hg38_chr20:57132950-57132960(-) AAACAATGACA >hg38_chr20:57132969-57132979(+) TAACaatagta >hg38_chr20:57159415-57159425(+) TGacaatcgat >hg38_chr20:57198871-57198881(-) ACACAATGGGC >hg38_chr20:57232308-57232318(-) TAACAATGGCA >hg38_chr20:57270746-57270756(-) GAACAATGTGT >hg38_chr20:57280600-57280610(-) GAACAAAGACG >hg38_chr20:57283160-57283170(+) GGACAATAAGA >hg38_chr20:57292123-57292133(-) GCACAATGGAC >hg38_chr20:57346654-57346664(-) AGACAATGACT >hg38_chr20:57410007-57410017(+) CAACAATGCCC >hg38_chr20:57435820-57435830(+) AGACAAAGGGC >hg38_chr20:57473363-57473373(-) CAACAATGAGC >hg38_chr20:57495416-57495426(-) TGACAATAGTG >hg38_chr20:57512340-57512350(-) tgataatggaa >hg38_chr20:57512351-57512361(+) taacaaaggct >hg38_chr20:57523201-57523211(-) AAACAATGTCA >hg38_chr20:57523390-57523400(+) TCACAATGTTA >hg38_chr20:57545403-57545413(+) TGACAATGGCA >hg38_chr20:57547098-57547108(-) AGACGATGGCA >hg38_chr20:57547166-57547176(+) TAACAATGCCC >hg38_chr20:57672453-57672463(+) GCACAATGCGG >hg38_chr20:57685447-57685457(+) TAACAAAGGAC >hg38_chr20:57710636-57710646(-) CGACAATACAC >hg38_chr20:57713163-57713173(-) ccacaatgtca >hg38_chr20:57713175-57713185(+) ggacaaaggga >hg38_chr20:57725566-57725576(-) agacaatgaga >hg38_chr20:57764560-57764570(+) AGATAATGGGG >hg38_chr20:57764609-57764619(-) acacaATGAAG >hg38_chr20:57768179-57768189(-) ggacaatagtg >hg38_chr20:57804524-57804534(-) GAACAATGAAG >hg38_chr20:57853558-57853568(-) taacaatgcta >hg38_chr20:57923663-57923673(-) AAACAAAGGGA >hg38_chr20:57926531-57926541(+) caacaatgggc >hg38_chr20:57930574-57930584(-) AAACAATGGGG >hg38_chr20:57934268-57934278(-) TAACAATGCCC >hg38_chr20:57951246-57951256(+) taacaaagggg >hg38_chr20:57980001-57980011(+) TGACAATGGGA >hg38_chr20:57996815-57996825(-) aaacaatgaag >hg38_chr20:57996862-57996872(-) gaacaatgcag >hg38_chr20:58000060-58000070(+) GCACAATAGCC >hg38_chr20:58017744-58017754(+) GCACAATAGTG >hg38_chr20:58017753-58017763(-) TGACAATGCCA >hg38_chr20:58087401-58087411(+) GAACAATGGAT >hg38_chr20:58095520-58095530(+) tcacaatgatg >hg38_chr20:58127931-58127941(-) AGACAAAGGAG >hg38_chr20:58228916-58228926(+) AAATAATGGAA >hg38_chr20:58257193-58257203(+) gcacaaaggat >hg38_chr20:58282827-58282837(-) caacaatgctt >hg38_chr20:58283045-58283055(-) ttacaatgtgt >hg38_chr20:58322096-58322106(-) taacaatgacg >hg38_chr20:58329480-58329490(-) taacaatatga >hg38_chr20:58359914-58359924(+) GTACAAAGGGA >hg38_chr20:58408787-58408797(+) GTACAATGACC >hg38_chr20:58409066-58409076(-) ACACAATGGCT >hg38_chr20:58493061-58493071(+) ACACAATGCAA >hg38_chr20:58493100-58493110(+) ACACAATGCAA >hg38_chr20:58532079-58532089(-) caacaatgaaa >hg38_chr20:58561073-58561083(+) gaacaatgtga >hg38_chr20:58578401-58578411(-) TAACAATGCCT >hg38_chr20:58603928-58603938(+) ggacaatgaca >hg38_chr20:58630349-58630359(-) CAACAATAGAA >hg38_chr20:58716755-58716765(+) ACACAATGACA >hg38_chr20:58725606-58725616(+) atataatgggt >hg38_chr20:58753683-58753693(-) AAACAATTGCG >hg38_chr20:58806915-58806925(+) tcataatgggt >hg38_chr20:58858742-58858752(-) ATACAATGCAT >hg38_chr20:58877812-58877822(-) ACACAATAGGT >hg38_chr20:58895634-58895644(-) TCACAATGGTG >hg38_chr20:58914964-58914974(-) GCACAATGGGA >hg38_chr20:58965843-58965853(+) CTACAATGTGC >hg38_chr20:58965904-58965914(+) TAACAATGGTG >hg38_chr20:58981122-58981132(-) GAACAAAGGGG >hg38_chr20:59039169-59039179(+) TAACAATGGCT >hg38_chr20:59039437-59039447(-) GAACAAAGGTT >hg38_chr20:59160015-59160025(-) TCACAATGGAA >hg38_chr20:59200146-59200156(-) aaactatggaa >hg38_chr20:59207821-59207831(-) CAACAATGAAC >hg38_chr20:59242970-59242980(-) ACACAATGATT >hg38_chr20:59267051-59267061(-) acacaatggta >hg38_chr20:59297874-59297884(-) GTacaaaggga >hg38_chr20:59297915-59297925(-) GAACAAAGGGA >hg38_chr20:59297931-59297941(-) GTACAAAGGGA >hg38_chr20:59347219-59347229(-) CTACAATGCAC >hg38_chr20:59481026-59481036(+) TGATAATGGAC >hg38_chr20:59481083-59481093(+) ttacaatagag >hg38_chr20:59481091-59481101(-) ttacaatgctc >hg38_chr20:59481103-59481113(-) agacaataaga >hg38_chr20:59522273-59522283(+) acacaatagaa >hg38_chr20:59529547-59529557(+) agacaatggcc >hg38_chr20:59540726-59540736(-) atacaataata >hg38_chr20:59794825-59794835(-) gcacaatgcac >hg38_chr20:59795594-59795604(-) acacaataata >hg38_chr20:59799968-59799978(-) taactatggaa >hg38_chr20:59799976-59799986(+) ttataatggtt >hg38_chr20:59861736-59861746(-) tgacaatgtca >hg38_chr20:59912123-59912133(+) caataatggtc >hg38_chr20:59918681-59918691(-) agacaatgcca >hg38_chr20:59920738-59920748(+) ATACAATGAAT >hg38_chr20:59929606-59929616(+) acacaatataa >hg38_chr20:59931837-59931847(+) CCACAATAGAG >hg38_chr20:59941243-59941253(-) GGACAATAAAA >hg38_chr20:59961638-59961648(-) ACACAATAGCT >hg38_chr20:59961649-59961659(+) CCACAATAGTT >hg38_chr20:59966633-59966643(-) aaacaataacg >hg38_chr20:59966681-59966691(+) aaacaatgcct >hg38_chr20:59980708-59980718(-) agacaaaggta >hg38_chr20:60022716-60022726(-) AGACAATGACT >hg38_chr20:60035283-60035293(-) taacaatatgt >hg38_chr20:60035341-60035351(+) ttacaatgtta >hg38_chr20:60046644-60046654(+) CCACAATGGGC >hg38_chr20:60151845-60151855(-) TTACAATGTTT >hg38_chr20:60151868-60151878(-) ACACAATGTTC >hg38_chr20:60151894-60151904(-) GCACAATGATG >hg38_chr20:60175715-60175725(-) acacaatgttg >hg38_chr20:60175775-60175785(-) ggacaatgccc >hg38_chr20:60245088-60245098(+) AGACAATGAAA >hg38_chr20:60293648-60293658(-) TGACAATGTGC >hg38_chr20:60294746-60294756(+) ATATAATGGTG >hg38_chr20:60381484-60381494(+) caacaatagca >hg38_chr20:60395495-60395505(-) TCACAATAGCA >hg38_chr20:60408660-60408670(-) GAACAATGCAT >hg38_chr20:60422326-60422336(-) AGACAAAGGAG >hg38_chr20:60436493-60436503(-) AAACAAAGGCT >hg38_chr20:60442235-60442245(-) gaacaatacta >hg38_chr20:60511120-60511130(-) TTACAATGAGT >hg38_chr20:60511524-60511534(-) AAACAATGGAT >hg38_chr20:60511580-60511590(+) TAACAAAGGCC >hg38_chr20:60512733-60512743(+) GAACAATGCCC >hg38_chr20:60583883-60583893(+) ACACAATGAGA >hg38_chr20:60693827-60693837(-) CAACAATGGGA >hg38_chr20:60729197-60729207(-) taacaatagtt >hg38_chr20:60794667-60794677(-) acacaatgaca >hg38_chr20:60883325-60883335(+) agacaatagat >hg38_chr20:60935723-60935733(-) TGACAATATAT >hg38_chr20:60935745-60935755(-) taacAATAAAG >hg38_chr20:60935766-60935776(-) gtacaaagggt >hg38_chr20:61123518-61123528(+) AGACAGTGGAT >hg38_chr20:61237097-61237107(-) ACACAATGGtg >hg38_chr20:61272183-61272193(-) CAACAATGGGG >hg38_chr20:61347651-61347661(-) GAACAATGGAC >hg38_chr20:61352386-61352396(-) GGACAATGCTG >hg38_chr20:61370878-61370888(-) TCACAATGACA >hg38_chr20:61373394-61373404(-) CAACAATGCAG >hg38_chr20:61373424-61373434(-) GAACAATAAAA >hg38_chr20:61380009-61380019(-) GGACAATGTCA >hg38_chr20:61414591-61414601(-) CAACAATAGTG >hg38_chr20:61435172-61435182(+) TGACAATGGGT >hg38_chr20:61437709-61437719(-) GTACAATGGAC >hg38_chr20:61448551-61448561(+) AGATAATGGGT >hg38_chr20:61618719-61618729(-) AAACAATAGGG >hg38_chr20:61645175-61645185(-) CAACAATGGAA >hg38_chr20:61809641-61809651(+) TGACAATGGGC >hg38_chr20:61845237-61845247(-) GGACAATGGGC >hg38_chr20:61845268-61845278(+) GGACAATAGTC >hg38_chr20:62141879-62141889(+) AAACAAAGGGA >hg38_chr20:62149901-62149911(+) GTACAATAAGC >hg38_chr20:62181914-62181924(-) GAACAATAGGA >hg38_chr20:62236886-62236896(-) AAACAAAGGGG >hg38_chr20:62302767-62302777(+) CAACAATAGGG >hg38_chr20:62350487-62350497(-) GGACAAAGGTT >hg38_chr20:62359975-62359985(+) GAACAAAGGCT >hg38_chr20:62377741-62377751(+) GGACAATGGTG >hg38_chr20:62386725-62386735(+) GCACAATGGGG >hg38_chr20:62426974-62426984(-) CCACAAAGGCG >hg38_chr20:62431230-62431240(-) CGACAATAAAA >hg38_chr20:62663562-62663572(-) GCACAATAGCC >hg38_chr20:62667247-62667257(+) GGACAATGGGC >hg38_chr20:62741572-62741582(-) GGACAATGCCC >hg38_chr20:62741579-62741589(-) GTACCATGGAC >hg38_chr20:62819545-62819555(-) TGACAATGGGC >hg38_chr20:62915143-62915153(+) GAACAAAGGGA >hg38_chr20:62924810-62924820(+) GCACAATGAGC >hg38_chr20:62937447-62937457(-) GGACAAAGGAG >hg38_chr20:62974086-62974096(-) GGACAATGGCC >hg38_chr20:62980729-62980739(-) GCACAAAGGGA >hg38_chr20:62985239-62985249(+) GAACAATGAGG >hg38_chr20:63096424-63096434(+) GAACAATGGGG >hg38_chr20:63174039-63174049(-) GCACAATAGGG >hg38_chr20:63174062-63174072(+) TGACAATCGAG >hg38_chr20:63253625-63253635(-) AAACAAAGGCC >hg38_chr20:63367858-63367868(-) GGACAAAGGGC >hg38_chr20:63521093-63521103(-) GAACAAAGGCG >hg38_chr20:63552670-63552680(+) TAACAATAACA >hg38_chr20:63552676-63552686(+) TAACAATAGCT >hg38_chr20:63707007-63707017(-) GGACAATGCTG >hg38_chr20:63847877-63847887(-) GAACAAAGGCT >hg38_chr21:9765610-9765620(+) GGACAATGATA >hg38_chr21:9765656-9765666(+) AGACAATGGAA >hg38_chr21:10273060-10273070(-) atataatgtat >hg38_chr21:10273334-10273344(+) atataatgtaa >hg38_chr21:14049014-14049024(-) atacaaaggat >hg38_chr21:14049596-14049606(+) taacaatgaat >hg38_chr21:14050724-14050734(+) agacaatggat >hg38_chr21:14050738-14050748(+) tgacaaaggaa >hg38_chr21:14050746-14050756(+) gaacaaaggca >hg38_chr21:14120522-14120532(-) ttacagtggaa >hg38_chr21:14175082-14175092(-) ccacaatagga >hg38_chr21:14253071-14253081(-) ACACAATAGGC >hg38_chr21:14260286-14260296(-) TAACACTGGGA >hg38_chr21:14274652-14274662(+) CTACAATGGGA >hg38_chr21:14339105-14339115(+) CTACAATGGAA >hg38_chr21:14365528-14365538(+) ccacaatgtgc >hg38_chr21:14381438-14381448(+) GAACAATAGGT >hg38_chr21:14383805-14383815(-) AAACAAAGGCA >hg38_chr21:14393920-14393930(+) CAACAATAGAA >hg38_chr21:14395586-14395596(+) AGACAAAGGAA >hg38_chr21:14520393-14520403(+) atacaatgtat >hg38_chr21:14524154-14524164(+) GGACAATGAAC >hg38_chr21:14524582-14524592(-) ggacaaagggc >hg38_chr21:14524747-14524757(+) acacaatgcaa >hg38_chr21:14616544-14616554(-) ACACAATGGTC >hg38_chr21:14616718-14616728(-) ACACAATCGGT >hg38_chr21:14630630-14630640(+) AAACAATAAAA >hg38_chr21:14631285-14631295(-) tgacaatgtat >hg38_chr21:14643338-14643348(-) TAATAATGGTG >hg38_chr21:14682303-14682313(-) AGACAATGATA >hg38_chr21:14797608-14797618(+) agacaataaac >hg38_chr21:14797615-14797625(+) aaacaatgtca >hg38_chr21:14831476-14831486(-) TAACAATAATG >hg38_chr21:14837399-14837409(+) TGATAATGGAA >hg38_chr21:14857774-14857784(-) gaacaatggga >hg38_chr21:14878015-14878025(+) ACACAGTGGAC >hg38_chr21:14889457-14889467(+) GAACTATGACG >hg38_chr21:14905084-14905094(-) ctacaatgaga >hg38_chr21:14921651-14921661(-) gaataatggct >hg38_chr21:14921663-14921673(-) gaacaatgaca >hg38_chr21:14958555-14958565(-) CAACAATGTCG >hg38_chr21:14963155-14963165(-) GTACAAAGGGC >hg38_chr21:14963231-14963241(-) GAACAATGCCA >hg38_chr21:14963245-14963255(+) GGACAATGGTT >hg38_chr21:14977009-14977019(+) CTACAATGCAG >hg38_chr21:15002153-15002163(+) ATACAATAAAA >hg38_chr21:15005552-15005562(-) GTACAAGGGCG >hg38_chr21:15005859-15005869(-) GCACAATGGAA >hg38_chr21:15014964-15014974(+) caacaatgccc >hg38_chr21:15017726-15017736(+) CTACAATGGTC >hg38_chr21:15018725-15018735(+) ACACAATGATA >hg38_chr21:15040493-15040503(+) ACACAAAGGTC >hg38_chr21:15050408-15050418(+) GGACAATGTCT >hg38_chr21:15053173-15053183(+) AAACAATGAAT >hg38_chr21:15059690-15059700(-) AGATAATGGGG >hg38_chr21:15063945-15063955(-) GAACAAAGGAA >hg38_chr21:15065152-15065162(-) TGACAATGGGA >hg38_chr21:15188875-15188885(-) gaacaatgaat >hg38_chr21:15191017-15191027(-) ctacaatgtac >hg38_chr21:15221776-15221786(-) ACACAATGTGT >hg38_chr21:15301906-15301916(-) AGACAATGAAA >hg38_chr21:15301963-15301973(-) GCATAATGGGA >hg38_chr21:15304395-15304405(-) AAACAATGTGT >hg38_chr21:15323074-15323084(-) GAACAATAGTT >hg38_chr21:15333549-15333559(-) tcacaatgtga >hg38_chr21:15367192-15367202(-) ttacaaaggag >hg38_chr21:15395312-15395322(+) acacaatagag >hg38_chr21:15407890-15407900(+) TCACAATGTAA >hg38_chr21:15421499-15421509(+) TCACAATATAT >hg38_chr21:15421508-15421518(-) GAACAATGAAT >hg38_chr21:15423894-15423904(+) agacaatgaga >hg38_chr21:15445428-15445438(-) GGACAATGAGC >hg38_chr21:15460299-15460309(-) AAACAAAGGTG >hg38_chr21:15508636-15508646(+) agacaatcgtt >hg38_chr21:15512415-15512425(+) GTACAATGGGT >hg38_chr21:15589246-15589256(+) AGACAATAATA >hg38_chr21:15618099-15618109(-) GTACAATGATG >hg38_chr21:15639818-15639828(-) atacaataggt >hg38_chr21:15711557-15711567(-) CAACAATAGAA >hg38_chr21:15770165-15770175(-) TAACAATATCC >hg38_chr21:15770907-15770917(-) AAACAATATAA >hg38_chr21:15839468-15839478(+) ACACAATATAA >hg38_chr21:15840036-15840046(-) GTACAATAGAG >hg38_chr21:15850693-15850703(-) GGATAATGGCA >hg38_chr21:15935858-15935868(-) AAACAATGGTT >hg38_chr21:15943532-15943542(-) aaacaatgtaa >hg38_chr21:15943565-15943575(-) gaacactggga >hg38_chr21:15948458-15948468(-) GAACAATACAC >hg38_chr21:15948485-15948495(+) GAACAATATAA >hg38_chr21:15949141-15949151(-) TGATAATGGGA >hg38_chr21:15949147-15949157(-) GAATAATGATA >hg38_chr21:16071975-16071985(-) TGACAATGTTG >hg38_chr21:16097796-16097806(-) caacaataggg >hg38_chr21:16118026-16118036(-) ACACAAAGGGT >hg38_chr21:16159283-16159293(+) GAACAAAGACG >hg38_chr21:16168203-16168213(-) AAACAATGGCC >hg38_chr21:16177675-16177685(-) ACACAATAAAA >hg38_chr21:16177715-16177725(-) CTATAATGGAT >hg38_chr21:16179568-16179578(-) GAACAATATTA >hg38_chr21:16185966-16185976(+) TAACAATGTGC >hg38_chr21:16243013-16243023(-) GAACAATGCCT >hg38_chr21:16245995-16246005(-) ATATAATGAAC >hg38_chr21:16281497-16281507(-) AAACAATGAAG >hg38_chr21:16282594-16282604(-) TAACAATGAAT >hg38_chr21:16284240-16284250(+) TCACAATAGAG >hg38_chr21:16305147-16305157(+) ACACAATGGTA >hg38_chr21:16308403-16308413(-) TCACAATGAGT >hg38_chr21:16315511-16315521(-) GCACAATAGTT >hg38_chr21:16323959-16323969(-) TAACAATACAT >hg38_chr21:16329800-16329810(+) CAACAATGAGG >hg38_chr21:16330457-16330467(-) AAACAATGAGT >hg38_chr21:16380163-16380173(+) TCACAATAGTG >hg38_chr21:16422924-16422934(-) CAACAATGATG >hg38_chr21:16432782-16432792(+) AAACAAAGGGC >hg38_chr21:16435105-16435115(+) GCATAATGGGT >hg38_chr21:16435182-16435192(-) GAACAATGGAA >hg38_chr21:16442495-16442505(+) TAACAATGACA >hg38_chr21:16445406-16445416(+) ttataatggag >hg38_chr21:16445439-16445449(-) caacaatgtca >hg38_chr21:16449117-16449127(+) ttacaatgtct >hg38_chr21:16450675-16450685(+) ACACAAAGGAA >hg38_chr21:16452539-16452549(-) TTACACTGGAC >hg38_chr21:16458962-16458972(-) CAACAATGGCA >hg38_chr21:16475138-16475148(-) TTACAATGTCT >hg38_chr21:16475146-16475156(-) AAACAATATTA >hg38_chr21:16491092-16491102(-) acacaatgttt >hg38_chr21:16491111-16491121(-) gcacaatgctt >hg38_chr21:16491138-16491148(-) TAACaatagca >hg38_chr21:16506919-16506929(-) AAACAATAGCA >hg38_chr21:16506961-16506971(-) ACACAATGGTT >hg38_chr21:16534566-16534576(+) AGACAATAGCT >hg38_chr21:16536834-16536844(-) GAACAATAACC >hg38_chr21:16541634-16541644(-) ACACAATGGTG >hg38_chr21:16551299-16551309(+) GAACAATGACC >hg38_chr21:16579697-16579707(+) GAACAATGTAA >hg38_chr21:16587464-16587474(+) GAACAAAGGAA >hg38_chr21:16590407-16590417(+) GAACAATGCTT >hg38_chr21:16591889-16591899(+) ACACAATGGAT >hg38_chr21:16606531-16606541(-) AAACAAAGGGC >hg38_chr21:16609284-16609294(-) ACACAATGACA >hg38_chr21:16645191-16645201(+) caacaatgtat >hg38_chr21:16708134-16708144(-) AGACAATAGCA >hg38_chr21:16708146-16708156(+) CAACAATGAAT >hg38_chr21:16720389-16720399(+) gaacaatggct >hg38_chr21:16741296-16741306(-) ACACAATGAGG >hg38_chr21:16752198-16752208(+) GGACAATGTTT >hg38_chr21:16774434-16774444(-) TTACAATAAGA >hg38_chr21:16803528-16803538(-) atacaatggag >hg38_chr21:16812844-16812854(+) TAACAATGCTA >hg38_chr21:16829708-16829718(+) gaacaatggga >hg38_chr21:16831511-16831521(+) TAACAATAAAT >hg38_chr21:16831541-16831551(-) TAACAATGTTA >hg38_chr21:16842387-16842397(+) AGACAATGACA >hg38_chr21:16842820-16842830(-) AAACAAAGGAA >hg38_chr21:16843983-16843993(+) GAACAATGAAC >hg38_chr21:16848795-16848805(+) ACACAAAGGCA >hg38_chr21:16848822-16848832(+) AGACAATGAAG >hg38_chr21:16861159-16861169(+) acacaaaggaa >hg38_chr21:16861205-16861215(+) TAACAATGATT >hg38_chr21:16861923-16861933(-) TAACAAAGGAC >hg38_chr21:16861989-16861999(+) TAACAATAAAT >hg38_chr21:16900777-16900787(+) AGACAATAAAA >hg38_chr21:16903669-16903679(-) caacaatagca >hg38_chr21:16924760-16924770(+) GGACAATGGAA >hg38_chr21:16948408-16948418(+) aaacaataaaa >hg38_chr21:16962751-16962761(-) ttacaatagtc >hg38_chr21:17000045-17000055(+) acacaatagac >hg38_chr21:17000300-17000310(+) atacaaaggca >hg38_chr21:17002792-17002802(+) GAACAATAGTT >hg38_chr21:17003473-17003483(-) AGACAATGTGT >hg38_chr21:17029290-17029300(-) TAACAATAGAA >hg38_chr21:17050842-17050852(-) TGATAATGGCT >hg38_chr21:17089310-17089320(+) GAACAATAAAC >hg38_chr21:17129208-17129218(-) CCACAATAGGG >hg38_chr21:17130889-17130899(+) GAACAATAGTG >hg38_chr21:17130928-17130938(+) TCACAATGGAG >hg38_chr21:17420296-17420306(-) agacagtggta >hg38_chr21:17442443-17442453(-) AGACAAAGGGA >hg38_chr21:17493001-17493011(+) GTACAGTGGAC >hg38_chr21:17493035-17493045(-) GAACAAAGGCA >hg38_chr21:17501395-17501405(-) taacaatgctt >hg38_chr21:17513242-17513252(+) GAACAATGGGG >hg38_chr21:17514289-17514299(-) CAACAATGGAA >hg38_chr21:17523191-17523201(-) aaacaatgaca >hg38_chr21:17523241-17523251(-) atacaatgtaa >hg38_chr21:17525446-17525456(+) ATACAATGAAC >hg38_chr21:17525474-17525484(-) GAACAAAGGTG >hg38_chr21:17527401-17527411(-) TTACAATGGAA >hg38_chr21:17537446-17537456(-) TAACAATAAAA >hg38_chr21:17594452-17594462(-) TGACAATAGGA >hg38_chr21:17594499-17594509(+) GTATAATGTAT >hg38_chr21:17595693-17595703(+) aaacaatgata >hg38_chr21:17595715-17595725(+) aaacaatgctg >hg38_chr21:17600286-17600296(+) GGACAAAGGAT >hg38_chr21:17600293-17600303(-) TAACAATATCC >hg38_chr21:17781346-17781356(-) AGACTATGGGA >hg38_chr21:17782248-17782258(-) AGACAATGGAG >hg38_chr21:17790603-17790613(-) GCACAATGGTG >hg38_chr21:17819353-17819363(-) AAACAATAGTG >hg38_chr21:17841308-17841318(-) ACACAATAGGA >hg38_chr21:17842880-17842890(-) caacaataggt >hg38_chr21:17842891-17842901(-) gcacaatggtc >hg38_chr21:17849243-17849253(-) AAACAAtgtcc >hg38_chr21:17858964-17858974(+) gaacaatgaga >hg38_chr21:17858995-17859005(+) gaacaatgaac >hg38_chr21:17859027-17859037(+) gaacaacgggg >hg38_chr21:17974099-17974109(+) TTACAATGGGG >hg38_chr21:17989983-17989993(-) AGACAAAGGTC >hg38_chr21:18053300-18053310(-) ttacaatgatg >hg38_chr21:18119554-18119564(+) GGACAATGAGG >hg38_chr21:18133818-18133828(-) acacaataggc >hg38_chr21:18155739-18155749(+) AAACAATAACC >hg38_chr21:18218558-18218568(-) agacaatgggg >hg38_chr21:18233119-18233129(-) gaacaatggga >hg38_chr21:18233149-18233159(-) gaacagtggtt >hg38_chr21:18290121-18290131(+) gaacaatgttt >hg38_chr21:18318813-18318823(+) ATACAATATGC >hg38_chr21:18372683-18372693(-) TCACAATGCAC >hg38_chr21:18372698-18372708(+) GAACAATGAAG >hg38_chr21:18411702-18411712(-) GAACAAAGGAT >hg38_chr21:18420301-18420311(-) AAATAATGGTG >hg38_chr21:18550318-18550328(-) AAACAATGGTA >hg38_chr21:18614446-18614456(+) TGACAATGAAC >hg38_chr21:18661174-18661184(+) GAACAATGGGA >hg38_chr21:18810236-18810246(-) aaacaatggtt >hg38_chr21:18902910-18902920(+) TTACAATGACA >hg38_chr21:19082722-19082732(-) TAACAATGGCA >hg38_chr21:19120785-19120795(-) acacaaaggtt >hg38_chr21:19123662-19123672(-) gaacaatgatg >hg38_chr21:19123684-19123694(-) taacaatggcc >hg38_chr21:19123736-19123746(+) caacaatgtat >hg38_chr21:19166272-19166282(-) aaacaatggga >hg38_chr21:19257363-19257373(+) gaacaatggag >hg38_chr21:19263157-19263167(-) TAACAATGAAA >hg38_chr21:19303452-19303462(-) GGATAATGGCC >hg38_chr21:19523167-19523177(-) TAACAATGAAG >hg38_chr21:19868680-19868690(-) aaataatggct >hg38_chr21:19942053-19942063(+) TGACACTGGTA >hg38_chr21:19993467-19993477(-) acataatggac >hg38_chr21:19993484-19993494(-) taacaataata >hg38_chr21:20009163-20009173(-) caacaatgttg >hg38_chr21:20253430-20253440(+) caacaataggg >hg38_chr21:20266545-20266555(+) caacaatgtcc >hg38_chr21:20272941-20272951(-) ttacaatgttt >hg38_chr21:20276529-20276539(-) ttacaaaggga >hg38_chr21:20334759-20334769(+) ccacaatgcta >hg38_chr21:20334812-20334822(+) aaacaatggag >hg38_chr21:20407422-20407432(-) gaacaatgtag >hg38_chr21:20562842-20562852(-) TAACAATGACA >hg38_chr21:20574565-20574575(-) AAACAATGGAT >hg38_chr21:20591347-20591357(-) caacaatgaat >hg38_chr21:20661974-20661984(+) TAACAATATCA >hg38_chr21:20668893-20668903(+) AAACAATAGAA >hg38_chr21:20668908-20668918(-) tgacaatagtT >hg38_chr21:20679840-20679850(-) ATATAATGGCT >hg38_chr21:20748473-20748483(-) TTACAAAGGAG >hg38_chr21:20762819-20762829(+) aaacaataaac >hg38_chr21:20770447-20770457(-) AGACAATGAGC >hg38_chr21:20789303-20789313(+) tcacaatgtag >hg38_chr21:20790363-20790373(+) TAACAATGCCT >hg38_chr21:20793739-20793749(-) gaacaaaggtt >hg38_chr21:20902703-20902713(+) AGACAATGCTG >hg38_chr21:21029318-21029328(+) GAACAATAGAT >hg38_chr21:21057310-21057320(+) TAACAAAGACG >hg38_chr21:21077423-21077433(-) GTACAATGACC >hg38_chr21:21077442-21077452(+) AAACAATAGAC >hg38_chr21:21079635-21079645(-) TAACAATAACA >hg38_chr21:21079661-21079671(-) AAACAAAGGGG >hg38_chr21:21111948-21111958(-) ACACAATAAAC >hg38_chr21:21125091-21125101(-) gtacaatagac >hg38_chr21:21145426-21145436(+) CCACAATGATG >hg38_chr21:21145904-21145914(-) TCACAATCGTC >hg38_chr21:21193140-21193150(-) TTACAATGGAC >hg38_chr21:21200204-21200214(+) TAACAATGCCA >hg38_chr21:21226687-21226697(+) TCACAAAGGAC >hg38_chr21:21328140-21328150(+) ttataatggag >hg38_chr21:21328160-21328170(-) agacaatagga >hg38_chr21:21329930-21329940(-) tgacaatgcca >hg38_chr21:21329950-21329960(-) taacaaaggga >hg38_chr21:21337315-21337325(-) TAACAATAACA >hg38_chr21:21364984-21364994(-) caataatggag >hg38_chr21:21365275-21365285(+) AAACAATGCAA >hg38_chr21:21490944-21490954(-) CAACAATGGAG >hg38_chr21:21525348-21525358(-) tgacaatgctg >hg38_chr21:21551504-21551514(+) ttacaatagcc >hg38_chr21:21557885-21557895(-) acacaatggca >hg38_chr21:21567776-21567786(-) TAACAATGAGA >hg38_chr21:21617009-21617019(-) TAACAAAGGAA >hg38_chr21:21624129-21624139(-) GGACAATGATG >hg38_chr21:21624472-21624482(-) ATATAATGGGA >hg38_chr21:21624477-21624487(-) AAACAATATAA >hg38_chr21:21624516-21624526(+) GAACAATAAGA >hg38_chr21:21679439-21679449(-) aaacaaaggga >hg38_chr21:21679487-21679497(-) ctacaatgagg >hg38_chr21:21712099-21712109(+) gaacaatgtgg >hg38_chr21:21712401-21712411(+) AGACAATGATC >hg38_chr21:21712979-21712989(-) GGACAATGAAA >hg38_chr21:21712998-21713008(-) GAACAATAGGC >hg38_chr21:21734253-21734263(+) gtacaaaggta >hg38_chr21:21734300-21734310(+) gcacaataggg >hg38_chr21:21734329-21734339(-) atacaatatat >hg38_chr21:21802119-21802129(-) TAACAATGTGG >hg38_chr21:21813649-21813659(+) acataatggaa >hg38_chr21:21851371-21851381(-) TTACAATGTTA >hg38_chr21:21926781-21926791(+) ACATAATGGGA >hg38_chr21:21969011-21969021(+) GGACAATGGTT >hg38_chr21:22374631-22374641(+) taacaaaggaa >hg38_chr21:22397141-22397151(-) atacaataagg >hg38_chr21:22791601-22791611(+) ttacaatggac >hg38_chr21:22936653-22936663(-) aaacaatgaaa >hg38_chr21:23031794-23031804(-) caacaatgaaa >hg38_chr21:23033698-23033708(-) aaataatgggt >hg38_chr21:23034223-23034233(-) acacaatggag >hg38_chr21:23092434-23092444(+) GAACAAAGGAG >hg38_chr21:23398470-23398480(+) aaacaatagtg >hg38_chr21:23446035-23446045(+) AAACAATGCAG >hg38_chr21:23719805-23719815(-) GAACAATAGCA >hg38_chr21:23860617-23860627(-) aaataatgggg >hg38_chr21:23978055-23978065(+) ACACAATAGAA >hg38_chr21:24045977-24045987(+) ggacaatagaa >hg38_chr21:24396027-24396037(-) ATACAATAGCA >hg38_chr21:24396086-24396096(-) TAACAATATAG >hg38_chr21:25176942-25176952(-) TAACAATAGCT >hg38_chr21:25227659-25227669(+) TGACAATGGTC >hg38_chr21:25277913-25277923(-) gcacaatgagc >hg38_chr21:25295252-25295262(-) AGACAATGCTG >hg38_chr21:25367236-25367246(-) TAACAAAGGGG >hg38_chr21:25373079-25373089(-) AAACAATGCCT >hg38_chr21:25376941-25376951(-) atacaataata >hg38_chr21:25380343-25380353(-) aaacaatagca >hg38_chr21:25442116-25442126(+) acacaatgaaa >hg38_chr21:25593748-25593758(+) AAACAATGAAC >hg38_chr21:25602478-25602488(-) caacaatgagt >hg38_chr21:25626955-25626965(-) ACACAATGGCA >hg38_chr21:25638538-25638548(-) GGACAAAGGCG >hg38_chr21:25640939-25640949(+) ATACAATGATA >hg38_chr21:25641467-25641477(-) AGACAATGTGT >hg38_chr21:25646787-25646797(-) AGACAATGGAC >hg38_chr21:25656557-25656567(+) CCACAATAGAA >hg38_chr21:25656602-25656612(-) CTACAATGACA >hg38_chr21:25670832-25670842(-) AAACAATGGTT >hg38_chr21:25717618-25717628(-) TAACAATAGGT >hg38_chr21:25742306-25742316(-) GTACAATAGGG >hg38_chr21:25768228-25768238(-) AGACAATGTTT >hg38_chr21:25862731-25862741(-) TTACAATGCCT >hg38_chr21:25867392-25867402(-) GGACAATAGTC >hg38_chr21:25876237-25876247(+) agacaaaggag >hg38_chr21:25876287-25876297(+) aaacaatataa >hg38_chr21:25932319-25932329(+) AGACAATGGTG >hg38_chr21:25951395-25951405(-) AAATAATGGTA >hg38_chr21:25951411-25951421(-) ATACAATGGTT >hg38_chr21:25954085-25954095(+) TAACAATAACC >hg38_chr21:25963322-25963332(-) GGACAATAGGA >hg38_chr21:25983078-25983088(+) TGACAATAGTC >hg38_chr21:25998256-25998266(-) GAACAAAGGCC >hg38_chr21:25998290-25998300(-) ACACAATGGAG >hg38_chr21:26099813-26099823(+) CAATAATGGGA >hg38_chr21:26100372-26100382(+) CCACAATGTAT >hg38_chr21:26106908-26106918(+) CAACAATGACC >hg38_chr21:26116122-26116132(+) TGACAATGAAC >hg38_chr21:26116148-26116158(+) AAACAATGAAG >hg38_chr21:26167098-26167108(-) GAACAATGGGA >hg38_chr21:26168456-26168466(+) TAACAATAGCT >hg38_chr21:26177347-26177357(+) GCACAATGGAA >hg38_chr21:26190243-26190253(-) atacaatgcag >hg38_chr21:26191181-26191191(+) gaacaatgtcc >hg38_chr21:26196964-26196974(-) ctacaatagta >hg38_chr21:26260874-26260884(+) atacactggca >hg38_chr21:26269622-26269632(-) ATACAAAGGAA >hg38_chr21:26269631-26269641(-) ATACAATAGAT >hg38_chr21:26388961-26388971(-) caacaatggaa >hg38_chr21:26389619-26389629(+) GTACAATACAA >hg38_chr21:26389928-26389938(+) TAATAATGGCA >hg38_chr21:26412980-26412990(-) TTACAATGAGG >hg38_chr21:26449369-26449379(+) TTACAATGTAA >hg38_chr21:26469139-26469149(+) CAACAATGTAA >hg38_chr21:26541566-26541576(+) aaacagtggta >hg38_chr21:26656653-26656663(+) ggacaatgccc >hg38_chr21:26656665-26656675(+) ggacaatggcc >hg38_chr21:26732654-26732664(-) atacaatatca >hg38_chr21:26735202-26735212(+) ttacaatgaga >hg38_chr21:26756569-26756579(-) tcacaatgctt >hg38_chr21:26776177-26776187(+) gaactatggat >hg38_chr21:26816485-26816495(-) CCACAATAGCA >hg38_chr21:26819123-26819133(-) agacaatgggt >hg38_chr21:26846600-26846610(+) GGACAATGGCG >hg38_chr21:26852575-26852585(+) agacaaaggag >hg38_chr21:26940380-26940390(-) atacaataatt >hg38_chr21:26940975-26940985(-) AAACAGTGGTA >hg38_chr21:27045775-27045785(-) TGACAATAGCA >hg38_chr21:27047519-27047529(+) GCACAATGATA >hg38_chr21:27105608-27105618(+) agacaaaggac >hg38_chr21:27105642-27105652(-) aaacaatggtg >hg38_chr21:27136153-27136163(+) acacaatggaa >hg38_chr21:27180287-27180297(-) GAACAAAGGCA >hg38_chr21:27201796-27201806(+) ATAcactggac >hg38_chr21:27206426-27206436(+) aaacaaaggtg >hg38_chr21:27215520-27215530(-) ACACAAAGGAC >hg38_chr21:27221700-27221710(-) TAATAATGGGT >hg38_chr21:27268465-27268475(+) AAACAATACTA >hg38_chr21:27268968-27268978(+) AAACAATGTTT >hg38_chr21:27269229-27269239(+) GAACAATATAC >hg38_chr21:27269275-27269285(-) AGACAATAGAC >hg38_chr21:27269294-27269304(-) CCACAATGTAG >hg38_chr21:27270653-27270663(-) atacaataatg >hg38_chr21:27270662-27270672(-) caataatggat >hg38_chr21:27270665-27270675(-) atacaataatg >hg38_chr21:27298211-27298221(-) gaacaataagt >hg38_chr21:27306801-27306811(-) GAACAATAGCT >hg38_chr21:27313702-27313712(-) atacaataagg >hg38_chr21:27313721-27313731(+) atacaatagtg >hg38_chr21:27314194-27314204(-) acacaatgaaa >hg38_chr21:27321077-27321087(+) TAACAATAAGA >hg38_chr21:27326077-27326087(+) AAACAATGAGA >hg38_chr21:27331946-27331956(+) GAACAAAGGCT >hg38_chr21:27345386-27345396(+) AGACAATAAAC >hg38_chr21:27418821-27418831(+) GTACAATAACA >hg38_chr21:27460742-27460752(+) aaataatggat >hg38_chr21:27477933-27477943(-) TAACAATGGAA >hg38_chr21:27477954-27477964(+) GGACAAAGGAG >hg38_chr21:27480927-27480937(-) TAACAAAGGTA >hg38_chr21:27483734-27483744(+) AAACAATGCTG >hg38_chr21:27514095-27514105(-) AAACAATGGTC >hg38_chr21:27514118-27514128(+) TAACAATAAGA >hg38_chr21:27514165-27514175(-) TAATAATGGGT >hg38_chr21:27519419-27519429(+) ATACAATGCCA >hg38_chr21:27536830-27536840(+) aaacaataaaa >hg38_chr21:27572756-27572766(+) GGACAATAGAC >hg38_chr21:27581299-27581309(-) TAACAATAGTT >hg38_chr21:27611708-27611718(-) TGATAATGGTA >hg38_chr21:27617433-27617443(+) taacaatagtt >hg38_chr21:27617442-27617452(-) taacaatataa >hg38_chr21:27617474-27617484(-) aaacaatagat >hg38_chr21:27671396-27671406(+) CTACAATGCAA >hg38_chr21:27761886-27761896(-) gaacaaaggtt >hg38_chr21:27822529-27822539(+) ggacaatgtgg >hg38_chr21:28078967-28078977(+) TAACTATGGTA >hg38_chr21:28110892-28110902(-) tcacaatggga >hg38_chr21:28110933-28110943(+) taacaatgaaa >hg38_chr21:28112058-28112068(+) GAACAATAGCA >hg38_chr21:28168287-28168297(+) CAACAATGATA >hg38_chr21:28185440-28185450(+) TAACAATGAAT >hg38_chr21:28185457-28185467(-) GAACAATGTAA >hg38_chr21:28188000-28188010(+) aaacaatagaa >hg38_chr21:28257569-28257579(+) AGACAATGAAG >hg38_chr21:28277873-28277883(+) tcacaatagag >hg38_chr21:28280847-28280857(-) ggacaatgtta >hg38_chr21:28297920-28297930(-) AGACAATAGCT >hg38_chr21:28297930-28297940(-) TGACAATGCAA >hg38_chr21:28314249-28314259(+) aaacaatagca >hg38_chr21:28325881-28325891(+) acacaatagga >hg38_chr21:28337108-28337118(-) AAACAATGTAT >hg38_chr21:28344349-28344359(+) CAACAATGGAT >hg38_chr21:28363237-28363247(-) gcacaatagat >hg38_chr21:28363266-28363276(+) gtacaatatcc >hg38_chr21:28363846-28363856(-) caataatggac >hg38_chr21:28380526-28380536(-) TAACTATGGCA >hg38_chr21:28385887-28385897(+) ctacaatgtaa >hg38_chr21:28385926-28385936(+) CAACAATGTAA >hg38_chr21:28385941-28385951(+) TTACAATGTAA >hg38_chr21:28385957-28385967(+) TAACAATGTAA >hg38_chr21:28386850-28386860(+) gtacaataaat >hg38_chr21:28386858-28386868(-) taacaataatt >hg38_chr21:28389940-28389950(+) TAATAATAGTA >hg38_chr21:28389985-28389995(-) agacaatgtgt >hg38_chr21:28517218-28517228(+) tgacaatggcg >hg38_chr21:28537570-28537580(+) CAACAATGATG >hg38_chr21:28541356-28541366(-) gtactatggta >hg38_chr21:28647627-28647637(+) GAACAAAGGCT >hg38_chr21:28672663-28672673(+) agacaatggtt >hg38_chr21:28675210-28675220(+) GTACAATGATT >hg38_chr21:28688931-28688941(-) gaacaatacgt >hg38_chr21:28720174-28720184(+) caacaatagac >hg38_chr21:28738861-28738871(+) TTACAATGGGG >hg38_chr21:28754282-28754292(+) AAACAATAAAT >hg38_chr21:28756711-28756721(+) Aaacaatagta >hg38_chr21:28790461-28790471(-) AAACAAAGGAT >hg38_chr21:28790473-28790483(-) AAACAATGTCC >hg38_chr21:28794742-28794752(+) GAACAATGGGA >hg38_chr21:28794765-28794775(-) AAACAAAGGCA >hg38_chr21:28802169-28802179(+) gtacaatgact >hg38_chr21:28856722-28856732(+) atacaatgagt >hg38_chr21:28885340-28885350(-) GGACTATGGCA >hg38_chr21:28920308-28920318(-) tgataatggtg >hg38_chr21:28921634-28921644(+) TGACAATGGTG >hg38_chr21:28922582-28922592(-) aaacaatagga >hg38_chr21:28938938-28938948(+) ttacaatggga >hg38_chr21:28942634-28942644(-) gtacaaaggca >hg38_chr21:28944570-28944580(+) ATACAATGCCT >hg38_chr21:28944603-28944613(+) AAACAATAAAA >hg38_chr21:28957948-28957958(+) CTACAATGCAC >hg38_chr21:28957968-28957978(+) TGACAAAGGAT >hg38_chr21:28971722-28971732(-) CTATAATGGAG >hg38_chr21:28982727-28982737(-) gcacaatgtat >hg38_chr21:29008049-29008059(+) TGACAATGTTT >hg38_chr21:29027760-29027770(+) ttacaatgtga >hg38_chr21:29044864-29044874(+) ctataatggtg >hg38_chr21:29069084-29069094(-) TAACAGTGGTT >hg38_chr21:29069152-29069162(+) AGACAATGGTA >hg38_chr21:29075956-29075966(+) GGACAATGCAC >hg38_chr21:29119507-29119517(-) cgacaataaaa >hg38_chr21:29146686-29146696(-) AGACAATGGGT >hg38_chr21:29146735-29146745(-) TGACAATGCAT >hg38_chr21:29184847-29184857(+) CAACAATGATA >hg38_chr21:29194151-29194161(+) TGACAATGACT >hg38_chr21:29206319-29206329(-) gtacaaaggac >hg38_chr21:29206567-29206577(+) TCACAATGTAG >hg38_chr21:29206619-29206629(-) ACACAATGCTT >hg38_chr21:29301326-29301336(+) ATATAATGCGC >hg38_chr21:29313619-29313629(+) atacaaaggaa >hg38_chr21:29314154-29314164(-) AAACAATGAAG >hg38_chr21:29314191-29314201(+) TAACAGTGGAA >hg38_chr21:29423274-29423284(-) AGACAATGAGC >hg38_chr21:29441778-29441788(+) GGACAATGAAA >hg38_chr21:29489374-29489384(+) TAacaatagta >hg38_chr21:29489443-29489453(-) ctacaatgtgc >hg38_chr21:29491581-29491591(-) tcacaatagga >hg38_chr21:29523720-29523730(-) GTACAATAGAC >hg38_chr21:29523727-29523737(+) GTACAATATAA >hg38_chr21:29523736-29523746(+) AAACAATGAGT >hg38_chr21:29542654-29542664(-) CTACAATGAGA >hg38_chr21:29542948-29542958(-) TGACAATAATA >hg38_chr21:29558533-29558543(-) AAACAATGAAG >hg38_chr21:29582882-29582892(+) AAACAATGGCG >hg38_chr21:29584252-29584262(+) ATATAATGGTG >hg38_chr21:29604259-29604269(+) TAACAATGCTT >hg38_chr21:29605793-29605803(-) GGACAATGGCT >hg38_chr21:29617939-29617949(-) gaacaatggaa >hg38_chr21:29704767-29704777(-) GGACAATGGGC >hg38_chr21:29718508-29718518(-) TAACAATGTCA >hg38_chr21:29718517-29718527(+) TAATAATGGGA >hg38_chr21:29721505-29721515(+) CGACAATGGGC >hg38_chr21:29786994-29787004(-) TTACAATGAAT >hg38_chr21:29808387-29808397(+) ATACAATACAC >hg38_chr21:29878325-29878335(-) atacaataggt >hg38_chr21:29886609-29886619(+) GTACAATAACT >hg38_chr21:29928484-29928494(-) ACACTATGGTC >hg38_chr21:29958493-29958503(+) GAACAATAAAC >hg38_chr21:29960871-29960881(+) GCATAATGGAA >hg38_chr21:29960893-29960903(-) CAACAATGTAT >hg38_chr21:30004320-30004330(+) caacaatggga >hg38_chr21:30008234-30008244(-) TTACAAAGGAG >hg38_chr21:30015047-30015057(+) GGACAATAAAC >hg38_chr21:30028768-30028778(-) AAACAATAAGA >hg38_chr21:30028823-30028833(+) AGACAAAGGCA >hg38_chr21:30049874-30049884(+) atacaaagggt >hg38_chr21:30081534-30081544(-) AAACAATGCTA >hg38_chr21:30089498-30089508(+) acacaATGAAA >hg38_chr21:30089519-30089529(-) AAACAATGTCT >hg38_chr21:30102978-30102988(-) TAACAATAGCA >hg38_chr21:30103020-30103030(+) AAACGATGGCT >hg38_chr21:30108865-30108875(+) caacaatgtat >hg38_chr21:30174664-30174674(+) aaacaatagca >hg38_chr21:30175725-30175735(+) TAACAATGAGA >hg38_chr21:30209744-30209754(+) ACACAATGCTT >hg38_chr21:30349877-30349887(-) ATATAATGGTA >hg38_chr21:30483306-30483316(-) CAACAATGGGC >hg38_chr21:30525156-30525166(+) ttacaatgaac >hg38_chr21:30620713-30620723(+) tgactatggga >hg38_chr21:30715054-30715064(+) TAATAATGTAT >hg38_chr21:31076782-31076792(-) CTACAAAGGAT >hg38_chr21:31157951-31157961(+) AGACAAAGGAA >hg38_chr21:31157971-31157981(-) GCACAAAGGAA >hg38_chr21:31159242-31159252(+) GGACAATGGCA >hg38_chr21:31160480-31160490(-) AAACAATGACA >hg38_chr21:31203518-31203528(+) ACACACTGGTA >hg38_chr21:31203595-31203605(-) GCACAATGAAT >hg38_chr21:31203994-31204004(+) GAACAATAGAT >hg38_chr21:31220810-31220820(+) AGACAATGCCT >hg38_chr21:31252624-31252634(+) TGACAATGAAT >hg38_chr21:31311095-31311105(+) GAACAAAGGAG >hg38_chr21:31339116-31339126(+) AAACAATCGTC >hg38_chr21:31339129-31339139(+) AAACAATGAAC >hg38_chr21:31339141-31339151(-) GGACAATAGAA >hg38_chr21:31343811-31343821(+) ATACAATGATC >hg38_chr21:31355010-31355020(-) GAACAAAGGCT >hg38_chr21:31366974-31366984(+) ATACAAAGGGA >hg38_chr21:31418784-31418794(+) CGACACTGGAC >hg38_chr21:31484415-31484425(+) GCACAATGGAG >hg38_chr21:31558750-31558760(+) AAACAATACGT >hg38_chr21:31565948-31565958(+) TAACAATATTA >hg38_chr21:31583701-31583711(+) GAACAATGCTG >hg38_chr21:31586283-31586293(-) tgacaatgcag >hg38_chr21:31670704-31670714(+) TAACACTGGGA >hg38_chr21:31680358-31680368(-) ATACAGTGGAT >hg38_chr21:31716934-31716944(+) gtacaatgaaa >hg38_chr21:31729907-31729917(+) TAACAATGTGC >hg38_chr21:31732496-31732506(+) GAACAAAGGGC >hg38_chr21:31860795-31860805(-) ctacaatgtta >hg38_chr21:31908687-31908697(-) AAACAATGGGC >hg38_chr21:31943932-31943942(-) ACACAATAATA >hg38_chr21:32007808-32007818(+) GAACAATGGTG >hg38_chr21:32066382-32066392(+) CAACAATGACC >hg38_chr21:32096644-32096654(-) AGACAAAGGAA >hg38_chr21:32097039-32097049(+) ggacaatggtc >hg38_chr21:32100603-32100613(-) AAACAATGCCT >hg38_chr21:32147129-32147139(-) CGACAATGGAC >hg38_chr21:32183720-32183730(+) tcacaatgaca >hg38_chr21:32186913-32186923(+) GCACAATGCAG >hg38_chr21:32296611-32296621(-) agacaataggc >hg38_chr21:32296634-32296644(-) taacaataggt >hg38_chr21:32296672-32296682(+) acacaatggta >hg38_chr21:32306475-32306485(-) TAACAATAACT >hg38_chr21:32325871-32325881(+) CGACAAAGGAC >hg38_chr21:32413983-32413993(+) ACATAATGGTG >hg38_chr21:32426972-32426982(-) ACACAATAGAC >hg38_chr21:32478859-32478869(+) ACACAATAGGC >hg38_chr21:32482054-32482064(-) gaacaatgccA >hg38_chr21:32493320-32493330(+) AGACAATAGTG >hg38_chr21:32521366-32521376(+) GAACTATGGTC >hg38_chr21:32560950-32560960(-) gtacaatagaa >hg38_chr21:32612788-32612798(+) CCACAATCGCG >hg38_chr21:32630898-32630908(+) TTACAATGACT >hg38_chr21:32636759-32636769(+) tcacaatgaca >hg38_chr21:32643535-32643545(-) TTACTATGGAA >hg38_chr21:32644364-32644374(+) CTACAATAGAA >hg38_chr21:32666615-32666625(+) TGACAATAGCC >hg38_chr21:32702991-32703001(-) GTACAAAGGAG >hg38_chr21:32718950-32718960(+) ACACAATATAT >hg38_chr21:32718965-32718975(+) ATACAATAGCC >hg38_chr21:32737380-32737390(-) AAAcaatggtt >hg38_chr21:32749767-32749777(+) aaacaatgcta >hg38_chr21:32754393-32754403(+) tgACAAAGGGA >hg38_chr21:32756527-32756537(+) caacaatgtcc >hg38_chr21:32848159-32848169(-) AAACAAAGGCT >hg38_chr21:32888518-32888528(+) AAACAAAGGGC >hg38_chr21:32888544-32888554(+) CAACAATGAAG >hg38_chr21:32911080-32911090(-) TCACAATGTCC >hg38_chr21:32918895-32918905(+) ATACAATGTCA >hg38_chr21:32950782-32950792(-) GTACAATGGTA >hg38_chr21:32950818-32950828(-) AAACAATGTCA >hg38_chr21:32954533-32954543(-) TCACAATGGAG >hg38_chr21:32955461-32955471(+) ATACAATGCTG >hg38_chr21:32968303-32968313(-) taacaaaggat >hg38_chr21:32972521-32972531(-) gtacaatgcct >hg38_chr21:32972776-32972786(-) ATACAAAGGCA >hg38_chr21:32978822-32978832(+) GTACAATAGGC >hg38_chr21:32994944-32994954(+) atacaaaggac >hg38_chr21:33011493-33011503(-) CGACAAAGGAG >hg38_chr21:33039365-33039375(-) TCACAAAGGAT >hg38_chr21:33039666-33039676(+) GAACAATGTTG >hg38_chr21:33064813-33064823(-) AAACAAAGGTG >hg38_chr21:33076665-33076675(+) TAACAATGGTG >hg38_chr21:33076685-33076695(-) aCACAATGAGC >hg38_chr21:33078321-33078331(-) AGACAATGGAA >hg38_chr21:33144846-33144856(+) GAACAATGGTT >hg38_chr21:33149030-33149040(+) AGACAATGGTC >hg38_chr21:33162905-33162915(+) GAACAAAGGAA >hg38_chr21:33199905-33199915(-) AAACAATGACT >hg38_chr21:33216966-33216976(+) aaacaataggg >hg38_chr21:33220914-33220924(-) agacaaaggac >hg38_chr21:33221191-33221201(-) gtacaatacca >hg38_chr21:33226036-33226046(-) taacaatgtta >hg38_chr21:33259536-33259546(-) CTACAATGCAC >hg38_chr21:33299604-33299614(-) GAACAAAGGTG >hg38_chr21:33404214-33404224(-) CTACAAAGGGA >hg38_chr21:33544674-33544684(-) ctacaatggga >hg38_chr21:33568669-33568679(-) TGACAATGGGT >hg38_chr21:33622470-33622480(+) GAACAAAGGGT >hg38_chr21:33650142-33650152(+) GGACAATGCTC >hg38_chr21:33674568-33674578(-) GCACAAAGGGA >hg38_chr21:33674586-33674596(+) AGACAATAGAG >hg38_chr21:33683447-33683457(-) GTACAATGGCT >hg38_chr21:33691079-33691089(-) GAACAAAGGAA >hg38_chr21:33696118-33696128(-) GGACAATGCAG >hg38_chr21:33696411-33696421(-) AGACAATAAGA >hg38_chr21:33696427-33696437(-) CCACAATGGAC >hg38_chr21:33698621-33698631(+) TGACAATAGGG >hg38_chr21:33714897-33714907(-) gtacaatagac >hg38_chr21:33715582-33715592(+) ATACAATATAT >hg38_chr21:33729845-33729855(+) gaacaaaggga >hg38_chr21:33730242-33730252(-) atacaatgggt >hg38_chr21:33789641-33789651(-) GAACAATATAT >hg38_chr21:33789678-33789688(-) AAACAATATTA >hg38_chr21:33799439-33799449(-) GTACAATGTAG >hg38_chr21:33803123-33803133(-) gaacaatgcta >hg38_chr21:33803191-33803201(+) ggacagtggat >hg38_chr21:33822155-33822165(+) CAACACTGGCG >hg38_chr21:33843415-33843425(-) GGACAAAGGGC >hg38_chr21:33850047-33850057(-) TCATAATGGGC >hg38_chr21:33915988-33915998(-) TAACAATGACT >hg38_chr21:33924199-33924209(-) TCACAATGTGA >hg38_chr21:33942658-33942668(-) TAACAAAGGAC >hg38_chr21:33948697-33948707(-) GAACAAAGGCA >hg38_chr21:33948728-33948738(-) AAACAATAACT >hg38_chr21:34050032-34050042(+) tcataatggtt >hg38_chr21:34062139-34062149(-) ctacaatgagc >hg38_chr21:34085398-34085408(-) ttacaatggcc >hg38_chr21:34100544-34100554(-) ATACAATGGAT >hg38_chr21:34105603-34105613(-) ACACAATATAC >hg38_chr21:34105632-34105642(-) CTACAATGCCT >hg38_chr21:34126566-34126576(+) TAACAATGAGG >hg38_chr21:34149039-34149049(-) GAACAATGCAC >hg38_chr21:34149053-34149063(+) AGACAATGCCT >hg38_chr21:34176784-34176794(+) ctacaatggaa >hg38_chr21:34206131-34206141(+) aaacaatgctg >hg38_chr21:34262560-34262570(+) TCACAATGGAG >hg38_chr21:34351944-34351954(-) CCACAATGTGT >hg38_chr21:34534704-34534714(-) CCACAATGAAT >hg38_chr21:34544133-34544143(-) ccacaATAggt >hg38_chr21:34545575-34545585(-) ACACAAAGGAT >hg38_chr21:34545633-34545643(-) ccacaatgtcg >hg38_chr21:34553194-34553204(+) CCACAATGGAC >hg38_chr21:34613141-34613151(-) agacAATGTAG >hg38_chr21:34615310-34615320(-) GTACAATAACC >hg38_chr21:34635474-34635484(-) gaacaatggcg >hg38_chr21:34668917-34668927(+) AAACAAAGGAG >hg38_chr21:34691674-34691684(-) GATCAATGGTA >hg38_chr21:34691702-34691712(-) GCACAATGTGC >hg38_chr21:34698680-34698690(-) gtacaatgaaa >hg38_chr21:34731956-34731966(+) ATACAATAAGT >hg38_chr21:34771720-34771730(+) gaacaaaggga >hg38_chr21:34835660-34835670(+) AGACAATGGCC >hg38_chr21:34866169-34866179(+) ACACAAAGGAG >hg38_chr21:34866213-34866223(+) ACACAATCGCA >hg38_chr21:34869838-34869848(+) GGACAAAGGGA >hg38_chr21:34882883-34882893(-) TTACAATAGAG >hg38_chr21:34882952-34882962(-) CAACAATGAGT >hg38_chr21:34900656-34900666(-) GAACAATGTGT >hg38_chr21:34910509-34910519(-) GGACAAAGGGC >hg38_chr21:34934407-34934417(+) tgaCAATGTCT >hg38_chr21:34960866-34960876(+) TGACAATGGGA >hg38_chr21:34983303-34983313(+) AGACAATGGCC >hg38_chr21:34987071-34987081(+) TGACAATGGCT >hg38_chr21:35044250-35044260(-) GAACAAAGGAA >hg38_chr21:35051425-35051435(+) ATACAAAGGCC >hg38_chr21:35087944-35087954(+) gaacaaagtcg >hg38_chr21:35087992-35088002(+) gtacaaaggta >hg38_chr21:35088903-35088913(+) atacaatggga >hg38_chr21:35147796-35147806(-) GAACAATAAAC >hg38_chr21:35169112-35169122(+) ATACAATGCTT >hg38_chr21:35190408-35190418(+) GCACAATGCTG >hg38_chr21:35205461-35205471(-) CGACAATGGCC >hg38_chr21:35218549-35218559(-) CTACAATGGGT >hg38_chr21:35218618-35218628(+) ATACAATGAGT >hg38_chr21:35219672-35219682(+) aaacaataaaa >hg38_chr21:35294657-35294667(+) AAACAATGCAG >hg38_chr21:35362599-35362609(-) agacaatagca >hg38_chr21:35387510-35387520(-) aaacaatgaac >hg38_chr21:35387658-35387668(+) gcacaatataa >hg38_chr21:35387663-35387673(+) atataatgtat >hg38_chr21:35388095-35388105(-) ctacaatgctg >hg38_chr21:35388114-35388124(-) agacaatggaa >hg38_chr21:35404574-35404584(+) taacaataact >hg38_chr21:35430941-35430951(+) AAACAATGAGT >hg38_chr21:35438101-35438111(-) acacaatgaac >hg38_chr21:35457716-35457726(+) TGACAATGGTT >hg38_chr21:35470704-35470714(-) TAACAATAATT >hg38_chr21:35489183-35489193(-) AGACAATGGTT >hg38_chr21:35582344-35582354(-) TAACAATAACA >hg38_chr21:35583475-35583485(-) GAACAAAGGAA >hg38_chr21:35593107-35593117(-) AAACAGTGGTA >hg38_chr21:35593124-35593134(-) TAACAATGATA >hg38_chr21:35614777-35614787(-) GAACAATAGGG >hg38_chr21:35614819-35614829(-) gaacaaaggct >hg38_chr21:35619934-35619944(-) AAACAAAGGAA >hg38_chr21:35716623-35716633(+) GGACAATGTGG >hg38_chr21:35717679-35717689(-) GGACAATGTTT >hg38_chr21:35738814-35738824(-) AAACAATAATA >hg38_chr21:35756426-35756436(-) GGACAATCGGT >hg38_chr21:35772161-35772171(+) GTACAATACTC >hg38_chr21:35772811-35772821(+) CCACAATGGAC >hg38_chr21:35827138-35827148(+) GCACAATGCTG >hg38_chr21:35840368-35840378(+) TTACAATAGCA >hg38_chr21:35851914-35851924(-) GAACAATGAAG >hg38_chr21:35851990-35852000(-) taacaATGGCC >hg38_chr21:35910883-35910893(-) TAACaatggtt >hg38_chr21:35910905-35910915(+) ACACAATGGGG >hg38_chr21:35938795-35938805(-) TCACAATGTAG >hg38_chr21:36059143-36059153(-) ATATAATGGGA >hg38_chr21:36076180-36076190(+) aaacaatgacc >hg38_chr21:36080625-36080635(+) aaacaatggaa >hg38_chr21:36136448-36136458(-) CAACAATGCGG >hg38_chr21:36163920-36163930(-) gaacaaaggca >hg38_chr21:36178905-36178915(+) agacaatgagc >hg38_chr21:36211080-36211090(-) GAACAATGATG >hg38_chr21:36254681-36254691(-) ttacaatgata >hg38_chr21:36320502-36320512(-) ACACAATGCAG >hg38_chr21:36321899-36321909(+) AGATAATGGTC >hg38_chr21:36321923-36321933(-) TAACAATGCTG >hg38_chr21:36336959-36336969(+) CGACAATGGGA >hg38_chr21:36351636-36351646(-) acacaatggga >hg38_chr21:36351652-36351662(-) aaactatggta >hg38_chr21:36356916-36356926(+) AAACAATGTTT >hg38_chr21:36371660-36371670(-) ttacaacggtt >hg38_chr21:36454248-36454258(-) GCACAATACGC >hg38_chr21:36534990-36535000(+) TGATAATGGAT >hg38_chr21:36558518-36558528(-) acacaaaggaa >hg38_chr21:36623673-36623683(+) TGACAATGGTC >hg38_chr21:36647520-36647530(+) GGACAATGGGA >hg38_chr21:36703311-36703321(-) TGACAATGGGG >hg38_chr21:36750401-36750411(-) CTACAAAGGTA >hg38_chr21:36756684-36756694(-) ATACAATAAAC >hg38_chr21:36777486-36777496(-) ggacaatggac >hg38_chr21:36916918-36916928(+) CCACAATAGGG >hg38_chr21:37039624-37039634(-) CTATAATGGAC >hg38_chr21:37070118-37070128(+) CAACAATGGCT >hg38_chr21:37073658-37073668(-) GCACAAAGGGA >hg38_chr21:37074829-37074839(-) AGACAATAAGA >hg38_chr21:37077759-37077769(-) gaacaaagggt >hg38_chr21:37105857-37105867(-) caacaatagaa >hg38_chr21:37120085-37120095(-) CAACAATGGAC >hg38_chr21:37149777-37149787(+) gaacaatgtcc >hg38_chr21:37163110-37163120(-) aaacaatgtct >hg38_chr21:37182299-37182309(-) AGACAATGCTA >hg38_chr21:37233181-37233191(+) GGACAATGATG >hg38_chr21:37247755-37247765(+) aaacaaaggca >hg38_chr21:37247799-37247809(+) agacaatgctg >hg38_chr21:37251309-37251319(+) caacaatagga >hg38_chr21:37271384-37271394(+) TAACAATGGGG >hg38_chr21:37273698-37273708(-) ATACAAAGGCA >hg38_chr21:37292214-37292224(-) GAACAATGCAT >hg38_chr21:37292227-37292237(+) CAATAATGGAA >hg38_chr21:37375296-37375306(-) CCACAATAGTG >hg38_chr21:37375369-37375379(+) GAACAAAGGTA >hg38_chr21:37395892-37395902(+) TCATAATGGCA >hg38_chr21:37402427-37402437(-) agacaatggaa >hg38_chr21:37402444-37402454(-) aaacaatggaa >hg38_chr21:37405964-37405974(-) CAACAATGAGA >hg38_chr21:37407990-37408000(+) ACACAATGAAT >hg38_chr21:37418512-37418522(-) TTACAATGCTA >hg38_chr21:37422502-37422512(-) GAACAATGCCA >hg38_chr21:37441822-37441832(-) atacaaaggaa >hg38_chr21:37447652-37447662(-) GTACAATGCTT >hg38_chr21:37447666-37447676(-) GTATAATGCCG >hg38_chr21:37461338-37461348(-) gcacaaaggac >hg38_chr21:37474845-37474855(-) GAACTATGGAA >hg38_chr21:37475531-37475541(+) TCACAATGAAA >hg38_chr21:37487964-37487974(+) ACACAATGATC >hg38_chr21:37502046-37502056(-) gaacactggta >hg38_chr21:37520599-37520609(+) TTACAATGGGC >hg38_chr21:37568864-37568874(-) tgacaatgaca >hg38_chr21:37568870-37568880(-) tgacaatgaca >hg38_chr21:37593145-37593155(+) caacaatgaga >hg38_chr21:37593176-37593186(-) gaacaatggct >hg38_chr21:37621132-37621142(+) TTACAATATAT >hg38_chr21:37669028-37669038(-) agacaatagat >hg38_chr21:37707270-37707280(+) GGACAATGAAG >hg38_chr21:37711434-37711444(-) CAACAATGTCG >hg38_chr21:37819537-37819547(+) CAACAATGTAC >hg38_chr21:37819600-37819610(-) aaacaaaggtt >hg38_chr21:37843943-37843953(+) CAACAATGTCC >hg38_chr21:37855422-37855432(-) TCACAATAGAG >hg38_chr21:37855698-37855708(-) AAACAAAGGAC >hg38_chr21:37892143-37892153(-) ttacaatgggg >hg38_chr21:37908388-37908398(+) AAACAATGACT >hg38_chr21:37944723-37944733(-) AGACAACGGGA >hg38_chr21:37944763-37944773(+) ATACAATGCAG >hg38_chr21:37968571-37968581(-) CTACAATGGAC >hg38_chr21:37979046-37979056(+) gaacaatggtt >hg38_chr21:38145830-38145840(-) aaacaataggc >hg38_chr21:38183632-38183642(-) GAACAATGGGA >hg38_chr21:38228295-38228305(-) aaacaaaggga >hg38_chr21:38229505-38229515(+) gaacaaaggca >hg38_chr21:38268251-38268261(+) AAACAATGCCA >hg38_chr21:38269420-38269430(-) CAACAATAGTT >hg38_chr21:38269460-38269470(-) AAACAATGACA >hg38_chr21:38289853-38289863(+) CAACAATGCAT >hg38_chr21:38355450-38355460(-) GGACAAAGACG >hg38_chr21:38370299-38370309(+) ttacaatagtt >hg38_chr21:38377161-38377171(-) gcacaataccg >hg38_chr21:38377197-38377207(-) aaacaatgtgc >hg38_chr21:38413702-38413712(-) gaacaataacg >hg38_chr21:38424353-38424363(-) aaacaatggga >hg38_chr21:38460177-38460187(+) GAACAATGGGA >hg38_chr21:38484711-38484721(+) ggacaatgaca >hg38_chr21:38488505-38488515(-) AAACAATAGGG >hg38_chr21:38502188-38502198(+) CTACAATGGAA >hg38_chr21:38503636-38503646(+) AAACAATAGGA >hg38_chr21:38503657-38503667(-) GGACAATATAC >hg38_chr21:38525982-38525992(-) CAACAATAGTC >hg38_chr21:38628372-38628382(-) TGACAATGTTT >hg38_chr21:38684025-38684035(+) CAACAATGCCC >hg38_chr21:38690656-38690666(+) gtacaatgttc >hg38_chr21:38713586-38713596(-) AGACAATGGAA >hg38_chr21:38788171-38788181(-) GCACAATGCTC >hg38_chr21:38811443-38811453(-) GAACAATGAAT >hg38_chr21:38834939-38834949(-) CCACAATGAAC >hg38_chr21:38847324-38847334(+) AAACAATGGGA >hg38_chr21:38916198-38916208(+) GCACAATGTAA >hg38_chr21:38979463-38979473(-) tcacaatgaga >hg38_chr21:39073978-39073988(-) CGACACTGGGT >hg38_chr21:39083009-39083019(-) GGACAATGGGG >hg38_chr21:39186008-39186018(+) CCACAATGAAA >hg38_chr21:39231453-39231463(-) ccacaatagtg >hg38_chr21:39258376-39258386(-) AAACAATGTAT >hg38_chr21:39280721-39280731(+) GAACAATGGAT >hg38_chr21:39285044-39285054(+) tcacaatagtc >hg38_chr21:39285432-39285442(+) taacaatgtga >hg38_chr21:39287612-39287622(+) tgataatggtt >hg38_chr21:39287650-39287660(+) gaacaatgtgt >hg38_chr21:39312273-39312283(-) GTACAATATTT >hg38_chr21:39326855-39326865(-) gtacagtggca >hg38_chr21:39332421-39332431(+) agacaatggct >hg38_chr21:39348648-39348658(+) GAACAATGCCC >hg38_chr21:39381497-39381507(-) GGACAATGCAG >hg38_chr21:39387887-39387897(-) acacaataaAT >hg38_chr21:39430755-39430765(+) taacaatgcca >hg38_chr21:39450679-39450689(-) GGACAAAGGGC >hg38_chr21:39480450-39480460(-) tcacaaaggac >hg38_chr21:39495337-39495347(-) acacaatagtc >hg38_chr21:39498100-39498110(-) gtaccatggac >hg38_chr21:39518457-39518467(-) TTACAATGTAC >hg38_chr21:39521423-39521433(-) tcactatggaa >hg38_chr21:39521492-39521502(-) aaacaatagat >hg38_chr21:39542469-39542479(+) tgacaataggc >hg38_chr21:39595608-39595618(-) GAACAATGAGC >hg38_chr21:39637657-39637667(+) GAACAAAGGCC >hg38_chr21:39643604-39643614(-) AAACAATAACC >hg38_chr21:39676378-39676388(-) TGACAAAGGAC >hg38_chr21:39681420-39681430(+) TTACAATGCAA >hg38_chr21:39694599-39694609(-) caacaatggaa >hg38_chr21:39707450-39707460(+) gaataatggct >hg38_chr21:39715997-39716007(+) TTACAATGAAG >hg38_chr21:39724968-39724978(+) ATACAATACAA >hg38_chr21:39788321-39788331(+) AGACAATGCTG >hg38_chr21:40144093-40144103(+) AAACAATGAAA >hg38_chr21:40208541-40208551(-) AAACAATGAAA >hg38_chr21:40209103-40209113(+) GGACAATGAGT >hg38_chr21:40291718-40291728(+) tgacaatgcta >hg38_chr21:40291747-40291757(-) GGACAATgggg >hg38_chr21:40335813-40335823(+) tgacaatgctg >hg38_chr21:40509480-40509490(-) GAACAATGGGG >hg38_chr21:40516377-40516387(+) AAACAATGTAG >hg38_chr21:40547831-40547841(+) GAACAATGGAA >hg38_chr21:40562771-40562781(-) CCACAATAGTG >hg38_chr21:40579009-40579019(-) gcacaatgcat >hg38_chr21:40603348-40603358(-) taacaatgcca >hg38_chr21:40687441-40687451(+) TCACAATAGAA >hg38_chr21:40865235-40865245(-) AGACAAAGGGC >hg38_chr21:40875481-40875491(+) atacaataaag >hg38_chr21:40939752-40939762(-) TCACAATAGAG >hg38_chr21:41068573-41068583(+) AGACAATGGGC >hg38_chr21:41109409-41109419(+) TAACAATAGCA >hg38_chr21:41134071-41134081(-) AAACAATGAGG >hg38_chr21:41183799-41183809(-) gaacaataaca >hg38_chr21:41326686-41326696(+) CCACAATGGCC >hg38_chr21:41360126-41360136(-) GGACAAAGGCA >hg38_chr21:41477917-41477927(+) AGACAATGGCC >hg38_chr21:41594513-41594523(+) taacaaaggta >hg38_chr21:41754229-41754239(+) GAACAAAGGCG >hg38_chr21:41761728-41761738(+) GAACAATGTCA >hg38_chr21:41762195-41762205(-) AAACAATGACT >hg38_chr21:41769716-41769726(+) GAACAATGAAG >hg38_chr21:41786629-41786639(+) AAACAATGGTT >hg38_chr21:41841327-41841337(+) taataatggaa >hg38_chr21:41966269-41966279(+) GAATAATGGCT >hg38_chr21:41983515-41983525(+) gaacaatgcac >hg38_chr21:41983528-41983538(+) gaacaatagtg >hg38_chr21:42007112-42007122(-) TAACAATAAAG >hg38_chr21:42021962-42021972(+) GCACAATAGGG >hg38_chr21:42038176-42038186(-) GCACAATGGTC >hg38_chr21:42081403-42081413(-) AAACAATAAAA >hg38_chr21:42097976-42097986(-) AAACAATGGCC >hg38_chr21:42157101-42157111(+) AGACAAAGGCA >hg38_chr21:42170827-42170837(-) atacaaaggga >hg38_chr21:42170835-42170845(-) aaacaataata >hg38_chr21:42171150-42171160(+) gcacaatgctt >hg38_chr21:42222047-42222057(+) TTACTATGACG >hg38_chr21:42222057-42222067(+) GTACAATGTAT >hg38_chr21:42222118-42222128(+) AGACAATGCTT >hg38_chr21:42303256-42303266(+) AAACAATCGTG >hg38_chr21:42328314-42328324(+) tgacaaaggat >hg38_chr21:42328652-42328662(-) gaacaatacGG >hg38_chr21:42336075-42336085(+) aaacaaaggtg >hg38_chr21:42337088-42337098(+) ccacaatggct >hg38_chr21:42337150-42337160(-) ccacaatggag >hg38_chr21:42342296-42342306(+) ttacaatggcc >hg38_chr21:42342338-42342348(-) ttacaatgaag >hg38_chr21:42463975-42463985(-) aaacaatgcag >hg38_chr21:42484325-42484335(-) tcacaatcggt >hg38_chr21:42484367-42484377(-) agataatggaa >hg38_chr21:42484383-42484393(+) acacaatagtc >hg38_chr21:42514216-42514226(+) CGACAATGCCG >hg38_chr21:42524446-42524456(-) AAACACTGGTA >hg38_chr21:42551045-42551055(-) ttacaatggta >hg38_chr21:42571116-42571126(-) GAACAATGACC >hg38_chr21:42622126-42622136(+) ACACAATGAGT >hg38_chr21:42654488-42654498(-) GAACAAAGGGG >hg38_chr21:42654524-42654534(+) GGACAATGAGC >hg38_chr21:42667517-42667527(+) AAACAAAGGAA >hg38_chr21:42668591-42668601(-) AAATAATGGAT >hg38_chr21:42668603-42668613(+) TAACAGTGGAG >hg38_chr21:42677297-42677307(+) AGACAATGAAC >hg38_chr21:42927223-42927233(+) aaacaattgcg >hg38_chr21:42927987-42927997(+) aaacaataggg >hg38_chr21:42957062-42957072(+) ggacaaaggac >hg38_chr21:42980836-42980846(-) ttacaaaggca >hg38_chr21:43196898-43196908(-) TAACAATCGTT >hg38_chr21:43579783-43579793(-) ATACAATGGCT >hg38_chr21:43591838-43591848(-) ggacaaaggga >hg38_chr21:43659516-43659526(-) TCACAAAGGCG >hg38_chr21:43674017-43674027(+) AAACAATGGGA >hg38_chr21:43718873-43718883(+) GCACaatggtt >hg38_chr21:43732330-43732340(-) CGACAATGCAC >hg38_chr21:43781421-43781431(-) gtacaaaggcc >hg38_chr21:43812587-43812597(-) gaacaaaggag >hg38_chr21:43933356-43933366(-) tgacaaaggga >hg38_chr21:43936326-43936336(-) AAACAATGCCG >hg38_chr21:44044540-44044550(-) taacaaaggct >hg38_chr21:44263604-44263614(+) cgacaatgaag >hg38_chr21:44537045-44537055(-) gtacaatagtt >hg38_chr21:44549045-44549055(+) gaacaaaggag >hg38_chr21:44552769-44552779(-) TCACAATGGCG >hg38_chr21:44598234-44598244(-) gcataatggga >hg38_chr21:44598454-44598464(-) agacaaaggat >hg38_chr21:44686668-44686678(-) AAACAATAGCA >hg38_chr21:44686691-44686701(-) GGACAAAGGGA >hg38_chr21:44719013-44719023(-) acacaATGATC >hg38_chr21:44720181-44720191(-) caacaatagac >hg38_chr21:44720209-44720219(-) taacaatatag >hg38_chr21:44740895-44740905(-) aaacaatgaag >hg38_chr21:44790088-44790098(-) tcacaatgttg >hg38_chr21:44801041-44801051(+) ACACAATGGTA >hg38_chr21:44962532-44962542(-) atacaatgaaa >hg38_chr21:45021966-45021976(+) GGACAATGATA >hg38_chr21:45154522-45154532(+) ATACAATGTAC >hg38_chr21:45249223-45249233(-) AGACAATGAAA >hg38_chr21:45286239-45286249(+) ttacactggaa >hg38_chr21:45286248-45286258(+) aaacaatgact >hg38_chr21:45333916-45333926(-) agacaatgaga >hg38_chr21:45360216-45360226(+) ACACAATGAGG >hg38_chr21:45360235-45360245(-) ATACAATGGGC >hg38_chr21:45377513-45377523(-) ggacaaaggaa >hg38_chr21:45377540-45377550(-) taacaaagggt >hg38_chr21:45378316-45378326(+) ATACAAAGGGC >hg38_chr21:45401480-45401490(-) aaataatggtc >hg38_chr21:45406041-45406051(+) GAACAATGCCC >hg38_chr21:45433100-45433110(+) CAACAATGGCT >hg38_chr21:45603289-45603299(-) aaacaaaggat >hg38_chr21:45730258-45730268(-) acacaatggaa >hg38_chr21:45731183-45731193(+) acacaatgggt >hg38_chr21:45731219-45731229(+) gtacaatgagc >hg38_chr21:45735800-45735810(-) GAACAAAGGCG >hg38_chr21:45836474-45836484(-) TTACAAAGGAT >hg38_chr21:45879489-45879499(+) agacaatGCAG >hg38_chr21:46015036-46015046(+) gtacaatggca >hg38_chr21:46025887-46025897(+) acacaatgtgc >hg38_chr21:46026121-46026131(-) atacaattgaa >hg38_chr21:46226946-46226956(+) AAACAATGTGA >hg38_chr21:46242193-46242203(+) ggacaatagtg >hg38_chr21:46377180-46377190(-) CAACAATGAGT >hg38_chr21:46485160-46485170(-) ttacaataGAT >hg38_chr21:46573933-46573943(+) gaataatggat >hg38_chr21:46573945-46573955(+) gaacaataaga >hg38_chr21:46574491-46574501(+) agacaatagaa >hg38_chr21:46599449-46599459(-) GGACAATGATG >hg38_chr21:46599456-46599466(-) AAACACTGGAC >hg38_chr21:46626101-46626111(+) gaacaatgctg >hg38_chr21:46638442-46638452(+) aaacaatgctg >hg38_chr22:16788978-16788988(+) gaacaattgta >hg38_chr22:16916707-16916717(+) GAACAATAGAA >hg38_chr22:17051869-17051879(+) tgacaaaggga >hg38_chr22:17097481-17097491(+) TAACAATAAAG >hg38_chr22:17117028-17117038(+) AAACAATAGAA >hg38_chr22:17117083-17117093(+) ACACAATGTCC >hg38_chr22:17198853-17198863(-) TAACAAAGGCT >hg38_chr22:17198877-17198887(+) ACACAATGGGA >hg38_chr22:17205686-17205696(+) atacaatgcca >hg38_chr22:17234350-17234360(+) GGACAATGCTT >hg38_chr22:17235398-17235408(+) AAATAATGGAT >hg38_chr22:17350556-17350566(+) tCACAATGCAC >hg38_chr22:17350613-17350623(+) GCACAATGGGA >hg38_chr22:17367154-17367164(+) AGACAATGGCT >hg38_chr22:17368682-17368692(-) GCACAATGTGC >hg38_chr22:17369485-17369495(-) CTACAATATAA >hg38_chr22:17380555-17380565(-) ACACAATGCCA >hg38_chr22:17380874-17380884(-) AGACAATGAAC >hg38_chr22:17380888-17380898(+) CAACAATGGCA >hg38_chr22:17406628-17406638(+) ATACAATAGGG >hg38_chr22:17437301-17437311(-) CGACAACGCGT >hg38_chr22:17438142-17438152(-) TTACAATGCAT >hg38_chr22:17472433-17472443(-) ACACAAAGGAG >hg38_chr22:17475993-17476003(-) AGACAATGGAG >hg38_chr22:17493672-17493682(+) GGACAATAGGC >hg38_chr22:17539306-17539316(+) GGACAATAGAG >hg38_chr22:17563371-17563381(-) ACACAATAGCC >hg38_chr22:17570417-17570427(-) CCACAATGACT >hg38_chr22:17576630-17576640(-) CAACAAAGGCG >hg38_chr22:17580536-17580546(+) GAACAATAGCT >hg38_chr22:17580569-17580579(-) GGACTATGGAA >hg38_chr22:17587853-17587863(-) AGACAATGAGC >hg38_chr22:17708477-17708487(-) caacaatgcta >hg38_chr22:17725388-17725398(-) ACACAATGCAG >hg38_chr22:17787061-17787071(+) CAACAATGCAA >hg38_chr22:17910581-17910591(+) GAACAATGCGA >hg38_chr22:17927371-17927381(+) gcacaatgctg >hg38_chr22:17944491-17944501(+) GAACAATGAAG >hg38_chr22:17972921-17972931(+) CTACAATGCCC >hg38_chr22:18010431-18010441(+) taacaatagtg >hg38_chr22:18023438-18023448(+) TTACAATGAAA >hg38_chr22:18999052-18999062(-) TTACAATGGGG >hg38_chr22:19020313-19020323(-) taacaaaggat >hg38_chr22:19053629-19053639(-) ggacaatagag >hg38_chr22:19054113-19054123(+) gaataatggat >hg38_chr22:19085883-19085893(+) ATACAAAGGAA >hg38_chr22:19212680-19212690(+) gaacaaaggtg >hg38_chr22:19213262-19213272(+) ccacaatggca >hg38_chr22:19371779-19371789(-) ctacaataacg >hg38_chr22:19371830-19371840(-) atacaatagaa >hg38_chr22:19447182-19447192(-) AGACAAAGGAG >hg38_chr22:19479424-19479434(-) GGACAATGGGC >hg38_chr22:19479450-19479460(+) CCACAATGCAC >hg38_chr22:19515319-19515329(-) CAACAATAGGA >hg38_chr22:19610869-19610879(+) ATACAGTGGCT >hg38_chr22:19621181-19621191(+) TAACAATGGCC >hg38_chr22:19648570-19648580(+) acacaatagag >hg38_chr22:19648614-19648624(+) aaactatggag >hg38_chr22:19651558-19651568(+) aaacaatgcca >hg38_chr22:19654835-19654845(+) ccacaatgaga >hg38_chr22:19869296-19869306(+) GAACAATGAGC >hg38_chr22:19881432-19881442(+) AAACAATAGCC >hg38_chr22:19909466-19909476(+) AAACAATGACA >hg38_chr22:19942617-19942627(-) AAACAATAAAA >hg38_chr22:19967330-19967340(-) GGACAATGGCC >hg38_chr22:19974749-19974759(+) TGACAATAGAG >hg38_chr22:19986949-19986959(+) AGACAATAGCT >hg38_chr22:20117415-20117425(+) GGACAATGAGA >hg38_chr22:20320074-20320084(-) GGACAATCGTA >hg38_chr22:20509650-20509660(+) GTACAGTGGAA >hg38_chr22:20589297-20589307(+) GAACAATAGGG >hg38_chr22:20660518-20660528(-) atacaataaaa >hg38_chr22:20663292-20663302(-) acacaatagga >hg38_chr22:20799596-20799606(+) GCACAATGCCA >hg38_chr22:20799630-20799640(+) GAACAATGGGC >hg38_chr22:20830603-20830613(+) ccacaatgtgt >hg38_chr22:20926243-20926253(+) TAACAATGGGA >hg38_chr22:20962086-20962096(+) TCACAATGTTA >hg38_chr22:21594041-21594051(-) ACACAATGACC >hg38_chr22:21630131-21630141(-) GAACAAAGGCG >hg38_chr22:21632660-21632670(-) ACACAATGGTT >hg38_chr22:21641592-21641602(-) GAATAATGGCA >hg38_chr22:21652000-21652010(-) TAACAATGAGT >hg38_chr22:21657517-21657527(-) CGACAATGCCC >hg38_chr22:21713411-21713421(+) atacagtggaa >hg38_chr22:21735782-21735792(-) AAACAAAGGGC >hg38_chr22:21760639-21760649(+) GTACAATACCA >hg38_chr22:21761373-21761383(+) CCACAATGTCT >hg38_chr22:21797041-21797051(+) GGACAAAGGCA >hg38_chr22:21827513-21827523(+) TTACAATGGTC >hg38_chr22:21907271-21907281(-) aaacaatAGGG >hg38_chr22:21938347-21938357(+) GAACAATGGCC >hg38_chr22:22027107-22027117(+) aaacaatgaga >hg38_chr22:22037487-22037497(-) GGACAATGTGA >hg38_chr22:22037511-22037521(+) TCACAATGATG >hg38_chr22:22037534-22037544(+) GAACAATGCAG >hg38_chr22:22037911-22037921(+) TCACAATAGGC >hg38_chr22:22037929-22037939(+) GCACAATGCAT >hg38_chr22:22059638-22059648(-) GAACAAAGGGA >hg38_chr22:22092964-22092974(+) aaacaatgaaa >hg38_chr22:22127174-22127184(+) GTACAATGTTT >hg38_chr22:22129713-22129723(+) caacaatgttt >hg38_chr22:22156876-22156886(+) AAACAATAGCC >hg38_chr22:22205555-22205565(-) ATACAATGTTG >hg38_chr22:22316838-22316848(-) agacaatggga >hg38_chr22:22348365-22348375(-) TGACAATGTGC >hg38_chr22:22364784-22364794(+) caacaatgcac >hg38_chr22:22722416-22722426(+) gcacaatgcct >hg38_chr22:22723864-22723874(+) gaacaatgaaa >hg38_chr22:22777628-22777638(-) gaacaatgtcc >hg38_chr22:22781735-22781745(+) agacaatgaca >hg38_chr22:22797531-22797541(-) ttacactggat >hg38_chr22:22809266-22809276(+) gtacaatatcc >hg38_chr22:22847987-22847997(-) ggacaatgttg >hg38_chr22:22858754-22858764(-) TTACAATGGAC >hg38_chr22:23045554-23045564(+) aaacaacggca >hg38_chr22:23045570-23045580(-) gtacaatagaa >hg38_chr22:23174079-23174089(-) AGACAATGCAG >hg38_chr22:23180723-23180733(+) ACACAATAGCG >hg38_chr22:23199648-23199658(-) GGACAAAGGAG >hg38_chr22:23203914-23203924(+) CTACAAAGGGA >hg38_chr22:23230602-23230612(-) AGACAATGAGC >hg38_chr22:23230639-23230649(-) AGACAATGGAA >hg38_chr22:23241664-23241674(-) GGACAAAGGCA >hg38_chr22:23243127-23243137(+) gaacgatgggg >hg38_chr22:23325479-23325489(-) TCACAATGATA >hg38_chr22:23521735-23521745(+) GAACAAAGGCA >hg38_chr22:23684881-23684891(+) CCACAATAGGG >hg38_chr22:23755362-23755372(-) acacaatggat >hg38_chr22:24013865-24013875(-) aaacactggac >hg38_chr22:24013897-24013907(-) caacaatgcat >hg38_chr22:24016492-24016502(-) atactatggta >hg38_chr22:24016504-24016514(-) gtactatggta >hg38_chr22:24066438-24066448(-) GCACAATGAAT >hg38_chr22:24079608-24079618(-) gaacaatgaaa >hg38_chr22:24274191-24274201(-) TCACAATGATT >hg38_chr22:24289908-24289918(+) CGACAATGGCA >hg38_chr22:24302574-24302584(+) AGACAATAGGC >hg38_chr22:24331696-24331706(-) aaacaatgtga >hg38_chr22:24336021-24336031(-) aaacaatgcta >hg38_chr22:24370791-24370801(-) gcacaatgtct >hg38_chr22:24384268-24384278(+) gaataatggga >hg38_chr22:24385923-24385933(+) agacaatagtg >hg38_chr22:24400426-24400436(-) atacaatggat >hg38_chr22:24420184-24420194(+) AAACAATGAGG >hg38_chr22:24420225-24420235(+) GAACAAAGGGC >hg38_chr22:24429422-24429432(-) TAATAATGTAA >hg38_chr22:24432679-24432689(+) CAACAATGCGC >hg38_chr22:24452930-24452940(+) atacaatagtg >hg38_chr22:24452977-24452987(+) acacaaaggtt >hg38_chr22:24459444-24459454(+) ggacaataggt >hg38_chr22:24459456-24459466(-) ttacaatgaca >hg38_chr22:24501363-24501373(-) gcacaatgcct >hg38_chr22:24501385-24501395(+) agacaatgagg >hg38_chr22:24502310-24502320(-) AAACAAAGGAG >hg38_chr22:24525526-24525536(-) CTACAATGACT >hg38_chr22:24588581-24588591(+) AGACAATGAGC >hg38_chr22:24592405-24592415(-) AGACAGTGGAC >hg38_chr22:24592448-24592458(-) AGACAATGGAA >hg38_chr22:24718367-24718377(-) AAACAATGGgt >hg38_chr22:24729756-24729766(-) agacaatgcat >hg38_chr22:24729784-24729794(-) GAACTATGGGA >hg38_chr22:24769000-24769010(-) AGACAATAGCA >hg38_chr22:24810571-24810581(+) TGATAATGGAA >hg38_chr22:24868388-24868398(+) GGACAGTGGTA >hg38_chr22:24915806-24915816(-) tcacaatgaaa >hg38_chr22:24915823-24915833(-) agacaatgcaa >hg38_chr22:24934209-24934219(-) atacaaaggct >hg38_chr22:25015667-25015677(-) caacaatggga >hg38_chr22:25025891-25025901(-) GAACAATGACA >hg38_chr22:25043058-25043068(-) GAACAAAGGAA >hg38_chr22:25054937-25054947(+) ATACAACGGAT >hg38_chr22:25083962-25083972(-) GGACAAAGGCG >hg38_chr22:25097266-25097276(-) tgacaatggtg >hg38_chr22:25097281-25097291(+) gtaCAATGGTG >hg38_chr22:25120414-25120424(+) GAACAATGGTT >hg38_chr22:25131975-25131985(-) Caacaatggct >hg38_chr22:25146470-25146480(+) GAACAATAGCA >hg38_chr22:25175239-25175249(+) taacaataggg >hg38_chr22:25176296-25176306(-) CAACAATGGTA >hg38_chr22:25437845-25437855(+) TCACAATGGGG >hg38_chr22:25444202-25444212(+) taacaatgtat >hg38_chr22:25444225-25444235(-) ttacaatgagt >hg38_chr22:25493528-25493538(-) CCACAATGAGG >hg38_chr22:25602602-25602612(+) atacaatggca >hg38_chr22:25635310-25635320(-) atataatggtc >hg38_chr22:25635371-25635381(+) gtacaatgttc >hg38_chr22:25748642-25748652(-) GAAGAATGGCG >hg38_chr22:25776173-25776183(+) gcacaatgcat >hg38_chr22:25876943-25876953(-) acacaaaggag >hg38_chr22:25881454-25881464(+) CAACAATGAGT >hg38_chr22:25894099-25894109(+) acacaatgatg >hg38_chr22:25921927-25921937(+) TAACAATAGCA >hg38_chr22:25929718-25929728(-) GTACAATGACT >hg38_chr22:25971679-25971689(+) TCACAAAGGCG >hg38_chr22:26074025-26074035(+) ttacaatggag >hg38_chr22:26074042-26074052(+) ttacaatgggc >hg38_chr22:26174497-26174507(+) GAACAAAGGAC >hg38_chr22:26210365-26210375(+) GCACAATGAGC >hg38_chr22:26259736-26259746(-) ACACAATGGCA >hg38_chr22:26268041-26268051(+) GAACAATGGGC >hg38_chr22:26273244-26273254(+) GGACAATGGCC >hg38_chr22:26374595-26374605(-) TTACAATGGCT >hg38_chr22:26382538-26382548(-) caacaatgaca >hg38_chr22:26398920-26398930(+) TCACAATGGTT >hg38_chr22:26399040-26399050(-) TAACAATGATG >hg38_chr22:26410314-26410324(+) AAACAATAGCA >hg38_chr22:26410339-26410349(+) GGACAAAGGAA >hg38_chr22:26550317-26550327(+) agacaatgaca >hg38_chr22:26558744-26558754(-) ggacaatgccc >hg38_chr22:26571493-26571503(-) agacaatgaat >hg38_chr22:26583940-26583950(+) TGACAATGGGG >hg38_chr22:26608516-26608526(+) agacaatggtg >hg38_chr22:26640603-26640613(-) tcacaatagcc >hg38_chr22:26651687-26651697(+) atacaatggaa >hg38_chr22:26651737-26651747(+) ctacaatgtgg >hg38_chr22:26661155-26661165(-) GCACAATGGAC >hg38_chr22:26662543-26662553(-) ACACAATGTCC >hg38_chr22:26689985-26689995(+) TCACAATGGCT >hg38_chr22:26708718-26708728(-) TGACAATGGGC >hg38_chr22:26709067-26709077(-) TAACAATGCAA >hg38_chr22:26709094-26709104(-) AAACAATGACA >hg38_chr22:26726477-26726487(+) agacaaaggag >hg38_chr22:26732495-26732505(-) TGACAAAGGCG >hg38_chr22:26745047-26745057(-) ggataatggcc >hg38_chr22:26745071-26745081(-) ccacaatggga >hg38_chr22:26747351-26747361(+) GAACTATGGCT >hg38_chr22:26750803-26750813(-) TAACTATGGAC >hg38_chr22:26750866-26750876(-) ACACAATGAAT >hg38_chr22:26756888-26756898(+) AGACAATGTGG >hg38_chr22:26756933-26756943(+) GTACAAAGGAT >hg38_chr22:26775933-26775943(+) CAACAATGATA >hg38_chr22:26836199-26836209(-) CCACAATAGCC >hg38_chr22:26836216-26836226(+) tcacaatggcc >hg38_chr22:26848710-26848720(-) agacaaaggga >hg38_chr22:26848747-26848757(+) caacaatggac >hg38_chr22:26923015-26923025(-) CGACAATAAAG >hg38_chr22:26931932-26931942(-) gaacaatgcag >hg38_chr22:26960864-26960874(-) TGACAATGGTC >hg38_chr22:26960881-26960891(+) GGACAAAGGCA >hg38_chr22:26960892-26960902(+) AGACAATGCTG >hg38_chr22:27112409-27112419(+) gtacaatgtgc >hg38_chr22:27160213-27160223(+) CCACAATGGGA >hg38_chr22:27175178-27175188(+) GAACAAAGGGC >hg38_chr22:27180540-27180550(-) ctacaataggg >hg38_chr22:27181873-27181883(-) TTACAATGCAT >hg38_chr22:27259820-27259830(+) CAACAATGAGT >hg38_chr22:27260527-27260537(+) ACACAATGCTC >hg38_chr22:27263497-27263507(+) atacaatggaa >hg38_chr22:27294148-27294158(-) TTACAATGAAT >hg38_chr22:27318746-27318756(+) GTACAATAGCT >hg38_chr22:27352903-27352913(-) TCACAATGGCA >hg38_chr22:27358629-27358639(+) CGACAAAGGAG >hg38_chr22:27358792-27358802(+) CTACAATCGTT >hg38_chr22:27364382-27364392(-) caacaatgtat >hg38_chr22:27364926-27364936(-) caataatggag >hg38_chr22:27367316-27367326(-) ACACAATAGAA >hg38_chr22:27419652-27419662(-) CAACAATAGCa >hg38_chr22:27419670-27419680(-) AGACAATGACA >hg38_chr22:27443480-27443490(+) TCACAATGGAA >hg38_chr22:27448230-27448240(-) aaacaatgcag >hg38_chr22:27448245-27448255(-) GTAcaattgac >hg38_chr22:27460923-27460933(-) ACACAATGGTC >hg38_chr22:27461625-27461635(-) AAACAATGCCT >hg38_chr22:27462366-27462376(-) GAACAGTGACG >hg38_chr22:27474319-27474329(-) acacaatgatg >hg38_chr22:27480019-27480029(-) atacaatgggg >hg38_chr22:27480726-27480736(-) AAACAATGGGC >hg38_chr22:27513277-27513287(+) atacaatggta >hg38_chr22:27533047-27533057(-) GAATAATGGCA >hg38_chr22:27533104-27533114(+) GAACAATAGAA >hg38_chr22:27554770-27554780(-) ACACAATGAGC >hg38_chr22:27557941-27557951(+) TGACAAAGGGA >hg38_chr22:27566863-27566873(-) GAACAATGATT >hg38_chr22:27576754-27576764(-) acacgatggac >hg38_chr22:27609621-27609631(+) GGACAATGGGG >hg38_chr22:27609644-27609654(+) AGACAAAGGGA >hg38_chr22:27637028-27637038(+) AGACAAAGGCA >hg38_chr22:27637061-27637071(+) TATCAATGGCG >hg38_chr22:27638495-27638505(+) TAACAATGAAG >hg38_chr22:27638517-27638527(+) AAACAATGAGG >hg38_chr22:27657655-27657665(-) ttacaatagca >hg38_chr22:27670809-27670819(+) GGACAAAGGCG >hg38_chr22:27671529-27671539(+) AAACAAAGGAA >hg38_chr22:27679794-27679804(+) GCACAATGCAC >hg38_chr22:27679846-27679856(-) ATACAAAGGGG >hg38_chr22:27679860-27679870(-) AGACAATGGAG >hg38_chr22:27715402-27715412(-) GGACAATGGTC >hg38_chr22:27750356-27750366(-) TCACAATGTTA >hg38_chr22:27776864-27776874(-) GAACAATCGTC >hg38_chr22:27780436-27780446(-) taacaatgggc >hg38_chr22:27788547-27788557(+) CAACAATGCTT >hg38_chr22:27790579-27790589(-) CGACACTGGGC >hg38_chr22:27791817-27791827(-) TGACAATGACG >hg38_chr22:27794412-27794422(+) AAACAAAGGAG >hg38_chr22:27802007-27802017(+) GGAGAATGGCG >hg38_chr22:27852040-27852050(+) ACACAAAGGAT >hg38_chr22:27855444-27855454(+) AAACAATGTAT >hg38_chr22:27866416-27866426(+) ACACAATGTAA >hg38_chr22:27877321-27877331(+) ATACAATAGTA >hg38_chr22:27898651-27898661(+) TGACAATGTAA >hg38_chr22:27904544-27904554(+) taacaatggca >hg38_chr22:27927739-27927749(-) ctacaatgaca >hg38_chr22:27941442-27941452(+) gaacaatgcaa >hg38_chr22:27941488-27941498(+) gaacaatatac >hg38_chr22:27949765-27949775(-) ATACAATAGCA >hg38_chr22:27949780-27949790(+) GAACAATGAAC >hg38_chr22:27950912-27950922(+) caataatgggt >hg38_chr22:27962832-27962842(-) ACACAATAGAG >hg38_chr22:27974052-27974062(-) AAATAATGGCA >hg38_chr22:27992470-27992480(+) TCACAAAGGCG >hg38_chr22:27997120-27997130(+) CAATAATGGAA >hg38_chr22:28027525-28027535(+) GAACAAAGGGG >hg38_chr22:28029351-28029361(+) GCACAATGCCC >hg38_chr22:28053243-28053253(-) AGACAATAGGC >hg38_chr22:28078805-28078815(+) taacaacggag >hg38_chr22:28102491-28102501(-) ATACAATAAAT >hg38_chr22:28129414-28129424(-) TTACAAAGgtg >hg38_chr22:28142687-28142697(+) GAACAAAGGAA >hg38_chr22:28142745-28142755(-) TAACAATGGTG >hg38_chr22:28146694-28146704(+) AGATAATGGAT >hg38_chr22:28147798-28147808(-) TAATaatgata >hg38_chr22:28147804-28147814(-) TCACAATAATa >hg38_chr22:28154270-28154280(-) TAACAATGATT >hg38_chr22:28171787-28171797(+) TCACAATGCTG >hg38_chr22:28176780-28176790(+) gtacaaaggta >hg38_chr22:28187819-28187829(+) TAACAATGCCC >hg38_chr22:28188710-28188720(-) gaacaaagggg >hg38_chr22:28203908-28203918(-) TAACAATAACA >hg38_chr22:28233629-28233639(-) ATACAATACAT >hg38_chr22:28273902-28273912(+) aaacagtggaa >hg38_chr22:28315786-28315796(+) ccacaatgtag >hg38_chr22:28323592-28323602(+) aaacaatgaag >hg38_chr22:28326127-28326137(+) atacaatgaaa >hg38_chr22:28332644-28332654(+) GTACAATAGGC >hg38_chr22:28341131-28341141(-) GAACAAAGGCA >hg38_chr22:28353476-28353486(+) ttataatggca >hg38_chr22:28382089-28382099(-) TTACAATGGAA >hg38_chr22:28383305-28383315(-) caacaatgcca >hg38_chr22:28383329-28383339(-) ggacaaaggaa >hg38_chr22:28415680-28415690(+) acataatggat >hg38_chr22:28425054-28425064(-) AAACAAAGGAT >hg38_chr22:28425564-28425574(-) acacaatgtat >hg38_chr22:28459653-28459663(+) TAACAATGCAG >hg38_chr22:28459670-28459680(-) GGACAAAGGCA >hg38_chr22:28462469-28462479(-) gaacaaaggtt >hg38_chr22:28466158-28466168(-) AAACAATAAAA >hg38_chr22:28472026-28472036(+) aTACAATATCA >hg38_chr22:28562702-28562712(+) aaacaatagct >hg38_chr22:28574738-28574748(-) ttacaatgagc >hg38_chr22:28574771-28574781(+) aaacaatgatg >hg38_chr22:28594997-28595007(+) TAACAATACAA >hg38_chr22:28621293-28621303(+) GAACAATGTTA >hg38_chr22:28621301-28621311(+) TTACAATAAAA >hg38_chr22:28629695-28629705(+) GAACAATAGCT >hg38_chr22:28638626-28638636(+) ggacaaaggac >hg38_chr22:28643909-28643919(-) taataatagta >hg38_chr22:28712692-28712702(+) AGATAATGGCT >hg38_chr22:28714494-28714504(-) gtacaataaaa >hg38_chr22:28736453-28736463(+) ACACAAAGGAA >hg38_chr22:28751096-28751106(+) AGACAAAGGTT >hg38_chr22:28760055-28760065(+) AAACAAAGGAG >hg38_chr22:28786567-28786577(-) AGACAATGTAA >hg38_chr22:28789460-28789470(+) CCACAATAGGC >hg38_chr22:28829456-28829466(-) gcacaatgtag >hg38_chr22:28883286-28883296(+) GAATAATGGGG >hg38_chr22:28886186-28886196(+) GTACAAAGGCT >hg38_chr22:28889658-28889668(-) agacaatggat >hg38_chr22:28907843-28907853(+) ATACAATTGAA >hg38_chr22:28938036-28938046(-) TAACAATGTGG >hg38_chr22:28952402-28952412(-) AAACAAAGGCC >hg38_chr22:29028184-29028194(+) CTACAATGGCA >hg38_chr22:29040653-29040663(+) GGACAATCGGC >hg38_chr22:29079473-29079483(-) GAACAATAAAA >hg38_chr22:29096822-29096832(+) TGACAATGAAA >hg38_chr22:29097321-29097331(-) TAACAATAACA >hg38_chr22:29100747-29100757(-) aaacaatgcct >hg38_chr22:29103329-29103339(-) ACACAATGGCC >hg38_chr22:29118240-29118250(+) aaacaatagag >hg38_chr22:29157944-29157954(-) agacaatgaaa >hg38_chr22:29225594-29225604(-) ggacaatgggc >hg38_chr22:29268605-29268615(-) ACACAATGGAG >hg38_chr22:29291444-29291454(-) AAACAATGCAT >hg38_chr22:29376556-29376566(-) TAACAGTGGCA >hg38_chr22:29387821-29387831(-) ttacaatgaca >hg38_chr22:29395083-29395093(+) CCACAATGAAT >hg38_chr22:29450444-29450454(+) acacaaaggac >hg38_chr22:29626679-29626689(-) TAACAATGCAT >hg38_chr22:29626707-29626717(-) GGACAATGTAA >hg38_chr22:29627375-29627385(-) CGACAATATCT >hg38_chr22:29646688-29646698(+) ATACAATACTA >hg38_chr22:29651035-29651045(-) TAATAATGGTT >hg38_chr22:29670945-29670955(+) ATACAATGTGT >hg38_chr22:29691983-29691993(-) GCACAATGCTC >hg38_chr22:29704627-29704637(+) gaacaacggag >hg38_chr22:29716843-29716853(+) GGACAATGGGT >hg38_chr22:29821324-29821334(+) CAACAATGCAA >hg38_chr22:29842377-29842387(+) agacaatgcca >hg38_chr22:29842923-29842933(-) CAACAATGAGT >hg38_chr22:29842953-29842963(-) AAACACTGGAT >hg38_chr22:29875016-29875026(+) taacaatgtca >hg38_chr22:29916051-29916061(-) tgacaatagca >hg38_chr22:29917739-29917749(-) TAACAATGATG >hg38_chr22:29926250-29926260(-) acacaatggag >hg38_chr22:29926318-29926328(-) tcacaatagta >hg38_chr22:29934895-29934905(+) AAATAATGGTA >hg38_chr22:29935198-29935208(+) TTACAATAGGA >hg38_chr22:29936379-29936389(-) GCACAATAGAG >hg38_chr22:29948219-29948229(-) CAACAATGAAA >hg38_chr22:29961368-29961378(+) gtataatggaa >hg38_chr22:30048939-30048949(+) GCACAATACGA >hg38_chr22:30053774-30053784(+) acacaaaggag >hg38_chr22:30059473-30059483(+) tgataatggtg >hg38_chr22:30107022-30107032(+) gaacaatgcct >hg38_chr22:30131952-30131962(-) AAACAATGTAC >hg38_chr22:30143510-30143520(-) gaacaatgggg >hg38_chr22:30143554-30143564(-) taacaaaggaa >hg38_chr22:30157657-30157667(-) TTACAATGAAG >hg38_chr22:30167544-30167554(-) acacaatgttc >hg38_chr22:30196381-30196391(-) ACACAATGCTG >hg38_chr22:30207196-30207206(+) ACACAATGTTT >hg38_chr22:30277889-30277899(-) GCACAATAGGC >hg38_chr22:30348906-30348916(+) AAACAATGAGA >hg38_chr22:30391351-30391361(+) AGACAATGCCT >hg38_chr22:30420678-30420688(-) AGACAAAGGGT >hg38_chr22:30537745-30537755(-) gaacaatagaa >hg38_chr22:30590075-30590085(+) agacaataaca >hg38_chr22:30607070-30607080(-) GAACAAAGGGA >hg38_chr22:30650895-30650905(-) CCACAATGGCA >hg38_chr22:30655749-30655759(-) tcacaatgccA >hg38_chr22:30662085-30662095(+) TAACAATAAAG >hg38_chr22:30679503-30679513(+) tgacaatgtgt >hg38_chr22:30679830-30679840(+) agataatggga >hg38_chr22:30706546-30706556(-) GGACAATGCAA >hg38_chr22:30732825-30732835(-) aaacaatggaa >hg38_chr22:30848658-30848668(-) atacaatggaa >hg38_chr22:30848675-30848685(+) aaacaatagga >hg38_chr22:30866920-30866930(-) GAACAATGAAG >hg38_chr22:30947390-30947400(+) GAACAATGGGG >hg38_chr22:30953533-30953543(+) AAATAATGGTG >hg38_chr22:30970383-30970393(+) GCATAATGGAT >hg38_chr22:31001674-31001684(+) ttacaatggaa >hg38_chr22:31001687-31001697(+) acaCAATAGAA >hg38_chr22:31207455-31207465(+) CCACAATAGAG >hg38_chr22:31243532-31243542(-) GAACAATAGCC >hg38_chr22:31272965-31272975(-) GGACAATAGCC >hg38_chr22:31313534-31313544(-) CAACAATGCTT >hg38_chr22:31342732-31342742(+) GAACAAAGGAG >hg38_chr22:31343316-31343326(-) GGACAATGAAT >hg38_chr22:31344030-31344040(+) ACACAAAGGGA >hg38_chr22:31492411-31492421(-) CTACAATGCCC >hg38_chr22:31533682-31533692(-) gaacAATGCCT >hg38_chr22:31568014-31568024(-) ttacaatgtaa >hg38_chr22:31625857-31625867(-) AAACAAAGGGA >hg38_chr22:31647705-31647715(+) TGACAAAGGAA >hg38_chr22:31716953-31716963(-) GGACAATGGGA >hg38_chr22:31747656-31747666(+) CAACAATGGTG >hg38_chr22:31748198-31748208(-) CTACAATGTCT >hg38_chr22:31755122-31755132(+) TAACAATAGGA >hg38_chr22:31776409-31776419(-) agacaatGggc >hg38_chr22:31867599-31867609(-) ttacaataaaa >hg38_chr22:31871052-31871062(+) gcacaatgtct >hg38_chr22:31871099-31871109(+) AAACAGTGGAT >hg38_chr22:31944188-31944198(+) TGACAATGCAC >hg38_chr22:32010034-32010044(+) ATACAGTGGAA >hg38_chr22:32097352-32097362(+) GGACAGTGGAA >hg38_chr22:32145718-32145728(+) atataatgata >hg38_chr22:32220693-32220703(+) TAACTATGGAT >hg38_chr22:32225875-32225885(-) GCACAATGGAA >hg38_chr22:32226396-32226406(-) AGACAATGCTG >hg38_chr22:32293500-32293510(-) ttacaatatta >hg38_chr22:32293545-32293555(+) taacaatagtt >hg38_chr22:32326074-32326084(+) TAACAATGAAA >hg38_chr22:32336959-32336969(+) ATACAATGAAC >hg38_chr22:32340543-32340553(+) ggacaaaggga >hg38_chr22:32344809-32344819(-) GTACAATATAA >hg38_chr22:32378951-32378961(+) TGACAATGGTG >hg38_chr22:32474567-32474577(-) GAACAACGGTA >hg38_chr22:32477415-32477425(-) GAACAATAACA >hg38_chr22:32487758-32487768(+) CAACAATGAGA >hg38_chr22:32492568-32492578(-) gaacaatgttt >hg38_chr22:32495482-32495492(-) CTACAAAGGAA >hg38_chr22:32564447-32564457(-) gaacaatgcct >hg38_chr22:32575192-32575202(-) GAACAATGAAA >hg38_chr22:32575206-32575216(+) GGACAAAGGGC >hg38_chr22:32701778-32701788(+) gaacaatagca >hg38_chr22:32771695-32771705(+) GAACAATGCCC >hg38_chr22:32771702-32771712(-) GAATAATGGGC >hg38_chr22:32771737-32771747(+) AAACAATGCAC >hg38_chr22:32789159-32789169(+) ATACAAAGGAG >hg38_chr22:32795033-32795043(-) ATACAATGAGA >hg38_chr22:32904832-32904842(-) ggacaattgcg >hg38_chr22:32913740-32913750(+) TGACAATGCGA >hg38_chr22:32926943-32926953(+) CTACAATGTCT >hg38_chr22:32987507-32987517(+) AAACAATGGTG >hg38_chr22:32994181-32994191(-) AGACAATGTGC >hg38_chr22:33050715-33050725(-) GGATAATGGTG >hg38_chr22:33136103-33136113(+) GGACAATAGGA >hg38_chr22:33145325-33145335(-) AGACAGTGGAC >hg38_chr22:33151566-33151576(-) agacaatagct >hg38_chr22:33152228-33152238(-) AGACAATAGTG >hg38_chr22:33188603-33188613(+) GAACAATGAGG >hg38_chr22:33228350-33228360(-) TAACAATGTAG >hg38_chr22:33251829-33251839(+) AAACTATGGTA >hg38_chr22:33254362-33254372(+) tgacaatagta >hg38_chr22:33254374-33254384(-) caacaatgggc >hg38_chr22:33254431-33254441(-) tgacaatggaa >hg38_chr22:33280497-33280507(+) AAACAATGGGG >hg38_chr22:33280525-33280535(-) CTACAATGGAG >hg38_chr22:33357087-33357097(+) tcacaatagca >hg38_chr22:33362211-33362221(+) TAACAATGAAG >hg38_chr22:33462207-33462217(+) agacaatgtaa >hg38_chr22:33472600-33472610(+) acacaatagtc >hg38_chr22:33519357-33519367(+) AGACAATGAGT >hg38_chr22:33541499-33541509(-) GCACAAAGGTA >hg38_chr22:33541520-33541530(-) AAACAATGCAT >hg38_chr22:33579736-33579746(-) AAACAATGCTA >hg38_chr22:33596373-33596383(-) ACACAATGAGG >hg38_chr22:33605657-33605667(-) GCACAATAGTA >hg38_chr22:33669966-33669976(-) ACACAACGGCA >hg38_chr22:33678281-33678291(+) CTACAAAGGAC >hg38_chr22:33710607-33710617(+) ACACAATGGGC >hg38_chr22:33738365-33738375(-) TCACAATGAAG >hg38_chr22:33757643-33757653(-) TATCAATGGAC >hg38_chr22:33823701-33823711(-) GCACAATGGGC >hg38_chr22:33911737-33911747(-) GTACAATACCG >hg38_chr22:33923134-33923144(-) TTATAATGGAG >hg38_chr22:33932849-33932859(-) ttactatggga >hg38_chr22:33952937-33952947(-) AGACAATAGCC >hg38_chr22:33953023-33953033(+) CCACAATGAGC >hg38_chr22:33971675-33971685(+) aaacaatgccc >hg38_chr22:34015837-34015847(-) taacaaaggct >hg38_chr22:34024419-34024429(-) ccacaatggat >hg38_chr22:34045104-34045114(-) gcacaatGTGC >hg38_chr22:34192914-34192924(+) atacaatgcag >hg38_chr22:34224956-34224966(-) TAACAATAGCT >hg38_chr22:34224962-34224972(-) TAACAATAACA >hg38_chr22:34225001-34225011(+) TCACAATGCCT >hg38_chr22:34240283-34240293(-) GAACAATGGGG >hg38_chr22:34240332-34240342(+) AAACAATGAAG >hg38_chr22:34243214-34243224(-) GAACAATAGGA >hg38_chr22:34245372-34245382(-) TTACAATAGGG >hg38_chr22:34344724-34344734(-) ACACAATGGTT >hg38_chr22:34344776-34344786(-) ATACAATAGTT >hg38_chr22:34374441-34374451(-) AGACAATAGTA >hg38_chr22:34416196-34416206(+) AGACAATGAGG >hg38_chr22:34428793-34428803(-) TAACAATGGAG >hg38_chr22:34562636-34562646(+) AAACAAAGGGA >hg38_chr22:34562700-34562710(-) caataatggaa >hg38_chr22:34592408-34592418(+) GAACAAAGGGG >hg38_chr22:34637752-34637762(-) CAACAATGACA >hg38_chr22:34719252-34719262(+) TGACAATGCCT >hg38_chr22:34736664-34736674(+) gtacaaaggca >hg38_chr22:34736693-34736703(-) taataatggca >hg38_chr22:34743284-34743294(+) GAACAATGGCT >hg38_chr22:34814784-34814794(-) tatcaatggac >hg38_chr22:34825006-34825016(+) AGACAATGGga >hg38_chr22:34834288-34834298(+) GAACAATAAAG >hg38_chr22:34854553-34854563(+) acacaatggag >hg38_chr22:34870490-34870500(+) AAACAATGGTA >hg38_chr22:34913098-34913108(-) GGATAATGGAG >hg38_chr22:34915780-34915790(+) TAACAATGGTA >hg38_chr22:34956400-34956410(+) CCACAATGTCA >hg38_chr22:34960169-34960179(+) gaacaatgatg >hg38_chr22:34973221-34973231(+) GAACAATGTCA >hg38_chr22:34973247-34973257(+) CTACAATGGCA >hg38_chr22:35032338-35032348(+) acacaatgctg >hg38_chr22:35032394-35032404(-) agacaatagca >hg38_chr22:35055694-35055704(-) GGACAATGAAA >hg38_chr22:35092909-35092919(-) ctacaatgtgc >hg38_chr22:35187217-35187227(+) caacaatgtat >hg38_chr22:35188317-35188327(+) acacaatgaca >hg38_chr22:35248079-35248089(+) acacaatggta >hg38_chr22:35248097-35248107(-) atacaattgta >hg38_chr22:35248102-35248112(-) atacaatacaa >hg38_chr22:35248138-35248148(+) gtacaataaaa >hg38_chr22:35295572-35295582(-) ATACAATAGGG >hg38_chr22:35296759-35296769(-) GAACAATGCAA >hg38_chr22:35296799-35296809(-) AGACAATAGTA >hg38_chr22:35377124-35377134(+) AAACAAAGGGA >hg38_chr22:35451676-35451686(-) GGACAAAGGAC >hg38_chr22:35467968-35467978(-) AGACAAAGGCA >hg38_chr22:35567918-35567928(+) TGACAATGGGC >hg38_chr22:35629835-35629845(+) GCACAATAGAG >hg38_chr22:35767387-35767397(-) ACACAATGCTG >hg38_chr22:35950404-35950414(-) gcacaatggga >hg38_chr22:35950464-35950474(+) ctacaatggac >hg38_chr22:35961218-35961228(+) GTACAAAGGCA >hg38_chr22:35971832-35971842(-) GTACAAAGGTT >hg38_chr22:35986663-35986673(+) AGATAATGGAA >hg38_chr22:35987884-35987894(+) GAACAATAAAG >hg38_chr22:36007866-36007876(-) atacagtggaa >hg38_chr22:36009123-36009133(+) ACACAATAAAT >hg38_chr22:36066259-36066269(+) CAACAATCGTA >hg38_chr22:36073602-36073612(+) ccacaatgaga >hg38_chr22:36145510-36145520(-) TAACAATGAAG >hg38_chr22:36180512-36180522(+) ccacaatgggc >hg38_chr22:36192641-36192651(-) ggacaaaggga >hg38_chr22:36197761-36197771(+) acataatggac >hg38_chr22:36278034-36278044(+) TGACAATGGGG >hg38_chr22:36335388-36335398(-) ACACAAAGGCA >hg38_chr22:36385006-36385016(+) GCACAAAGGGA >hg38_chr22:36385984-36385994(-) AGACAAAGGAC >hg38_chr22:36386024-36386034(-) ATACAAAGGCA >hg38_chr22:36388570-36388580(-) TCACAATGGAA >hg38_chr22:36451863-36451873(-) GAACAAAGGCT >hg38_chr22:36459596-36459606(+) GGACAATAAAA >hg38_chr22:36481117-36481127(+) TAAtaatgtat >hg38_chr22:36481186-36481196(-) gtacaatagca >hg38_chr22:36644395-36644405(-) AGACAATAGAG >hg38_chr22:36715907-36715917(+) atacaatagtg >hg38_chr22:36910569-36910579(-) ttacaatggcc >hg38_chr22:36962194-36962204(-) AGACAATGGAG >hg38_chr22:36986351-36986361(-) CCACAATGTAC >hg38_chr22:37051439-37051449(+) GCACAAAGGAA >hg38_chr22:37166668-37166678(+) GCACAATGACA >hg38_chr22:37178969-37178979(-) ACACAATCGGC >hg38_chr22:37252891-37252901(-) tgacaataata >hg38_chr22:37279682-37279692(+) TAACAATAGCT >hg38_chr22:37305242-37305252(+) taacaatgcac >hg38_chr22:37342251-37342261(-) GGACAATAGGG >hg38_chr22:37442757-37442767(-) aaacaaaggga >hg38_chr22:37467570-37467580(+) GGACAATGGAG >hg38_chr22:37483981-37483991(+) TGACAATGGTG >hg38_chr22:37484015-37484025(+) AAACAAAGGGA >hg38_chr22:37509197-37509207(+) GAACAATGGCC >hg38_chr22:37592738-37592748(+) GAACAATATAA >hg38_chr22:37608324-37608334(+) ggacaatgccc >hg38_chr22:37640186-37640196(+) GGACAATGGGA >hg38_chr22:37664799-37664809(-) caacaatagga >hg38_chr22:37689441-37689451(-) GCACAAAGGGA >hg38_chr22:37747125-37747135(-) TAACTATGGCA >hg38_chr22:37752599-37752609(-) ACACAATGAAA >hg38_chr22:37791979-37791989(-) taacaataaat >hg38_chr22:37844509-37844519(-) TTACAATGTCT >hg38_chr22:37863735-37863745(+) ACACAatggaa >hg38_chr22:37880904-37880914(-) acacaatggac >hg38_chr22:37880934-37880944(-) gaacaataaac >hg38_chr22:37880968-37880978(-) gtacaataaca >hg38_chr22:37898833-37898843(+) GAACAAAGGCT >hg38_chr22:37899053-37899063(-) GGACAATGGAA >hg38_chr22:37906155-37906165(+) CCACAATGAgg >hg38_chr22:37910857-37910867(+) GGACAATGAAT >hg38_chr22:37971999-37972009(-) GAACAATGGAG >hg38_chr22:38016591-38016601(-) GAACAATGTCA >hg38_chr22:38016627-38016637(-) ACACAATAGAG >hg38_chr22:38046513-38046523(+) GCACAATGGGG >hg38_chr22:38069445-38069455(-) GGACAAAGGAG >hg38_chr22:38084557-38084567(+) AAACAAAGGGT >hg38_chr22:38150742-38150752(+) caacaatggaa >hg38_chr22:38150758-38150768(+) agataatggaa >hg38_chr22:38228878-38228888(+) AGACAATATAA >hg38_chr22:38240209-38240219(+) agacaatgtag >hg38_chr22:38278941-38278951(-) ctacaatgatt >hg38_chr22:38316979-38316989(-) GGACAAAGGCG >hg38_chr22:38325623-38325633(+) AAACAATGTAG >hg38_chr22:38400497-38400507(+) ACACAATAGCA >hg38_chr22:38494101-38494111(-) AAATAATGGCT >hg38_chr22:38494145-38494155(+) CAACAATGCAG >hg38_chr22:38506440-38506450(+) CGACGATGGGT >hg38_chr22:38523962-38523972(+) ACACAATGCTA >hg38_chr22:38536395-38536405(-) AAACAAAGGTT >hg38_chr22:38567373-38567383(+) tgacaatgtct >hg38_chr22:38618435-38618445(-) agacaaaggaa >hg38_chr22:38656359-38656369(+) TAACAATGGAA >hg38_chr22:38872754-38872764(-) AAATAATGGGT >hg38_chr22:38973793-38973803(-) GGACAAAGGTT >hg38_chr22:39111087-39111097(-) gaacaaaggca >hg38_chr22:39126878-39126888(+) taacaatgtgt >hg38_chr22:39126906-39126916(+) aaacaatgccc >hg38_chr22:39130402-39130412(-) ttacaatggct >hg38_chr22:39170304-39170314(+) acacaataggg >hg38_chr22:39174701-39174711(+) TGACAATGCTG >hg38_chr22:39220027-39220037(-) AAACAATGGAA >hg38_chr22:39230381-39230391(+) AAACAATAGCA >hg38_chr22:39254495-39254505(+) GGACAAAGGGC >hg38_chr22:39254922-39254932(+) AAACAATGGGG >hg38_chr22:39279632-39279642(+) TAACAATGGAA >hg38_chr22:39279940-39279950(-) GAACAATGAAA >hg38_chr22:39292270-39292280(-) AGACAATGGGC >hg38_chr22:39292902-39292912(+) CCACAAAGGCG >hg38_chr22:39308652-39308662(+) tcaCAATGATT >hg38_chr22:39319562-39319572(+) TGACAATGAAA >hg38_chr22:39326546-39326556(+) acacaatggcc >hg38_chr22:39411870-39411880(+) gaacaatggta >hg38_chr22:39462567-39462577(-) GGACAATAGCC >hg38_chr22:39496754-39496764(-) gcacaatgaga >hg38_chr22:39496764-39496774(-) agacaatgcag >hg38_chr22:39510950-39510960(-) TTACAATGTTA >hg38_chr22:39510997-39511007(-) TTACAATGAAG >hg38_chr22:39700188-39700198(-) GGACAATGGCC >hg38_chr22:39700213-39700223(-) ACACAAAGGCG >hg38_chr22:39704409-39704419(+) GAATAATGGGC >hg38_chr22:39706472-39706482(+) ACACAAAGGAT >hg38_chr22:39721377-39721387(-) GGACAATGGCA >hg38_chr22:39721393-39721403(+) CAACAATACGT >hg38_chr22:39721430-39721440(+) ATACAAAGGAG >hg38_chr22:39737799-39737809(-) TGACAATGGTT >hg38_chr22:39737807-39737817(+) TCACAATGAAC >hg38_chr22:39751884-39751894(-) GGACAAAGGAA >hg38_chr22:39776909-39776919(-) ATACAATGATT >hg38_chr22:39806762-39806772(+) gaacaatagcc >hg38_chr22:39829198-39829208(+) GGATAATGGGA >hg38_chr22:39829206-39829216(-) TAACAATATCC >hg38_chr22:39872688-39872698(+) ATACAATGGGA >hg38_chr22:39872703-39872713(+) ATACAATGGGG >hg38_chr22:39902722-39902732(+) ATACAATATCC >hg38_chr22:39914179-39914189(+) ACACAAAGGAG >hg38_chr22:39915523-39915533(-) AGACAAAGGAG >hg38_chr22:39933131-39933141(-) aaacaaaggca >hg38_chr22:39941210-39941220(-) ctacaatgcct >hg38_chr22:39968721-39968731(+) GGACAATACGG >hg38_chr22:39968774-39968784(-) TCACAAAGGAC >hg38_chr22:39998180-39998190(-) AAACAAAGGAA >hg38_chr22:40023580-40023590(-) AGACAATGGGC >hg38_chr22:40056363-40056373(+) TAACAAAGGGC >hg38_chr22:40075892-40075902(-) atataatggga >hg38_chr22:40075908-40075918(-) tgacaatagtc >hg38_chr22:40075952-40075962(-) taacaatgaat >hg38_chr22:40081450-40081460(-) gtacaatggaa >hg38_chr22:40101695-40101705(+) GGACAAAGGAC >hg38_chr22:40116879-40116889(-) gaacaataaca >hg38_chr22:40116941-40116951(+) aaacaatagct >hg38_chr22:40178548-40178558(+) CGACAAAGGAT >hg38_chr22:40179253-40179263(-) TCACAATGATA >hg38_chr22:40180321-40180331(-) AGATAATGGCA >hg38_chr22:40190082-40190092(+) TTACAATAAGT >hg38_chr22:40224521-40224531(+) CAACAATGACC >hg38_chr22:40240382-40240392(+) AGAcaatgctt >hg38_chr22:40249515-40249525(-) AAACAATGCTC >hg38_chr22:40252423-40252433(-) AAACAATGAAG >hg38_chr22:40281983-40281993(+) CCACAATAGCT >hg38_chr22:40426853-40426863(-) ACACAATGGTT >hg38_chr22:40465401-40465411(-) TAACAATGGTA >hg38_chr22:40465455-40465465(+) CTACaataata >hg38_chr22:40492001-40492011(+) TGATAATGGGT >hg38_chr22:40517701-40517711(+) taacaaaggta >hg38_chr22:40533243-40533253(+) CAACAATGTAT >hg38_chr22:40540470-40540480(-) CAATAATGGAG >hg38_chr22:40553306-40553316(+) agacaatgggg >hg38_chr22:40568137-40568147(+) CCACAATGAGG >hg38_chr22:40568156-40568166(+) GGACAATGCAC >hg38_chr22:40586302-40586312(+) AAACAATAACC >hg38_chr22:40615356-40615366(-) agacaatgcag >hg38_chr22:40687892-40687902(-) tcacaatgaaa >hg38_chr22:40721520-40721530(+) CGACAAAGGGG >hg38_chr22:40769275-40769285(+) GAACAATGCAA >hg38_chr22:40808642-40808652(+) GTACAATGTTT >hg38_chr22:40815707-40815717(-) taacaatgcct >hg38_chr22:40815713-40815723(-) ggacaataaca >hg38_chr22:40852231-40852241(-) ggacaatagct >hg38_chr22:40861196-40861206(-) GGACAATGATG >hg38_chr22:40868005-40868015(-) ttacaatatta >hg38_chr22:40922871-40922881(+) AAACAAAGGAA >hg38_chr22:41001676-41001686(+) AAACAAAGGGG >hg38_chr22:41001698-41001708(+) TAACAATGGCC >hg38_chr22:41004219-41004229(+) gcataatggta >hg38_chr22:41021352-41021362(-) GCACAATGTGG >hg38_chr22:41023149-41023159(-) gaacagtgggt >hg38_chr22:41023165-41023175(-) tcacaataata >hg38_chr22:41023220-41023230(+) AAACAATGGAT >hg38_chr22:41052952-41052962(-) aaacaatgtgg >hg38_chr22:41091632-41091642(-) ACACAATGGGG >hg38_chr22:41121834-41121844(-) AGACAATAAAT >hg38_chr22:41121850-41121860(-) AAACAATAAAA >hg38_chr22:41135488-41135498(-) AAACAAAGGGA >hg38_chr22:41162722-41162732(+) GTACAATAAAT >hg38_chr22:41205202-41205212(+) AGAGAATGGCG >hg38_chr22:41217901-41217911(+) GAACAATGACA >hg38_chr22:41240999-41241009(-) CGACAATGCCT >hg38_chr22:41311909-41311919(-) atacaatgcag >hg38_chr22:41418133-41418143(-) ACACAATGTCC >hg38_chr22:41452108-41452118(-) ACACAATAGGG >hg38_chr22:41468710-41468720(-) GAACAATGGGG >hg38_chr22:41491638-41491648(+) acacaatgtca >hg38_chr22:41491685-41491695(+) aaaCAATatag >hg38_chr22:41534331-41534341(+) acacaatggaa >hg38_chr22:41534354-41534364(+) ttacaaaggaa >hg38_chr22:41598298-41598308(+) CCACAATGAGA >hg38_chr22:41605501-41605511(-) gaacaatgtca >hg38_chr22:41605542-41605552(+) taaccaTGGTA >hg38_chr22:41629281-41629291(+) tcacaatgtta >hg38_chr22:41762064-41762074(-) tcacaatagcc >hg38_chr22:41762983-41762993(+) GAACAATGTGA >hg38_chr22:41823970-41823980(-) ATACAATAGCA >hg38_chr22:41832589-41832599(-) TTACAAAGGCG >hg38_chr22:41834048-41834058(-) GAACAAAGGCC >hg38_chr22:41857834-41857844(-) GAACAAAGGGA >hg38_chr22:41964351-41964361(-) GAACAATGAGG >hg38_chr22:41984249-41984259(+) AAACAATGCAG >hg38_chr22:42051520-42051530(+) taataatgtat >hg38_chr22:42056320-42056330(-) taacaataaca >hg38_chr22:42057205-42057215(-) gaacaatgagc >hg38_chr22:42065533-42065543(-) tcacaatgttc >hg38_chr22:42257584-42257594(+) CAACAATGCAG >hg38_chr22:42259243-42259253(-) TAACAATGACA >hg38_chr22:42272188-42272198(-) GAACAATGGGA >hg38_chr22:42276090-42276100(-) AGACAATGATG >hg38_chr22:42283152-42283162(-) CAACAATGAAG >hg38_chr22:42310473-42310483(+) cgacaataaca >hg38_chr22:42314051-42314061(-) gcacaaaggtc >hg38_chr22:42343048-42343058(+) ggacaatggat >hg38_chr22:42343078-42343088(+) ctACAATGAAA >hg38_chr22:42498429-42498439(+) caacaatgcac >hg38_chr22:42643505-42643515(-) CAACAAAGGAC >hg38_chr22:42649853-42649863(-) ggacaatggcg >hg38_chr22:42686509-42686519(-) GGACAAAGGCA >hg38_chr22:42727678-42727688(+) TAACAATAACA >hg38_chr22:42727684-42727694(+) TAACAATGTTA >hg38_chr22:42743678-42743688(-) AAACAATGGAA >hg38_chr22:42765937-42765947(-) GCACAATGGGC >hg38_chr22:42770234-42770244(+) AAACAATGGTC >hg38_chr22:42805366-42805376(+) TTACAAAGGCA >hg38_chr22:42805401-42805411(+) GAACAATGCAG >hg38_chr22:42814133-42814143(+) AAATAATGGAA >hg38_chr22:42916206-42916216(-) GAACAATGCTC >hg38_chr22:42933372-42933382(-) AAACAATGCTG >hg38_chr22:42943863-42943873(+) ATACAATATAG >hg38_chr22:42979005-42979015(+) GTATAATGAAC >hg38_chr22:42986629-42986639(+) ACACAATGACT >hg38_chr22:42990332-42990342(-) GAACAATGCAG >hg38_chr22:43004909-43004919(+) AAACAATGAAA >hg38_chr22:43095488-43095498(+) ttacaatggag >hg38_chr22:43100369-43100379(-) CAACAATGCTC >hg38_chr22:43104095-43104105(-) atacaataaat >hg38_chr22:43189283-43189293(-) gcacaatgctt >hg38_chr22:43275855-43275865(+) tgaCAATGGAG >hg38_chr22:43315806-43315816(-) TCACAATGGAT >hg38_chr22:43315817-43315827(+) AAACAATGTTG >hg38_chr22:43338987-43338997(+) CCACAATGGTG >hg38_chr22:43344025-43344035(+) GGACAATGCCG >hg38_chr22:43367898-43367908(-) GGACAATGAAC >hg38_chr22:43367917-43367927(-) TGATAATGGTG >hg38_chr22:43380307-43380317(+) gaacaatgggc >hg38_chr22:43457820-43457830(-) tgacaataata >hg38_chr22:43531150-43531160(-) acacaatggtc >hg38_chr22:43574925-43574935(-) gtacaatgtac >hg38_chr22:43822958-43822968(+) TAACAAAGGAC >hg38_chr22:43925509-43925519(+) TAACAATGGAC >hg38_chr22:43955966-43955976(-) CTACAATGGCT >hg38_chr22:43972324-43972334(+) AAACAATGAAG >hg38_chr22:44014448-44014458(+) GTACAATGTAT >hg38_chr22:44081713-44081723(-) GCACAATGAGC >hg38_chr22:44159237-44159247(-) AAACAATGTGT >hg38_chr22:44177370-44177380(+) atacaatggca >hg38_chr22:44177376-44177386(-) agacaatgcca >hg38_chr22:44273588-44273598(+) TCATAATGGAT >hg38_chr22:44381246-44381256(+) GAACAAAGGGA >hg38_chr22:44429655-44429665(+) TAACAAAGGCT >hg38_chr22:44504607-44504617(+) atacaatggaa >hg38_chr22:44593628-44593638(+) GAACAAAGGCC >hg38_chr22:44698840-44698850(-) GGACAAAGGGC >hg38_chr22:44746724-44746734(-) CAACAATACCG >hg38_chr22:44746735-44746745(-) ATACAATAAAG >hg38_chr22:44871383-44871393(-) TCACAATGGTG >hg38_chr22:44871404-44871414(+) TAACAATGACA >hg38_chr22:45053279-45053289(+) gaacactggat >hg38_chr22:45073317-45073327(+) acacaataggc >hg38_chr22:45136209-45136219(+) aaacaacggtg >hg38_chr22:45136222-45136232(+) caataatgggt >hg38_chr22:45136673-45136683(+) TCACAATGATA >hg38_chr22:45138098-45138108(+) taacaataaga >hg38_chr22:45183735-45183745(-) ACACAAAGGAA >hg38_chr22:45208975-45208985(+) ACACAATGGGC >hg38_chr22:45239787-45239797(+) TGACAATGTGG >hg38_chr22:45497669-45497679(+) CAACAATGGCA >hg38_chr22:45502944-45502954(-) ggacaaaggcg >hg38_chr22:45505309-45505319(+) GAACAAAGGCC >hg38_chr22:45551808-45551818(-) TTACAATGACA >hg38_chr22:45553303-45553313(-) GGACAATGTGC >hg38_chr22:45640599-45640609(-) TCACAATGAGG >hg38_chr22:45650931-45650941(-) CAACAATGGCG >hg38_chr22:45680964-45680974(+) gtacaatgctt >hg38_chr22:45685337-45685347(-) AGACAATGTAT >hg38_chr22:45692733-45692743(+) ATACAATAAAG >hg38_chr22:45692915-45692925(-) ctacaatggga >hg38_chr22:45692941-45692951(+) agacaatatat >hg38_chr22:45698433-45698443(-) ggacaaaggac >hg38_chr22:45698498-45698508(-) ctacaatgtat >hg38_chr22:45717662-45717672(-) ATACAATAAAA >hg38_chr22:45717675-45717685(-) TAACAATACAA >hg38_chr22:45721067-45721077(-) CAACAATGAAA >hg38_chr22:45756711-45756721(-) GTACAATAATA >hg38_chr22:45772273-45772283(-) aaacaatgaac >hg38_chr22:45791571-45791581(-) ctacaatgaac >hg38_chr22:45791643-45791653(-) ctacaataata >hg38_chr22:45801511-45801521(+) GATCAATGGTA >hg38_chr22:45805148-45805158(+) ccacaatggca >hg38_chr22:45805198-45805208(+) agacaatagca >hg38_chr22:45809732-45809742(+) TTACAATgtcc >hg38_chr22:45811668-45811678(+) acacaatgatg >hg38_chr22:45838520-45838530(+) ATACAATAAAG >hg38_chr22:45843883-45843893(-) GGACAAAGGCA >hg38_chr22:45843920-45843930(-) GTACAATATAT >hg38_chr22:45845145-45845155(+) AAACAGTGGGA >hg38_chr22:45863014-45863024(+) aaacaatagag >hg38_chr22:45886066-45886076(+) GAACAAAGGCG >hg38_chr22:45943359-45943369(-) GAACAAAGGCC >hg38_chr22:45967214-45967224(+) ACACAATGACC >hg38_chr22:45997604-45997614(-) AGACAATGCGC >hg38_chr22:46027449-46027459(+) GGACAGTGGCG >hg38_chr22:46027457-46027467(-) GAACAATGCGC >hg38_chr22:46043964-46043974(+) GGACAAAGGGA >hg38_chr22:46056175-46056185(+) TCACAATAGTG >hg38_chr22:46061601-46061611(-) GAACAAAGGGC >hg38_chr22:46072030-46072040(-) GCACAATGGGC >hg38_chr22:46107231-46107241(-) CAACAATGGAT >hg38_chr22:46142762-46142772(-) AGACAATGTTC >hg38_chr22:46201591-46201601(+) TGACAATGACC >hg38_chr22:46201600-46201610(-) AAACAATGCGG >hg38_chr22:46205980-46205990(+) atactatggtt >hg38_chr22:46238712-46238722(-) GGACAATGGAG >hg38_chr22:46343879-46343889(-) TTACAATGGTA >hg38_chr22:46352889-46352899(-) CAACAATGGCT >hg38_chr22:46352905-46352915(+) GTACAGTGGCG >hg38_chr22:46358463-46358473(+) GCACAATGGCC >hg38_chr22:46472128-46472138(-) CCACAATGAGG >hg38_chr22:46500457-46500467(+) GGACAATGGCA >hg38_chr22:46510437-46510447(-) GGACAATGGGG >hg38_chr22:46510450-46510460(+) GGACAAAGGGA >hg38_chr22:46537689-46537699(-) GAACAATACGC >hg38_chr22:46620199-46620209(-) ACACAAAGGCA >hg38_chr22:46652873-46652883(-) GGACAAAGGAG >hg38_chr22:46711046-46711056(+) TAACAATGGAC >hg38_chr22:46836607-46836617(-) taactatggcc >hg38_chr22:46883302-46883312(-) AAACAATGCAT >hg38_chr22:46958882-46958892(-) AGACAATGAGA >hg38_chr22:47022982-47022992(-) tgacaatgcct >hg38_chr22:47256148-47256158(-) ataCAatataa >hg38_chr22:47474891-47474901(+) acacaacggtc >hg38_chr22:47504417-47504427(+) agacaatgtga >hg38_chr22:47518340-47518350(+) gtacaatgccc >hg38_chr22:47518399-47518409(+) aaacaatggaa >hg38_chr22:47524296-47524306(-) acacaatgcct >hg38_chr22:47659747-47659757(-) GAACAATGCCC >hg38_chr22:47659768-47659778(+) CAACAATGCAT >hg38_chr22:47850654-47850664(+) GCACAAAGGCG >hg38_chr22:48072947-48072957(-) gaacaaaggct >hg38_chr22:48112625-48112635(+) GGATAATGGGG >hg38_chr22:48240225-48240235(+) TGATAATGGGT >hg38_chr22:48321331-48321341(+) TCACAATGGCT >hg38_chr22:48427274-48427284(+) GAACAAAGGCC >hg38_chr22:48427326-48427336(+) AAACAATGGCT >hg38_chr22:48536720-48536730(-) AAACAAAGGCA >hg38_chr22:48561714-48561724(+) AAACAATGCTC >hg38_chr22:48804923-48804933(+) GAACAATGCCG >hg38_chr22:48884392-48884402(-) GGACAATGGAC >hg38_chr22:48919422-48919432(+) GGACAATGGCC >hg38_chr22:48921399-48921409(-) GAACAATGTTA >hg38_chr22:48921481-48921491(+) TCACAAAGGCG >hg38_chr22:48960972-48960982(-) TGACAATGACT >hg38_chr22:48961017-48961027(-) AGACAATAGTC >hg38_chr22:49036778-49036788(+) AAACAATGCCT >hg38_chr22:49071847-49071857(-) AAACAATGGTC >hg38_chr22:49252514-49252524(+) TCACAATGAGA >hg38_chr22:49334516-49334526(+) GGACAAAGGTT >hg38_chr22:49370778-49370788(-) CGACAATGCAA >hg38_chr22:49396976-49396986(-) CAACAATGCAA >hg38_chr22:49403509-49403519(+) ACACAATAGAG >hg38_chr22:49469008-49469018(+) CCACAATGCAA >hg38_chr22:49525900-49525910(+) GGACAAAGGGT >hg38_chr22:49565161-49565171(+) GTACAATGCAT >hg38_chr22:49582478-49582488(-) AGATAATGGTG >hg38_chr22:49632791-49632801(+) GAACAAAGGAG >hg38_chr22:49655342-49655352(-) CGACACTGGTC >hg38_chr22:49662783-49662793(+) ACACAGTGGAC >hg38_chr22:49745859-49745869(-) tgacaatagca >hg38_chr22:49752031-49752041(+) TGACAAAGGGA >hg38_chr22:49827865-49827875(+) AAACAATGCGG >hg38_chr22:49839743-49839753(+) gaacaatagaa >hg38_chr22:49854633-49854643(-) ACACAACGGGA >hg38_chr22:49963512-49963522(+) ACACAATGCAA >hg38_chr22:50105378-50105388(+) acacaatgtca >hg38_chr22:50129532-50129542(-) aaacaatgaag >hg38_chr22:50129548-50129558(-) AAACAATCGTa >hg38_chr22:50140110-50140120(-) acacaatgcaa >hg38_chr22:50140123-50140133(+) gtacaatggaa >hg38_chr22:50425187-50425197(-) agacaatggtg >hg38_chr22:50591408-50591418(+) atataatgtaa >hg38_chr22:50641065-50641075(+) agataatggtg >hg38_chr22:50691504-50691514(-) gaacaaagggg >hg38_chr22:50705471-50705481(-) CGACAATAAGC >hg38_chr22:50775313-50775323(+) ACACAATGGGA >hg38_chr3:49451-49461(-) CTACAATGGCC >hg38_chr3:72891-72901(-) AAACAATGCTC >hg38_chr3:73662-73672(-) GAACAATAAGA >hg38_chr3:100495-100505(-) TAACAATGGGC >hg38_chr3:106834-106844(+) ggacaatgagt >hg38_chr3:110964-110974(-) AAACAATGAGG >hg38_chr3:110986-110996(-) GGACAATGAGC >hg38_chr3:131683-131693(-) CAACAATGCAA >hg38_chr3:165112-165122(+) gtacaatgaca >hg38_chr3:195898-195908(-) GAACAATGAGG >hg38_chr3:207640-207650(-) GAACAATAACA >hg38_chr3:279727-279737(+) AAACAATGGAG >hg38_chr3:279757-279767(+) AGACAATGAGA >hg38_chr3:301590-301600(-) AGACAATGCTG >hg38_chr3:332898-332908(+) ACACAAAGGAA >hg38_chr3:459201-459211(+) CTACAATGAAA >hg38_chr3:522699-522709(+) CAACAATGTTG >hg38_chr3:869548-869558(+) tcacaatggga >hg38_chr3:902890-902900(-) caacaatgcaa >hg38_chr3:905427-905437(+) ttacaatggct >hg38_chr3:1079868-1079878(-) gcacaatgcag >hg38_chr3:1079877-1079887(-) aaacaaagggc >hg38_chr3:1092993-1093003(-) AGATAATGGGA >hg38_chr3:1131316-1131326(+) ACACAAAGGGA >hg38_chr3:1206016-1206026(-) caacaatgtaa >hg38_chr3:1232512-1232522(-) gaacaatgcct >hg38_chr3:1255085-1255095(+) ttaccatggta >hg38_chr3:1331163-1331173(+) ACACAATGAAG >hg38_chr3:1404732-1404742(+) GAACAATGGCT >hg38_chr3:1404787-1404797(+) ACACAATGCAA >hg38_chr3:1451087-1451097(-) AGACAAAGGAA >hg38_chr3:1456730-1456740(-) ggacaatgggc >hg38_chr3:1457097-1457107(-) gtacaaaggcc >hg38_chr3:1618166-1618176(+) taacaaagggt >hg38_chr3:1731279-1731289(+) TAACAATAAGC >hg38_chr3:1731303-1731313(-) TTACAATGAAT >hg38_chr3:1856091-1856101(-) GAATAATGATA >hg38_chr3:2024232-2024242(-) GAACAATGGTG >hg38_chr3:2061492-2061502(+) AAACAATGGGT >hg38_chr3:2147669-2147679(-) AGATAATGGTG >hg38_chr3:2158527-2158537(-) AAACAATAGGA >hg38_chr3:2277650-2277660(-) CAACAATGGAG >hg38_chr3:2293010-2293020(+) caacaatgcat >hg38_chr3:2304754-2304764(+) TTACAATGGAG >hg38_chr3:2304772-2304782(+) TTACAATGGAG >hg38_chr3:2316350-2316360(-) GTACAATAGAT >hg38_chr3:2354944-2354954(-) ACACAATGTAT >hg38_chr3:2364551-2364561(+) TGACAATAGAG >hg38_chr3:2379086-2379096(+) ATACAATAGGC >hg38_chr3:2445893-2445903(-) agacaatGTAG >hg38_chr3:2466612-2466622(+) GGACAATGTTT >hg38_chr3:2685761-2685771(-) TAACAAAGGGA >hg38_chr3:2710964-2710974(+) GGACAATGCGC >hg38_chr3:2735857-2735867(+) CCACAATGACA >hg38_chr3:2783416-2783426(-) AAACAATAGGT >hg38_chr3:2823306-2823316(-) TTACAATGGAT >hg38_chr3:2865038-2865048(+) TCACAATGTAT >hg38_chr3:2901115-2901125(+) ATACAAAGGAA >hg38_chr3:2902910-2902920(+) TAACAATAGTG >hg38_chr3:3020379-3020389(+) CAACAATGCAC >hg38_chr3:3060665-3060675(-) TTACAATGCAC >hg38_chr3:3061149-3061159(-) GCACAATGAGG >hg38_chr3:3134588-3134598(-) AAACAGTGGGA >hg38_chr3:3160147-3160157(+) TAACTATGGCT >hg38_chr3:3160859-3160869(+) tgacaatggtg >hg38_chr3:3192674-3192684(-) acacaatgaca >hg38_chr3:3267710-3267720(+) GGACAAAGGGT >hg38_chr3:3326716-3326726(-) GAACAATGAAA >hg38_chr3:3344000-3344010(-) ctacaatgagc >hg38_chr3:3587087-3587097(+) CAACAATGGGA >hg38_chr3:3705607-3705617(+) TGACAAAGGGA >hg38_chr3:3799258-3799268(-) CAACAAAggcg >hg38_chr3:3801626-3801636(+) TAACAATAGAC >hg38_chr3:3803571-3803581(-) CTACAATGGAA >hg38_chr3:3804768-3804778(-) TAACTATGGTA >hg38_chr3:3804782-3804792(+) TAACAATGTAC >hg38_chr3:3998278-3998288(-) ATACAATGGGT >hg38_chr3:4000390-4000400(-) CCACAATGGGA >hg38_chr3:4016491-4016501(+) AAACAATGTAC >hg38_chr3:4044291-4044301(+) AAACAATGGGC >hg38_chr3:4123904-4123914(+) CGACAATGGCT >hg38_chr3:4169407-4169417(+) agacaatgtga >hg38_chr3:4169585-4169595(+) gaactatgggg >hg38_chr3:4218701-4218711(-) GAACAATAGGC >hg38_chr3:4235143-4235153(+) agacaaaggaa >hg38_chr3:4283224-4283234(+) CAACAATGAAG >hg38_chr3:4287190-4287200(+) acacaatgcaa >hg38_chr3:4287219-4287229(-) taacaatagtt >hg38_chr3:4301229-4301239(+) gaacaatgtgg >hg38_chr3:4341494-4341504(+) GGACAAAGGGT >hg38_chr3:4376115-4376125(+) TGACAATAGGT >hg38_chr3:4376133-4376143(-) TAACAAAGGTT >hg38_chr3:4389313-4389323(-) tgacaatggat >hg38_chr3:4496497-4496507(+) TGACAATGGTA >hg38_chr3:4548261-4548271(-) GTACAAAGGTG >hg38_chr3:4656182-4656192(+) GCACAATGAAA >hg38_chr3:4711509-4711519(-) ATACAATGGAG >hg38_chr3:4712454-4712464(+) AAACAATGTCA >hg38_chr3:4730547-4730557(-) TTACAAAGGGA >hg38_chr3:4777169-4777179(-) ACACAATGCTT >hg38_chr3:4864641-4864651(+) TGACTATGGTC >hg38_chr3:4865844-4865854(+) acacaatggaa >hg38_chr3:4885499-4885509(+) ATACAATGCAC >hg38_chr3:4885556-4885566(+) GCACAATAGCA >hg38_chr3:4907431-4907441(-) TAACAAAGGAA >hg38_chr3:4938268-4938278(-) cCACAATAGTG >hg38_chr3:4938321-4938331(-) gtacaatggtt >hg38_chr3:4974483-4974493(+) caataatggac >hg38_chr3:4990828-4990838(-) tcacaatgcta >hg38_chr3:5072548-5072558(-) GCacaatgccc >hg38_chr3:5122116-5122126(+) caacaatgaat >hg38_chr3:5126557-5126567(-) ATATAATAGTA >hg38_chr3:5132758-5132768(-) TCACAATGCCT >hg38_chr3:5133121-5133131(+) GAACAGTGGGA >hg38_chr3:5133202-5133212(+) AAACAAAGGCT >hg38_chr3:5133438-5133448(-) TAATAATGCGT >hg38_chr3:5161763-5161773(-) TAACAATAGGA >hg38_chr3:5177910-5177920(+) CCACAATGGTG >hg38_chr3:5182074-5182084(-) TGACAATGACC >hg38_chr3:5183405-5183415(+) GTACAATGTGT >hg38_chr3:5183419-5183429(+) ACACAATGTGC >hg38_chr3:5201716-5201726(-) ATACAATCGTG >hg38_chr3:5261347-5261357(-) GCACAATGAGA >hg38_chr3:5261363-5261373(-) ATACAAAGGTA >hg38_chr3:5293039-5293049(-) ACACAATGCAT >hg38_chr3:5369346-5369356(+) ACACAATGGCC >hg38_chr3:5463828-5463838(-) ATACAATGCTT >hg38_chr3:5464299-5464309(+) ATACAATGCGG >hg38_chr3:5505388-5505398(+) AGACAATTGCG >hg38_chr3:5709950-5709960(-) agacaatgggg >hg38_chr3:5735508-5735518(+) GAACAAAGGCC >hg38_chr3:5977780-5977790(-) TAACAAAGGAA >hg38_chr3:6182640-6182650(-) gaacaatgtga >hg38_chr3:6182705-6182715(-) ttataatggcc >hg38_chr3:6205146-6205156(-) ACACAATAGGG >hg38_chr3:6285750-6285760(+) tgacaatagga >hg38_chr3:6376177-6376187(+) TAACAATACAA >hg38_chr3:6445374-6445384(+) atacagtggca >hg38_chr3:6525423-6525433(-) gtacaaagggc >hg38_chr3:6743005-6743015(-) ggacaatagtc >hg38_chr3:6808144-6808154(-) CAACAATGTTT >hg38_chr3:6809962-6809972(+) gcacaatggta >hg38_chr3:6809972-6809982(+) aaacaatgcag >hg38_chr3:6867550-6867560(+) GTATAATGGAA >hg38_chr3:6940997-6941007(-) acacaatgagc >hg38_chr3:7011930-7011940(-) AGACAAAGGAC >hg38_chr3:7157768-7157778(+) TGATAATGGCA >hg38_chr3:7226802-7226812(-) CGACTATGGAG >hg38_chr3:7309940-7309950(-) AGACAATGCAG >hg38_chr3:7424231-7424241(-) CAACAATGGCA >hg38_chr3:7483599-7483609(+) ggacaatagaa >hg38_chr3:7520212-7520222(-) caacaatggcc >hg38_chr3:7574961-7574971(+) TAACAATGGCA >hg38_chr3:7625198-7625208(-) GTACAATATGA >hg38_chr3:7668027-7668037(-) CAACAATGAAA >hg38_chr3:7695265-7695275(-) AAACAAAGGTG >hg38_chr3:7700669-7700679(-) ATACAATGGCT >hg38_chr3:7752184-7752194(-) TAACAATGGAT >hg38_chr3:7779585-7779595(-) GCACAATAGCA >hg38_chr3:7789074-7789084(-) CTACAAAGGGA >hg38_chr3:7800145-7800155(-) acacaatgcat >hg38_chr3:7865818-7865828(+) TCACAATGGAA >hg38_chr3:7878611-7878621(+) agacaatgtct >hg38_chr3:7999580-7999590(-) TAACAATGGAC >hg38_chr3:8024275-8024285(-) gcacaatgtga >hg38_chr3:8077117-8077127(+) gaacaatgata >hg38_chr3:8100239-8100249(+) tgataatggct >hg38_chr3:8242435-8242445(-) atacaattgta >hg38_chr3:8279556-8279566(-) GAACAATGGGT >hg38_chr3:8294639-8294649(-) AGACAATGTGA >hg38_chr3:8297060-8297070(+) acacaaaggca >hg38_chr3:8309119-8309129(+) acacaatggga >hg38_chr3:8316874-8316884(+) ttacaatgata >hg38_chr3:8322842-8322852(-) atacaatgaaa >hg38_chr3:8325586-8325596(+) GAACAATGATA >hg38_chr3:8357530-8357540(+) taacaatgcat >hg38_chr3:8379261-8379271(+) tcacaatgatg >hg38_chr3:8408954-8408964(+) taactatggag >hg38_chr3:8446759-8446769(+) aaacaatagtg >hg38_chr3:8450839-8450849(-) agacaatgcca >hg38_chr3:8450852-8450862(-) caacaatgtcc >hg38_chr3:8456404-8456414(+) agacaatcgcg >hg38_chr3:8489658-8489668(+) TCACAATGCTC >hg38_chr3:8494504-8494514(+) CCAcaatagcg >hg38_chr3:8499341-8499351(-) GAACAATAGTG >hg38_chr3:8525393-8525403(-) acacaatggaa >hg38_chr3:8563351-8563361(+) gaacaatgtac >hg38_chr3:8600837-8600847(-) tgataatggtg >hg38_chr3:8600849-8600859(+) caacaATAGCC >hg38_chr3:8600891-8600901(-) gcacaatgcct >hg38_chr3:8625510-8625520(-) GAACAAAGGAA >hg38_chr3:8625525-8625535(+) CTACAATGCCC >hg38_chr3:8639162-8639172(-) ACACAATGCCC >hg38_chr3:8639713-8639723(-) GGACAAAGGAC >hg38_chr3:8644855-8644865(-) TAACAATGGGC >hg38_chr3:8650476-8650486(-) GGACAATGGGA >hg38_chr3:8654429-8654439(-) ctacaatggga >hg38_chr3:8655735-8655745(-) GAACAAAGGAA >hg38_chr3:8658814-8658824(+) AGACAATGCCC >hg38_chr3:8660590-8660600(-) taacaataaag >hg38_chr3:8680074-8680084(-) atacaacggaa >hg38_chr3:8702696-8702706(-) ccacaatggca >hg38_chr3:8741017-8741027(-) TAACCATGGTA >hg38_chr3:8742640-8742650(+) TCACAATAGCC >hg38_chr3:8742651-8742661(-) GCACAATGCCT >hg38_chr3:8784253-8784263(-) ACACAATGTGC >hg38_chr3:8841742-8841752(+) ACACAATAAAT >hg38_chr3:8875488-8875498(-) gcacaatgtaa >hg38_chr3:8903935-8903945(+) gaactatggca >hg38_chr3:8903941-8903951(-) agacaatgcca >hg38_chr3:8911498-8911508(+) GAACAATGGTG >hg38_chr3:8914166-8914176(-) AGACAAAGGTT >hg38_chr3:8917501-8917511(+) taacaatacaa >hg38_chr3:8921186-8921196(+) taacaataggt >hg38_chr3:8921203-8921213(+) gtacaaagggt >hg38_chr3:8932549-8932559(+) tcacaatgcaa >hg38_chr3:8933969-8933979(+) aaacaatgtcc >hg38_chr3:8938910-8938920(+) TAACAATAGTG >hg38_chr3:8941325-8941335(+) CAACAATGTCT >hg38_chr3:9001258-9001268(+) aaacaatatat >hg38_chr3:9019540-9019550(+) ACACAATGGGC >hg38_chr3:9056743-9056753(-) GCACAATGGGA >hg38_chr3:9056785-9056795(+) AGACAATGTGC >hg38_chr3:9092401-9092411(-) aaacaataact >hg38_chr3:9092435-9092445(+) gcacaatgcta >hg38_chr3:9099386-9099396(+) GAATAATGGAT >hg38_chr3:9099397-9099407(-) TAACAATAGCC >hg38_chr3:9155274-9155284(-) ggacaacggct >hg38_chr3:9155282-9155292(-) ctacaaaggga >hg38_chr3:9169695-9169705(+) TGACAATGGCT >hg38_chr3:9194728-9194738(-) gaacaatagaa >hg38_chr3:9199461-9199471(-) aaacaaaGGAC >hg38_chr3:9199909-9199919(+) GAACAATGACA >hg38_chr3:9204808-9204818(-) CGACAATAGAT >hg38_chr3:9214835-9214845(-) CTACAATGAAT >hg38_chr3:9246403-9246413(+) AAACAATGGAA >hg38_chr3:9248737-9248747(-) TAACAGTGGCA >hg38_chr3:9248781-9248791(+) TCATAATGGCA >hg38_chr3:9249726-9249736(-) CTACAATGTGT >hg38_chr3:9250420-9250430(-) tcacaATGCTT >hg38_chr3:9278232-9278242(-) acacaatggaa >hg38_chr3:9280904-9280914(+) GCACAATAGCC >hg38_chr3:9292914-9292924(-) CAACAATAGAA >hg38_chr3:9292935-9292945(+) GTACAATGGGG >hg38_chr3:9293218-9293228(+) AAACAATGGCC >hg38_chr3:9307441-9307451(+) ACACAATGTGA >hg38_chr3:9307454-9307464(+) GAACAATGGGA >hg38_chr3:9317233-9317243(-) TTACAATGGGA >hg38_chr3:9340025-9340035(+) AAACAATGAAA >hg38_chr3:9376464-9376474(-) aaacaatgtcc >hg38_chr3:9387470-9387480(-) GGATAATGGGC >hg38_chr3:9387809-9387819(+) ttacaatgtta >hg38_chr3:9401657-9401667(-) TAACTATGGCC >hg38_chr3:9403022-9403032(+) GGACAAAGGGC >hg38_chr3:9432419-9432429(-) ATACAATATAT >hg38_chr3:9439009-9439019(+) GAACAATGGCT >hg38_chr3:9439240-9439250(-) AAACAAAGGGA >hg38_chr3:9439247-9439257(-) GAACAATAAAC >hg38_chr3:9439287-9439297(+) AGACAATAGTG >hg38_chr3:9485404-9485414(+) ctacaatgaga >hg38_chr3:9516347-9516357(-) acacaatgggg >hg38_chr3:9551145-9551155(+) GAACAATGGAA >hg38_chr3:9778283-9778293(+) GGACAATGAGT >hg38_chr3:9807218-9807228(-) GAATAATGGCA >hg38_chr3:9894173-9894183(+) GTATAATGGCA >hg38_chr3:9965865-9965875(+) atacaatgtac >hg38_chr3:9965872-9965882(-) atataatgtac >hg38_chr3:9965892-9965902(-) aaacaatggaa >hg38_chr3:10132830-10132840(-) GTACAATACAA >hg38_chr3:10132889-10132899(-) TTACAATGGTA >hg38_chr3:10205770-10205780(+) taacactggaa >hg38_chr3:10267652-10267662(-) gaacaatacac >hg38_chr3:10310852-10310862(+) tcacaatggag >hg38_chr3:10495268-10495278(+) ATACCATGGAC >hg38_chr3:10537027-10537037(-) taacaaaggta >hg38_chr3:10553866-10553876(-) AAACAATGGCC >hg38_chr3:10577661-10577671(+) GCACAATGTAG >hg38_chr3:10682897-10682907(+) GAACAAAGGTC >hg38_chr3:10738654-10738664(-) TAACAACGGCG >hg38_chr3:10757480-10757490(+) CAACAATAGCT >hg38_chr3:10794545-10794555(+) gcacaataggt >hg38_chr3:10794554-10794564(+) gtacaattgta >hg38_chr3:10794555-10794565(-) gtacaattgta >hg38_chr3:10968965-10968975(-) ACACAAAGGCA >hg38_chr3:10985334-10985344(-) ATACAAAGGCA >hg38_chr3:10988038-10988048(+) GTACAATGTGC >hg38_chr3:11001211-11001221(+) TGACAACGGAG >hg38_chr3:11001236-11001246(+) AGATAATGGCG >hg38_chr3:11087122-11087132(+) GCACAATGGCA >hg38_chr3:11106866-11106876(-) caataatggat >hg38_chr3:11132393-11132403(+) gcacaatgctt >hg38_chr3:11146336-11146346(-) AGACAATAGCC >hg38_chr3:11168584-11168594(+) GCACAATGCCA >hg38_chr3:11385517-11385527(+) ttacaatagcc >hg38_chr3:11395302-11395312(+) aaataatggtt >hg38_chr3:11410577-11410587(+) aaacaataact >hg38_chr3:11410841-11410851(-) tcacaatagct >hg38_chr3:11412745-11412755(+) tcacaatgaat >hg38_chr3:11412781-11412791(+) taacaatacta >hg38_chr3:11428650-11428660(-) ACACAATGTTC >hg38_chr3:11428659-11428669(-) AGACAATAAAC >hg38_chr3:11436711-11436721(+) gaataatggag >hg38_chr3:11453693-11453703(-) ACACAATGCAA >hg38_chr3:11461368-11461378(-) ATACAAAGGTT >hg38_chr3:11477038-11477048(+) GCACAATGGGC >hg38_chr3:11496571-11496581(+) TTACAATAGCC >hg38_chr3:11556415-11556425(-) GAACAAGGGCG >hg38_chr3:11581893-11581903(-) AGACAATGGTG >hg38_chr3:11588778-11588788(+) GAACAATGGAG >hg38_chr3:11591383-11591393(-) GAACAAAGGCT >hg38_chr3:11591585-11591595(-) AAACAAAGGCC >hg38_chr3:11600193-11600203(-) tgacaatgata >hg38_chr3:11600199-11600209(-) tgacaatgaca >hg38_chr3:11600205-11600215(-) ggacaatgaca >hg38_chr3:11619908-11619918(+) ATACAATGGAA >hg38_chr3:11621994-11622004(-) gtacaaaggca >hg38_chr3:11625648-11625658(-) CTACAATGCTG >hg38_chr3:11626570-11626580(-) CCACAATGTGT >hg38_chr3:11636487-11636497(+) ATACAATATAG >hg38_chr3:11642057-11642067(+) CTACAATGTGT >hg38_chr3:11649255-11649265(+) aaacaataaaa >hg38_chr3:11661304-11661314(+) agacaatgcaa >hg38_chr3:11691273-11691283(-) AGACAATAGTC >hg38_chr3:11721163-11721173(+) TAACAATGAAA >hg38_chr3:12024684-12024694(+) ctataatggat >hg38_chr3:12024726-12024736(+) gaataatggtg >hg38_chr3:12025453-12025463(-) agacaatatta >hg38_chr3:12092530-12092540(+) agacaaaggaa >hg38_chr3:12102439-12102449(+) TGACAATGTGT >hg38_chr3:12102871-12102881(+) ATATAATGAac >hg38_chr3:12102889-12102899(+) taacaatagta >hg38_chr3:12103503-12103513(-) atacaatgcta >hg38_chr3:12218352-12218362(+) tcacaatagcc >hg38_chr3:12218427-12218437(+) acacaatggaa >hg38_chr3:12263030-12263040(+) GGACAAAGGCA >hg38_chr3:12275430-12275440(-) TAACAATGCAA >hg38_chr3:12337337-12337347(-) GAACAATGTAC >hg38_chr3:12360793-12360803(-) atacaatgaaa >hg38_chr3:12372869-12372879(+) AGATAATGGCA >hg38_chr3:12402159-12402169(+) gcacaatagtc >hg38_chr3:12480084-12480094(+) AAACAATGGGG >hg38_chr3:12603573-12603583(+) GAACAAAGGAA >hg38_chr3:12605005-12605015(-) AGACAATAGAA >hg38_chr3:12610244-12610254(-) AGATAATGGAG >hg38_chr3:12621729-12621739(+) taacaataggg >hg38_chr3:12637561-12637571(-) ACACAATGACT >hg38_chr3:12662844-12662854(-) AAACAATGCAG >hg38_chr3:12681597-12681607(-) AGATAATGGAG >hg38_chr3:12780554-12780564(+) aaacactggaa >hg38_chr3:12797375-12797385(-) GAACAATCGCA >hg38_chr3:12829949-12829959(+) agacaatgctg >hg38_chr3:12841692-12841702(+) AGACAATAATA >hg38_chr3:12945055-12945065(-) GAACAAAGGCC >hg38_chr3:12960108-12960118(+) TAACGATGACG >hg38_chr3:13003122-13003132(+) atacaatggaa >hg38_chr3:13041564-13041574(+) TGACCATGGCG >hg38_chr3:13043196-13043206(-) CAACAATGCGC >hg38_chr3:13043265-13043275(+) AGACAATAGGA >hg38_chr3:13044786-13044796(-) AAACAAAGGTC >hg38_chr3:13137983-13137993(+) TAACAATGTGT >hg38_chr3:13236119-13236129(-) GAACAAAGGGA >hg38_chr3:13243927-13243937(+) agacaatggtg >hg38_chr3:13287259-13287269(+) tgacaatgctc >hg38_chr3:13459616-13459626(-) CAACAATAGAG >hg38_chr3:13479542-13479552(-) AGACAATGGGC >hg38_chr3:13479566-13479576(-) CAACAATAGAG >hg38_chr3:13496514-13496524(-) ACACAATGCAT >hg38_chr3:13526440-13526450(+) GAACAAAGGCC >hg38_chr3:13595784-13595794(-) GGACAATGGGT >hg38_chr3:13671741-13671751(-) GCACAAAGGAA >hg38_chr3:13728672-13728682(-) aaacaatggta >hg38_chr3:13785533-13785543(-) CAACAATAGAG >hg38_chr3:13786197-13786207(-) GTACAATGCCA >hg38_chr3:13786207-13786217(+) CGACAATCGGG >hg38_chr3:13791226-13791236(-) GAACAATGGTC >hg38_chr3:13812179-13812189(-) AGACAAAGGGA >hg38_chr3:13823151-13823161(+) GGACAATAGCA >hg38_chr3:13863621-13863631(-) taacaaaggac >hg38_chr3:14002140-14002150(-) gcacaatgcaa >hg38_chr3:14034892-14034902(-) atacaatgcct >hg38_chr3:14048069-14048079(-) acacaatatac >hg38_chr3:14105921-14105931(+) taacaataaac >hg38_chr3:14122008-14122018(-) GGACAAAGGTA >hg38_chr3:14142713-14142723(+) TTACAATGGAT >hg38_chr3:14174611-14174621(+) taacaataatc >hg38_chr3:14178778-14178788(-) ATACAATACAC >hg38_chr3:14291358-14291368(-) aaacaatggcg >hg38_chr3:14319696-14319706(+) AGACAATGCTG >hg38_chr3:14328582-14328592(-) acacaatgtga >hg38_chr3:14329550-14329560(-) GAACAATAGCT >hg38_chr3:14329579-14329589(-) GAACAATGAAC >hg38_chr3:14406006-14406016(-) AGACAATGGCA >hg38_chr3:14406075-14406085(+) GCACAGTGGCG >hg38_chr3:14422769-14422779(-) ACACAATGGCC >hg38_chr3:14435529-14435539(-) AAACAATGAGC >hg38_chr3:14435557-14435567(+) AAACAATGCCT >hg38_chr3:14441320-14441330(+) CCACAATGGGC >hg38_chr3:14460780-14460790(+) GAACAATAGCA >hg38_chr3:14463548-14463558(-) GAACAATAGCT >hg38_chr3:14482563-14482573(-) TAACAATAAAA >hg38_chr3:14496744-14496754(+) taacaatgaga >hg38_chr3:14496753-14496763(+) gaacaataatc >hg38_chr3:14511872-14511882(-) acacaatagag >hg38_chr3:14515196-14515206(-) atacaatggaa >hg38_chr3:14534853-14534863(+) TGACAAAGGGA >hg38_chr3:14555949-14555959(-) GCACAATGCTG >hg38_chr3:14574794-14574804(+) ccacaatggaa >hg38_chr3:14574812-14574822(+) taacaatgaaa >hg38_chr3:14602077-14602087(-) GCATAATGGCT >hg38_chr3:14615251-14615261(-) agacaatgcta >hg38_chr3:14674857-14674867(-) TTACAATGAAC >hg38_chr3:14712600-14712610(-) acacaatgtat >hg38_chr3:14725856-14725866(+) GGACAAAGGCA >hg38_chr3:14732109-14732119(+) caacaatgtat >hg38_chr3:14749903-14749913(-) taacaatgtca >hg38_chr3:14749928-14749938(-) acacaatgcat >hg38_chr3:14846393-14846403(-) CGACAATAGAA >hg38_chr3:14848013-14848023(-) CAACAATGGCT >hg38_chr3:14907768-14907778(-) GAACAATGGGG >hg38_chr3:14957296-14957306(-) TAACAATGAAC >hg38_chr3:15004676-15004686(-) taacaataaat >hg38_chr3:15025519-15025529(+) GTACAATGGAA >hg38_chr3:15102987-15102997(+) AGACAATAGCA >hg38_chr3:15222562-15222572(-) AGACAAAGGGA >hg38_chr3:15223906-15223916(-) AAACAATGACA >hg38_chr3:15236582-15236592(-) taacaatgcat >hg38_chr3:15244603-15244613(-) TTATAATGGGA >hg38_chr3:15245301-15245311(-) GTATAATAGTA >hg38_chr3:15254944-15254954(-) ATACAATAGTG >hg38_chr3:15300970-15300980(-) GGACAATAGGG >hg38_chr3:15321367-15321377(+) TAACAATACAC >hg38_chr3:15330922-15330932(+) AAACAATAAAA >hg38_chr3:15343139-15343149(-) gcacaatgcca >hg38_chr3:15343175-15343185(+) aaataatggac >hg38_chr3:15343196-15343206(+) acacaatgggc >hg38_chr3:15356821-15356831(+) GAACAATAAAA >hg38_chr3:15357560-15357570(-) gtactatggac >hg38_chr3:15360797-15360807(+) atacaatatgt >hg38_chr3:15429705-15429715(+) ggataatggta >hg38_chr3:15429723-15429733(-) gtacaatgaga >hg38_chr3:15441926-15441936(+) GTACAATGTCT >hg38_chr3:15523836-15523846(-) AAACAATAGCA >hg38_chr3:15586393-15586403(+) TAACAATGCCA >hg38_chr3:15630881-15630891(-) CCACAATGGTT >hg38_chr3:15633298-15633308(-) TTACAATAAAA >hg38_chr3:15656303-15656313(-) gaacaatgggt >hg38_chr3:15656341-15656351(-) gtacagtggtg >hg38_chr3:15664321-15664331(+) aaataatggag >hg38_chr3:15677444-15677454(+) TAACAATGGAA >hg38_chr3:15690472-15690482(+) ATACAATGCTT >hg38_chr3:15704262-15704272(+) GGACAATGGGG >hg38_chr3:15704596-15704606(-) CAACAATGAAA >hg38_chr3:15711379-15711389(+) AAACTATGGGT >hg38_chr3:15711427-15711437(+) atacaatggag >hg38_chr3:15774456-15774466(+) ggacaatgtgg >hg38_chr3:15782858-15782868(-) aaacaaaggtg >hg38_chr3:15784279-15784289(+) aaataatggca >hg38_chr3:15797282-15797292(+) AGACAATACGA >hg38_chr3:15815604-15815614(-) GAACAATAAGA >hg38_chr3:15815667-15815677(-) CTACAATAGTA >hg38_chr3:15819395-15819405(+) tgacaaaggta >hg38_chr3:15819403-15819413(+) gtacaaaggca >hg38_chr3:15819612-15819622(-) GTATAATGTAA >hg38_chr3:15819691-15819701(-) ATACATTGGTA >hg38_chr3:15821517-15821527(+) TCACAATATat >hg38_chr3:15836614-15836624(+) aaacgatggaa >hg38_chr3:15856377-15856387(+) AAACAATGATC >hg38_chr3:15982141-15982151(+) acacaatgcca >hg38_chr3:15994193-15994203(-) ggacaatggca >hg38_chr3:15994200-15994210(-) gaacaaaggac >hg38_chr3:16014295-16014305(+) taacaatacat >hg38_chr3:16024731-16024741(-) AGACAATGCTG >hg38_chr3:16026178-16026188(+) TCACAATGCAT >hg38_chr3:16055526-16055536(-) CAACAATGCCA >hg38_chr3:16062796-16062806(-) CCACAATAGGT >hg38_chr3:16065380-16065390(+) TCACAATGCCT >hg38_chr3:16065940-16065950(-) ACACAATATAT >hg38_chr3:16071475-16071485(+) CAACAATGCAG >hg38_chr3:16124729-16124739(-) TAACAAAGGAA >hg38_chr3:16126888-16126898(+) taacaaagggc >hg38_chr3:16130230-16130240(+) GAACAATGACT >hg38_chr3:16147819-16147829(-) GAACAATAGAG >hg38_chr3:16238399-16238409(-) taacaatggta >hg38_chr3:16247396-16247406(+) taacaaaggat >hg38_chr3:16304265-16304275(+) GGACAAAGGCA >hg38_chr3:16319490-16319500(-) atacaatatga >hg38_chr3:16325173-16325183(+) acataatggct >hg38_chr3:16345542-16345552(+) gaacaaagggt >hg38_chr3:16357942-16357952(-) GAACAATGGAC >hg38_chr3:16357955-16357965(+) CCACAATAGCA >hg38_chr3:16406265-16406275(-) AAATAATGGGA >hg38_chr3:16418083-16418093(-) CAACAATGCTA >hg38_chr3:16456095-16456105(-) TTACAATGTAA >hg38_chr3:16481200-16481210(+) TAACAATAACA >hg38_chr3:16515950-16515960(+) TCACAATGTCA >hg38_chr3:16516955-16516965(-) GAACAAAGGGC >hg38_chr3:16517304-16517314(-) TAACAATAAAT >hg38_chr3:16521513-16521523(+) TAACAATGTTT >hg38_chr3:16587561-16587571(+) TCACAATGTAA >hg38_chr3:16587595-16587605(+) AAACAATGCTA >hg38_chr3:16593740-16593750(+) TTACAGTGGTA >hg38_chr3:16596016-16596026(-) gaacaatggct >hg38_chr3:16601121-16601131(-) GAACAATGGAA >hg38_chr3:16658198-16658208(-) AGACAATGCGG >hg38_chr3:16658486-16658496(-) GTACAATGGAA >hg38_chr3:16664544-16664554(-) aaacaataggt >hg38_chr3:16664561-16664571(-) tgacaatgaag >hg38_chr3:16671577-16671587(-) aaacaaaggat >hg38_chr3:16696839-16696849(-) caacaatggcc >hg38_chr3:16701984-16701994(-) GAACAATATTA >hg38_chr3:16702152-16702162(+) AAACAAAGGAA >hg38_chr3:16702224-16702234(-) atacaatgctc >hg38_chr3:16711343-16711353(-) caacaatagtc >hg38_chr3:16740691-16740701(-) AAACAAAGGAG >hg38_chr3:16752916-16752926(-) acacaatggat >hg38_chr3:16764959-16764969(+) agacaataggg >hg38_chr3:16769118-16769128(-) agacaatgcat >hg38_chr3:16785572-16785582(+) tcacaatgtcc >hg38_chr3:16789900-16789910(-) agacaatggga >hg38_chr3:16810762-16810772(+) AGACAATGGTT >hg38_chr3:16831778-16831788(+) aaacaaagggg >hg38_chr3:16839478-16839488(-) ACACAATGCCT >hg38_chr3:16857028-16857038(-) TGACAATGAAT >hg38_chr3:16878006-16878016(+) agacaatagtg >hg38_chr3:16878080-16878090(+) TTACAATGCCT >hg38_chr3:16888127-16888137(+) GAATAATGGCC >hg38_chr3:16918857-16918867(+) GTACAAAGGTC >hg38_chr3:16925734-16925744(-) atacaatggaa >hg38_chr3:17004704-17004714(-) TAACAATAACT >hg38_chr3:17067699-17067709(+) TAACAATGATC >hg38_chr3:17184043-17184053(+) AAACAAAGGCT >hg38_chr3:17188963-17188973(-) CTATAATGGAA >hg38_chr3:17188990-17189000(+) gaacaaaggaa >hg38_chr3:17195490-17195500(+) gcacaatggct >hg38_chr3:17214494-17214504(+) ACACTATGGAG >hg38_chr3:17233532-17233542(+) TAACAATAGTG >hg38_chr3:17233556-17233566(+) GAACAATGGTA >hg38_chr3:17260415-17260425(-) TAATAATGGCT >hg38_chr3:17277585-17277595(-) CAACAATAGTG >hg38_chr3:17292748-17292758(+) TAACAATGCTT >hg38_chr3:17298516-17298526(+) AAACAAAGGCA >hg38_chr3:17320766-17320776(-) gaacaatggat >hg38_chr3:17350456-17350466(-) ATATAATGTAA >hg38_chr3:17377651-17377661(+) CTATAATGGAT >hg38_chr3:17381284-17381294(-) TAATAATGGAA >hg38_chr3:17390283-17390293(+) GGACAATGGTC >hg38_chr3:17425122-17425132(+) tgacaatgatg >hg38_chr3:17426097-17426107(+) ATACAAAGGTA >hg38_chr3:17431863-17431873(+) TAACAAAGGGC >hg38_chr3:17444562-17444572(-) gcacaaaggta >hg38_chr3:17444814-17444824(+) ATACAATATAT >hg38_chr3:17444872-17444882(+) ACACAATAGCA >hg38_chr3:17445237-17445247(+) TTACAATggct >hg38_chr3:17445590-17445600(+) caacaataggt >hg38_chr3:17445608-17445618(+) gtacaataaga >hg38_chr3:17452702-17452712(+) acacaataata >hg38_chr3:17501268-17501278(+) AAATAATGGAG >hg38_chr3:17501306-17501316(+) ACACAATGTTT >hg38_chr3:17534555-17534565(+) TCACAATGCTT >hg38_chr3:17541533-17541543(-) atacaatgcca >hg38_chr3:17541751-17541761(-) gaacaatggaa >hg38_chr3:17551727-17551737(-) GAACAATGGCC >hg38_chr3:17578035-17578045(-) TTACAATGGGT >hg38_chr3:17624424-17624434(+) TAACAATGCTG >hg38_chr3:17652879-17652889(+) tcacaatagcc >hg38_chr3:17672802-17672812(+) ATACAATGCTA >hg38_chr3:17686860-17686870(+) ctacaatgcac >hg38_chr3:17808218-17808228(-) ACACAATGGTA >hg38_chr3:17820890-17820900(-) caacaatggaa >hg38_chr3:17867284-17867294(-) ggacaaaggga >hg38_chr3:17901339-17901349(-) caacaatgaaa >hg38_chr3:17908978-17908988(-) AAACAATGGGT >hg38_chr3:17933821-17933831(-) TAACAATTGCG >hg38_chr3:18026634-18026644(+) GGACAATGAAA >hg38_chr3:18026944-18026954(-) GAACAATAGCT >hg38_chr3:18065029-18065039(-) GCAtaatggga >hg38_chr3:18103728-18103738(-) TTATAATGGAA >hg38_chr3:18123762-18123772(-) TTACAATGCTG >hg38_chr3:18134394-18134404(-) GGACAATAGCT >hg38_chr3:18177288-18177298(+) atacaatggaa >hg38_chr3:18189482-18189492(-) TAATAATGGCG >hg38_chr3:18236165-18236175(-) AAACAATGGAT >hg38_chr3:18236881-18236891(-) AAACAATGGAA >hg38_chr3:18279614-18279624(-) TAACAAAGGAA >hg38_chr3:18298175-18298185(+) taacaatggca >hg38_chr3:18307677-18307687(-) TGACAATGTGG >hg38_chr3:18307704-18307714(-) GAACAATGGAG >hg38_chr3:18335330-18335340(+) atacaataaag >hg38_chr3:18335935-18335945(-) gaacaatatat >hg38_chr3:18344498-18344508(-) agacaacggaa >hg38_chr3:18344937-18344947(-) ACACAATGATG >hg38_chr3:18348706-18348716(+) ATACAATAGAG >hg38_chr3:18356502-18356512(+) ACACAATGGCA >hg38_chr3:18356817-18356827(-) GTACAATAAAT >hg38_chr3:18386969-18386979(+) AGACAAAGGCA >hg38_chr3:18406642-18406652(-) CAACAATAGCC >hg38_chr3:18422579-18422589(-) ATATAATGGAA >hg38_chr3:18437965-18437975(-) AGACAATGGAA >hg38_chr3:18558992-18559002(+) AGACAATATAC >hg38_chr3:18568118-18568128(-) TCACAATGGGT >hg38_chr3:18589743-18589753(+) TTACAATGGCT >hg38_chr3:18670970-18670980(+) TGACAATGAGA >hg38_chr3:18782391-18782401(+) ATAcagtggtt >hg38_chr3:18911618-18911628(+) aaactatgggt >hg38_chr3:18984257-18984267(-) tcacaatggaa >hg38_chr3:18988801-18988811(+) AAACAATGGTT >hg38_chr3:18992833-18992843(-) TAATAATGGTA >hg38_chr3:19014049-19014059(-) taacactgggt >hg38_chr3:19074691-19074701(+) ggacaatgggg >hg38_chr3:19147015-19147025(+) ACACAAAGGGA >hg38_chr3:19147781-19147791(-) GGACAATGGAT >hg38_chr3:19148168-19148178(+) GAACAAAGGGG >hg38_chr3:19176296-19176306(-) AGACAATGGTT >hg38_chr3:19176305-19176315(-) GCACAATGTAG >hg38_chr3:19206709-19206719(-) tgacaatgtcc >hg38_chr3:19213778-19213788(+) ggacaatagag >hg38_chr3:19237284-19237294(+) agacaaaggca >hg38_chr3:19256533-19256543(-) agacaaaggaa >hg38_chr3:19292836-19292846(-) AAACAAAGGAG >hg38_chr3:19346885-19346895(-) CAACAATAGCA >hg38_chr3:19346900-19346910(-) AGACAAAGGGA >hg38_chr3:19452539-19452549(+) atataatgggg >hg38_chr3:19456409-19456419(+) AAACAATGCTG >hg38_chr3:19456421-19456431(+) GTACAATAAAA >hg38_chr3:19457671-19457681(-) ACACAATGCTA >hg38_chr3:19476470-19476480(-) ACACAATAGAC >hg38_chr3:19476524-19476534(-) GTACAATGGCT >hg38_chr3:19565274-19565284(-) TAACAAAGGAA >hg38_chr3:19743224-19743234(+) AGACAATGACA >hg38_chr3:19757775-19757785(-) CAACAATGGGC >hg38_chr3:19775915-19775925(+) GAACAATGAGA >hg38_chr3:19798342-19798352(+) gaacaatgaaa >hg38_chr3:19869233-19869243(+) taacaatagta >hg38_chr3:19889278-19889288(+) acacaatgttc >hg38_chr3:19899015-19899025(+) TAACAATGACT >hg38_chr3:19907431-19907441(-) TAACAATGGTG >hg38_chr3:19932807-19932817(+) gcacaatggct >hg38_chr3:19932858-19932868(+) AAACAATGAAT >hg38_chr3:19946883-19946893(+) TAACAATGGCG >hg38_chr3:19992214-19992224(-) GAACAATGGAC >hg38_chr3:20048106-20048116(+) ATACAATTGTA >hg38_chr3:20048107-20048117(-) ATACAATTGTA >hg38_chr3:20048164-20048174(+) ATACAAAGGAA >hg38_chr3:20067428-20067438(-) GAATAATGGCT >hg38_chr3:20072720-20072730(+) TGACAATCGAG >hg38_chr3:20081179-20081189(-) ACACAATAGGA >hg38_chr3:20141936-20141946(-) GAACAATGAGG >hg38_chr3:20194626-20194636(-) atacaatggca >hg38_chr3:20215251-20215261(-) aaacaatgatt >hg38_chr3:20221326-20221336(-) ggacaatgggg >hg38_chr3:20221615-20221625(-) CAACAATCGTA >hg38_chr3:20222408-20222418(-) GGACAATGAAT >hg38_chr3:20222426-20222436(-) TCACAATGGTC >hg38_chr3:20225933-20225943(+) TAACAATATAA >hg38_chr3:20250972-20250982(-) agacaatggga >hg38_chr3:20256123-20256133(-) tcacaatagga >hg38_chr3:20455937-20455947(-) CTACAATGGTA >hg38_chr3:20477715-20477725(+) ATACAATATAT >hg38_chr3:20550000-20550010(-) ACACAAAGGAG >hg38_chr3:20561699-20561709(+) gaacaatgtag >hg38_chr3:20609974-20609984(+) gaacaatggaa >hg38_chr3:20612434-20612444(+) aaacaatgaac >hg38_chr3:20693512-20693522(-) TGACAATAGAC >hg38_chr3:20700717-20700727(+) GAACAATAGTA >hg38_chr3:20700768-20700778(-) TTACAATGGTC >hg38_chr3:20743072-20743082(+) tgacaatagca >hg38_chr3:20797653-20797663(-) gcacaataggc >hg38_chr3:20824364-20824374(+) ccacaatggag >hg38_chr3:20845634-20845644(+) TAACAATGAGC >hg38_chr3:20853229-20853239(-) ATACAGTGGTG >hg38_chr3:20859083-20859093(+) TTACAATGGTA >hg38_chr3:20905839-20905849(-) GAACAAAGGTT >hg38_chr3:20907557-20907567(+) taacaatatca >hg38_chr3:20907569-20907579(+) taacaaaggaa >hg38_chr3:20914480-20914490(+) GAATAATGCGA >hg38_chr3:20932221-20932231(+) AAATAATGGAA >hg38_chr3:20950127-20950137(-) acacaatgaca >hg38_chr3:20955597-20955607(-) ctacaatgggg >hg38_chr3:20961401-20961411(-) aaacaataaca >hg38_chr3:20979539-20979549(-) ttataatgggc >hg38_chr3:20991061-20991071(-) taacaaaggcc >hg38_chr3:20991078-20991088(-) atacaaaggct >hg38_chr3:21018791-21018801(-) TAACAAAGCGT >hg38_chr3:21021491-21021501(+) GCACAAAGGTC >hg38_chr3:21044762-21044772(+) ACACAATGGCA >hg38_chr3:21067236-21067246(+) agacaatggaa >hg38_chr3:21073815-21073825(+) TGACAATGGGA >hg38_chr3:21074075-21074085(-) AAACAATGTTG >hg38_chr3:21198460-21198470(+) ttacaatagac >hg38_chr3:21201065-21201075(-) aaacaatgaga >hg38_chr3:21201075-21201085(-) ctacaatggca >hg38_chr3:21223952-21223962(-) AAACAAAGGAA >hg38_chr3:21257222-21257232(+) aaacaatgacc >hg38_chr3:21322977-21322987(-) acacaatgtat >hg38_chr3:21322988-21322998(-) gtacaatgtat >hg38_chr3:21323003-21323013(+) gtacaatggaa >hg38_chr3:21333809-21333819(+) gcacaatgaca >hg38_chr3:21384681-21384691(+) agactatggag >hg38_chr3:21384731-21384741(+) agacaatagat >hg38_chr3:21393110-21393120(-) AAACAATAGAA >hg38_chr3:21418102-21418112(+) CAACAATGCTT >hg38_chr3:21418411-21418421(-) TTACAGTGGAT >hg38_chr3:21430866-21430876(-) ATACTATGGAA >hg38_chr3:21432207-21432217(-) AAACAATAAAT >hg38_chr3:21433635-21433645(+) agacaatagtg >hg38_chr3:21436124-21436134(-) AAACAATGATC >hg38_chr3:21451598-21451608(+) CAACAATGCAT >hg38_chr3:21502935-21502945(-) gaacaataact >hg38_chr3:21551310-21551320(-) ggacaatgttc >hg38_chr3:21552612-21552622(+) AAACAATAGAA >hg38_chr3:21575924-21575934(+) TAACAATAGCA >hg38_chr3:21576501-21576511(-) CCACAATAGGA >hg38_chr3:21643865-21643875(-) gtacaatagaa >hg38_chr3:21643888-21643898(+) GAACAAAGGGC >hg38_chr3:21643898-21643908(+) CAACAATAGCA >hg38_chr3:21750520-21750530(-) ATACAATTGAT >hg38_chr3:21780019-21780029(-) ACACAATAGAT >hg38_chr3:21892992-21893002(+) ttacaataaac >hg38_chr3:21900399-21900409(-) TCACAATGTTT >hg38_chr3:21914642-21914652(-) GTACAATGAAG >hg38_chr3:21914659-21914669(+) TTACAATAAAA >hg38_chr3:21952050-21952060(+) GAACAATGGGA >hg38_chr3:22016332-22016342(+) tcacaatggca >hg38_chr3:22058477-22058487(+) GGATAATGGCT >hg38_chr3:22068188-22068198(-) GAACAATGTTA >hg38_chr3:22140857-22140867(-) TAATAATGGAA >hg38_chr3:22209154-22209164(-) TCACAATGTTT >hg38_chr3:22216337-22216347(+) TAACAATACTA >hg38_chr3:22216346-22216356(-) CCATAATGGTA >hg38_chr3:22222667-22222677(+) taacaataatc >hg38_chr3:22222682-22222692(-) acactatggaa >hg38_chr3:22226345-22226355(+) AGACAATGGGA >hg38_chr3:22401592-22401602(+) tgacaatggaa >hg38_chr3:22466467-22466477(-) CCACAATGGGG >hg38_chr3:22479065-22479075(+) acacaATGTTG >hg38_chr3:22495446-22495456(+) AGACAATAGGC >hg38_chr3:22525640-22525650(-) gcataatggcc >hg38_chr3:22534818-22534828(-) GGATAATGGTG >hg38_chr3:22564231-22564241(+) cgACAATAAAA >hg38_chr3:22595750-22595760(+) aaataatggat >hg38_chr3:22634496-22634506(-) taacaatgtga >hg38_chr3:22663054-22663064(-) gtacaatggtt >hg38_chr3:22663069-22663079(+) atacaatggaa >hg38_chr3:22680109-22680119(+) GAACAATGGGC >hg38_chr3:22685834-22685844(+) gcacaatgcac >hg38_chr3:22691572-22691582(+) ccacaatagat >hg38_chr3:22722890-22722900(+) ATACAATGGGA >hg38_chr3:22722937-22722947(-) GAACAATAAAA >hg38_chr3:22724519-22724529(+) CAACAATGAGC >hg38_chr3:22748661-22748671(-) atacaatagcc >hg38_chr3:22763370-22763380(+) GAACAAAGGCA >hg38_chr3:22763380-22763390(+) ATACtatggca >hg38_chr3:22835780-22835790(+) TGACAATGCAA >hg38_chr3:22981301-22981311(+) TGACAATGTTA >hg38_chr3:22991671-22991681(-) GAACAATAAAA >hg38_chr3:22994546-22994556(+) TAACAATGTTA >hg38_chr3:23018257-23018267(-) CTACAATGAAG >hg38_chr3:23028474-23028484(+) ttacaatgaac >hg38_chr3:23037261-23037271(+) GTACAATAGAG >hg38_chr3:23042771-23042781(-) aaacaatgctg >hg38_chr3:23042783-23042793(+) ttacaatgaaa >hg38_chr3:23096327-23096337(+) agacaatgctc >hg38_chr3:23233440-23233450(+) GAACAAAGGAA >hg38_chr3:23236899-23236909(-) AGACAAAGGAC >hg38_chr3:23243264-23243274(+) AAACAATACTA >hg38_chr3:23244489-23244499(-) TAACAATAAAA >hg38_chr3:23260067-23260077(+) TCACAATGGTG >hg38_chr3:23260093-23260103(-) CAACAATGTTG >hg38_chr3:23264798-23264808(-) CTACAATGTTT >hg38_chr3:23276164-23276174(-) GAATAATGGAA >hg38_chr3:23369261-23369271(+) GAATAATGGCA >hg38_chr3:23372627-23372637(+) ATACAATAGAA >hg38_chr3:23409076-23409086(-) CAACAATGCCA >hg38_chr3:23420022-23420032(+) ACACAATATAT >hg38_chr3:23420545-23420555(+) TAACAATGCTA >hg38_chr3:23436009-23436019(+) tcacaatagga >hg38_chr3:23436077-23436087(+) caacaatgctt >hg38_chr3:23438007-23438017(-) ATACAATAGTC >hg38_chr3:23447556-23447566(+) gcacaaaggac >hg38_chr3:23459569-23459579(+) AAACAAAGGCT >hg38_chr3:23489621-23489631(+) CAACAATAGTT >hg38_chr3:23489637-23489647(+) GGATAATgcga >hg38_chr3:23489655-23489665(+) gaacaatgggg >hg38_chr3:23490808-23490818(+) TAACAAAGGGT >hg38_chr3:23490829-23490839(+) TAACAATAGTG >hg38_chr3:23568206-23568216(+) TAACAATGTCT >hg38_chr3:23572623-23572633(+) agacaatgcag >hg38_chr3:23605942-23605952(+) tgacaatgagg >hg38_chr3:23701789-23701799(+) GAACAATGGGT >hg38_chr3:23761058-23761068(-) ACACAATGCAT >hg38_chr3:23776738-23776748(-) GGACAAAGGAT >hg38_chr3:23827080-23827090(-) TTATAATGGAT >hg38_chr3:23827599-23827609(-) gtataatggtt >hg38_chr3:23888716-23888726(-) ACATAATGGGT >hg38_chr3:23892844-23892854(+) TAACAAAGGTG >hg38_chr3:23916984-23916994(+) GGACAATAGCG >hg38_chr3:23937500-23937510(-) GAACAATATAT >hg38_chr3:23991691-23991701(-) CAACAATAGAA >hg38_chr3:23991716-23991726(+) AGACAGTGGAA >hg38_chr3:23996096-23996106(-) TTACAATGTAT >hg38_chr3:24006264-24006274(-) ggacaatagga >hg38_chr3:24087275-24087285(+) AGACAAAGGCA >hg38_chr3:24102259-24102269(-) aaacaatgata >hg38_chr3:24118706-24118716(-) GCACAATGTAA >hg38_chr3:24135387-24135397(-) AGACAATGCTG >hg38_chr3:24153709-24153719(-) TATCAATGGTA >hg38_chr3:24154550-24154560(+) ccacaatgaga >hg38_chr3:24155474-24155484(-) GAATAATGGAC >hg38_chr3:24155661-24155671(-) TGACAAAGGAA >hg38_chr3:24155689-24155699(+) ACACAATGGTC >hg38_chr3:24157195-24157205(-) AAACAGTGGAT >hg38_chr3:24160932-24160942(-) TCACAATGAAA >hg38_chr3:24161681-24161691(+) GAACAATGAAA >hg38_chr3:24176704-24176714(-) ctacaatacgt >hg38_chr3:24213037-24213047(+) GAACAATGGGA >hg38_chr3:24225553-24225563(+) ACATAATGGGT >hg38_chr3:24242331-24242341(+) gaacaatagaa >hg38_chr3:24255090-24255100(-) CAACAATGGAA >hg38_chr3:24264947-24264957(-) TAATAATGGCT >hg38_chr3:24336300-24336310(+) CGACAATAGGC >hg38_chr3:24340710-24340720(-) tcacaatgtaa >hg38_chr3:24344194-24344204(-) AAACAATATAG >hg38_chr3:24477270-24477280(+) AAACAATAAAC >hg38_chr3:24477284-24477294(+) GTATAATGGGT >hg38_chr3:24480729-24480739(+) AGACAATGAAG >hg38_chr3:24507537-24507547(+) CAACAATGTTT >hg38_chr3:24519453-24519463(+) TGACAATAGCt >hg38_chr3:24548222-24548232(+) AAACAATGTGT >hg38_chr3:24551684-24551694(-) AAACAATAGCA >hg38_chr3:24554034-24554044(+) taacaatgtta >hg38_chr3:24559839-24559849(+) CTACAAAGGAC >hg38_chr3:24590028-24590038(-) AAACAATGATT >hg38_chr3:24598860-24598870(+) GGACAAAGGTC >hg38_chr3:24665533-24665543(-) taacaatagac >hg38_chr3:24684384-24684394(-) CAACAATAGCC >hg38_chr3:24685357-24685367(+) ACACAGTGGTA >hg38_chr3:24686034-24686044(+) GAACAATGTAC >hg38_chr3:24688865-24688875(-) aaacaatagaa >hg38_chr3:24707803-24707813(+) AAACAATGTAT >hg38_chr3:24726103-24726113(-) CAACAATGCCC >hg38_chr3:24844262-24844272(+) GAACAAAGGCC >hg38_chr3:24853779-24853789(-) CAACAATGAAG >hg38_chr3:24923068-24923078(+) aaacaatagaa >hg38_chr3:24935229-24935239(+) GAACAAAGGCA >hg38_chr3:24940273-24940283(+) AAATAATGGGA >hg38_chr3:25038639-25038649(-) AAACAAAGGTG >hg38_chr3:25044895-25044905(-) GAACAATGGAG >hg38_chr3:25045509-25045519(-) AAACAATGAAC >hg38_chr3:25088381-25088391(-) AAACAAGGGCG >hg38_chr3:25105546-25105556(+) GAATAATGGAT >hg38_chr3:25123461-25123471(-) AAACAAAGGTg >hg38_chr3:25151681-25151691(-) GTACAATAGAC >hg38_chr3:25151726-25151736(+) GAACAATAAGT >hg38_chr3:25170975-25170985(+) taacaatatca >hg38_chr3:25177175-25177185(+) CCACAATGAAT >hg38_chr3:25186983-25186993(+) acacgatggaa >hg38_chr3:25186991-25187001(+) gaacaaaggaa >hg38_chr3:25191263-25191273(+) GGACAATATTA >hg38_chr3:25193463-25193473(-) GTACAATGAAG >hg38_chr3:25214949-25214959(+) ACACAATAGCA >hg38_chr3:25264415-25264425(+) GAACAATGCCA >hg38_chr3:25289298-25289308(+) gcacaatggtg >hg38_chr3:25293463-25293473(-) ATACAATAGCA >hg38_chr3:25293477-25293487(+) TGACGATGGGA >hg38_chr3:25302515-25302525(-) atacaatatag >hg38_chr3:25323921-25323931(+) tgacaataggc >hg38_chr3:25350379-25350389(+) GAACAATAGTG >hg38_chr3:25350902-25350912(-) AAACAATGACA >hg38_chr3:25384397-25384407(+) TAACAATGAGA >hg38_chr3:25387326-25387336(+) ACATAATGGGA >hg38_chr3:25388667-25388677(-) TCACAATGGTA >hg38_chr3:25388983-25388993(+) ATACAATAGAG >hg38_chr3:25442688-25442698(-) AAACAATGACT >hg38_chr3:25454782-25454792(-) CGACAATAGCT >hg38_chr3:25456063-25456073(-) AGACAATGTGT >hg38_chr3:25456583-25456593(-) GAACAATGCAA >hg38_chr3:25457381-25457391(-) GTACAATAGAT >hg38_chr3:25469102-25469112(-) AAACAAAGGCA >hg38_chr3:25504348-25504358(-) gaacaatcggg >hg38_chr3:25509097-25509107(+) ACACAATAGAA >hg38_chr3:25509122-25509132(+) gaacaaagggc >hg38_chr3:25597851-25597861(+) TAACAATGCTT >hg38_chr3:25642020-25642030(+) TAACAATAGCC >hg38_chr3:25648999-25649009(+) taacaatgcat >hg38_chr3:25652639-25652649(+) acacaatagag >hg38_chr3:25653457-25653467(+) tgacaatggct >hg38_chr3:25654406-25654416(-) taacaatgttt >hg38_chr3:25655192-25655202(+) cgacaataaca >hg38_chr3:25655676-25655686(+) atacaatggag >hg38_chr3:25664190-25664200(+) GAACAATGCAG >hg38_chr3:25665650-25665660(+) GAACAATGCAG >hg38_chr3:25729183-25729193(-) GGAGAATGGCG >hg38_chr3:25729254-25729264(+) TAACAAAGGTG >hg38_chr3:25748364-25748374(+) agacaatgggg >hg38_chr3:25787884-25787894(-) gaacaaaggcc >hg38_chr3:25816703-25816713(+) ACACAATAGAA >hg38_chr3:25831805-25831815(-) GAACAATGCAC >hg38_chr3:25859638-25859648(+) ttacaatgaga >hg38_chr3:25870314-25870324(-) ctacaaaggac >hg38_chr3:25870633-25870643(-) acacaatagaa >hg38_chr3:25889231-25889241(+) agacaaagggt >hg38_chr3:25897108-25897118(-) AAACACTGGAC >hg38_chr3:25904315-25904325(-) gaacaatacac >hg38_chr3:25910474-25910484(-) gaacaatgctt >hg38_chr3:25920004-25920014(+) caacaatgata >hg38_chr3:26003418-26003428(+) caacaataggt >hg38_chr3:26003447-26003457(+) agacaataaca >hg38_chr3:26066003-26066013(+) GCACAAAGGAA >hg38_chr3:26185001-26185011(+) GTACAATAGAA >hg38_chr3:26418689-26418699(-) TTACAATGAAC >hg38_chr3:26630810-26630820(+) AGACAATggta >hg38_chr3:26682528-26682538(-) GAACAAAGGCA >hg38_chr3:26725913-26725923(-) TTACAATGACT >hg38_chr3:26773410-26773420(+) gaacaaagggg >hg38_chr3:26841002-26841012(+) TAACAATGAGC >hg38_chr3:26889738-26889748(+) TAACAATAGAA >hg38_chr3:26889756-26889766(+) TGACAAAGGTC >hg38_chr3:26996809-26996819(-) acacaataGCA >hg38_chr3:27022208-27022218(+) caacaatgaca >hg38_chr3:27043733-27043743(+) gtacaatgagg >hg38_chr3:27061520-27061530(+) taacaatgtgc >hg38_chr3:27095980-27095990(-) ACACAATGCCC >hg38_chr3:27106086-27106096(+) CAACAATAGCT >hg38_chr3:27114406-27114416(-) GAACAATGAGT >hg38_chr3:27115117-27115127(+) aaacaatgact >hg38_chr3:27115468-27115478(+) ATACAATAGCA >hg38_chr3:27125013-27125023(+) TGACAATAATA >hg38_chr3:27165287-27165297(-) TGACAATGAGT >hg38_chr3:27181547-27181557(-) aaacaatgtgg >hg38_chr3:27182901-27182911(+) gaataatggtt >hg38_chr3:27188347-27188357(-) AAACAATGACC >hg38_chr3:27188833-27188843(-) ATACAATAGTG >hg38_chr3:27253525-27253535(+) GTACAATCGGT >hg38_chr3:27272078-27272088(+) TGACAATAGGT >hg38_chr3:27281730-27281740(+) ctacaatcgga >hg38_chr3:27281749-27281759(+) aaacaatagtt >hg38_chr3:27281763-27281773(+) tgataatggct >hg38_chr3:27282039-27282049(+) gaacaataatt >hg38_chr3:27303457-27303467(-) TGACGATGGTA >hg38_chr3:27303636-27303646(-) AAACAAAGGAA >hg38_chr3:27304416-27304426(+) AGACAAAGGTT >hg38_chr3:27307825-27307835(-) AGACAATGCAG >hg38_chr3:27349965-27349975(+) GAACACTGGAA >hg38_chr3:27349975-27349985(+) ACACAATGGAA >hg38_chr3:27353662-27353672(+) GTACAATATTA >hg38_chr3:27373893-27373903(+) GAACAATGGGA >hg38_chr3:27376037-27376047(-) ATACAATGCCA >hg38_chr3:27377813-27377823(+) ACACTATGGTT >hg38_chr3:27386802-27386812(+) atacaatactc >hg38_chr3:27388149-27388159(+) ATACAATGACA >hg38_chr3:27389082-27389092(-) caataatggat >hg38_chr3:27408218-27408228(-) TGACAATGTGT >hg38_chr3:27413053-27413063(+) ATACAATAGAC >hg38_chr3:27432560-27432570(+) ATACAATATTC >hg38_chr3:27432770-27432780(+) GGACAATAGTG >hg38_chr3:27444286-27444296(-) atataatggct >hg38_chr3:27445084-27445094(-) ccacaatggaa >hg38_chr3:27457247-27457257(+) acacaataata >hg38_chr3:27484001-27484011(-) AGACAAAGGCG >hg38_chr3:27503948-27503958(+) aaacaaaggag >hg38_chr3:27535603-27535613(+) TAACAATGCTG >hg38_chr3:27544736-27544746(+) CTACAATGTTC >hg38_chr3:27544748-27544758(+) GAACAATAGTG >hg38_chr3:27565536-27565546(-) TTACAATGGAA >hg38_chr3:27589817-27589827(+) atacaataagt >hg38_chr3:27589825-27589835(-) taacaataact >hg38_chr3:27589835-27589845(-) tgacaatagtt >hg38_chr3:27591830-27591840(-) AGACAAtgggt >hg38_chr3:27628550-27628560(+) acacaatggag >hg38_chr3:27651865-27651875(-) ccacaatagtc >hg38_chr3:27652149-27652159(-) ccacaatgaaa >hg38_chr3:27654263-27654273(+) ctacaataggc >hg38_chr3:27654287-27654297(-) AGAcaatgagt >hg38_chr3:27659408-27659418(+) GAACAAAGGCC >hg38_chr3:27667907-27667917(-) GAACAATGGGA >hg38_chr3:27731374-27731384(-) AAACAATGAAG >hg38_chr3:27747869-27747879(+) ATACAGTGGGT >hg38_chr3:27747939-27747949(-) agacaATGGTT >hg38_chr3:27763933-27763943(+) ttacaatgtgt >hg38_chr3:27786646-27786656(+) CTACAATGCTG >hg38_chr3:27786672-27786682(-) GGACAATAGAT >hg38_chr3:27794418-27794428(-) ggacaaaggga >hg38_chr3:27794425-27794435(-) atacactggac >hg38_chr3:27813741-27813751(+) TGACAAAGGTC >hg38_chr3:27868245-27868255(-) tcacaatgaaa >hg38_chr3:27869380-27869390(-) acacaatgact >hg38_chr3:27886118-27886128(-) aaacaatgagg >hg38_chr3:27901131-27901141(-) ATACAATGTCC >hg38_chr3:27929968-27929978(+) ggacaatgggt >hg38_chr3:27940188-27940198(-) TGACAATGCTG >hg38_chr3:27986324-27986334(-) GAACAAAGGAG >hg38_chr3:27990627-27990637(-) ATACAATGAGT >hg38_chr3:28019312-28019322(+) GGACACTGGAC >hg38_chr3:28074369-28074379(+) GTACAATAATG >hg38_chr3:28074396-28074406(+) TAACAATGCAA >hg38_chr3:28077798-28077808(+) ATATAATGGAA >hg38_chr3:28107391-28107401(+) GAACAATAGAA >hg38_chr3:28134860-28134870(+) caacaatgaac >hg38_chr3:28155988-28155998(+) gaacaatgtgt >hg38_chr3:28171649-28171659(+) GCATAATGGAT >hg38_chr3:28206664-28206674(-) ACACAATAGCC >hg38_chr3:28212732-28212742(-) aaacaatgaat >hg38_chr3:28212751-28212761(-) aaacaatggaa >hg38_chr3:28238722-28238732(-) CGATAATgaaa >hg38_chr3:28238731-28238741(-) TAACAGTGGCG >hg38_chr3:28239459-28239469(-) gtacaatgagt >hg38_chr3:28239468-28239478(-) gaacgatgggt >hg38_chr3:28242036-28242046(-) CCACAATGGAT >hg38_chr3:28242071-28242081(-) TGACAATGAAG >hg38_chr3:28253216-28253226(-) ATACAATGAGA >hg38_chr3:28253221-28253231(-) ATACAATACAA >hg38_chr3:28278414-28278424(+) AAACAAAGGAG >hg38_chr3:28278819-28278829(+) TAATAATGTAC >hg38_chr3:28278902-28278912(-) Tcacaatgtgc >hg38_chr3:28302792-28302802(+) atacaatgtga >hg38_chr3:28322271-28322281(-) ATACAATAGAC >hg38_chr3:28323586-28323596(-) TTATAATGCGT >hg38_chr3:28323631-28323641(-) GCATAATGGAA >hg38_chr3:28347583-28347593(-) tgacaatgggt >hg38_chr3:28347651-28347661(-) acataatggga >hg38_chr3:28479240-28479250(+) TCACAATGGGA >hg38_chr3:28511660-28511670(-) agacaaaggtg >hg38_chr3:28518636-28518646(+) CTACAATGCCA >hg38_chr3:28518776-28518786(-) TGACAATGACA >hg38_chr3:28586514-28586524(-) CAACAATGACA >hg38_chr3:28586564-28586574(-) ATACAATGAGT >hg38_chr3:28606517-28606527(-) ggacaatggtg >hg38_chr3:28667812-28667822(-) ATACACTGGGC >hg38_chr3:28746147-28746157(-) CAACAATGACA >hg38_chr3:28769287-28769297(+) GAACAGTGGGA >hg38_chr3:28850765-28850775(-) AAACTATGGGA >hg38_chr3:28860472-28860482(-) GAACAATGCCA >hg38_chr3:28860546-28860556(-) AAACAAAGGAA >hg38_chr3:28867651-28867661(-) gcacaATAGGC >hg38_chr3:28868122-28868132(+) TAACAGTGGCG >hg38_chr3:28900433-28900443(+) tcactatggaa >hg38_chr3:28943262-28943272(-) GTACAATGAAA >hg38_chr3:28966328-28966338(-) ttacactggaa >hg38_chr3:28966551-28966561(-) gaacaatgaaa >hg38_chr3:28966573-28966583(-) aaacaatgcaa >hg38_chr3:28978283-28978293(+) GAACAATGACT >hg38_chr3:28989613-28989623(-) atacactggtt >hg38_chr3:28989655-28989665(-) agataatggaa >hg38_chr3:28993746-28993756(+) gaataatggtt >hg38_chr3:29011648-29011658(+) GAACAATGAAA >hg38_chr3:29063586-29063596(+) GCACAATGTTC >hg38_chr3:29102163-29102173(-) gaataatggaa >hg38_chr3:29102620-29102630(-) tgacaatatac >hg38_chr3:29129193-29129203(+) AAACAAAGGAA >hg38_chr3:29134851-29134861(-) GCACAATGAGA >hg38_chr3:29135217-29135227(+) CAACAATGCAT >hg38_chr3:29150605-29150615(+) AAACAATGTtg >hg38_chr3:29168880-29168890(+) TAACAAAGGCA >hg38_chr3:29171360-29171370(+) TAACAATAACA >hg38_chr3:29171366-29171376(+) TAACAATAACA >hg38_chr3:29171647-29171657(-) TTACAAAGGCC >hg38_chr3:29176350-29176360(-) taacaatgtta >hg38_chr3:29189206-29189216(+) tgacaatagac >hg38_chr3:29229051-29229061(+) GGATAATGGTT >hg38_chr3:29283784-29283794(+) TGACAATGCTT >hg38_chr3:29291104-29291114(+) gaacaatgaat >hg38_chr3:29293553-29293563(-) TAACAATAGCA >hg38_chr3:29293591-29293601(-) ATACAATGAAT >hg38_chr3:29296190-29296200(-) AGACAAAGGAA >hg38_chr3:29296241-29296251(+) GGACAATGTTA >hg38_chr3:29297364-29297374(+) TGATAATGGTA >hg38_chr3:29318079-29318089(-) GGACAATAGAA >hg38_chr3:29346097-29346107(+) AAACAAAGGGA >hg38_chr3:29346846-29346856(-) CCACAATAGCA >hg38_chr3:29347144-29347154(+) AAATAATGGGG >hg38_chr3:29411835-29411845(-) AAACAATAAAA >hg38_chr3:29434292-29434302(+) AAACAATAGGA >hg38_chr3:29436228-29436238(-) GGACAAAGGCA >hg38_chr3:29440808-29440818(-) GTATAATGGAC >hg38_chr3:29462668-29462678(+) TAACAATAAAG >hg38_chr3:29494486-29494496(+) GAACAAAGCGA >hg38_chr3:29525659-29525669(+) GTACAATATAT >hg38_chr3:29605249-29605259(-) AAACAATCGTA >hg38_chr3:29618864-29618874(+) gaacaatgaca >hg38_chr3:29619624-29619634(+) atacaatgggt >hg38_chr3:29619633-29619643(+) gtacaatgctc >hg38_chr3:29627406-29627416(-) ctacaatgcaa >hg38_chr3:29630433-29630443(-) tgacaatgagt >hg38_chr3:29631163-29631173(+) AGACAATAAAT >hg38_chr3:29645294-29645304(-) CAACAATGTAT >hg38_chr3:29645440-29645450(+) GAACAATAATA >hg38_chr3:29645453-29645463(-) CAATAATGGAA >hg38_chr3:29655199-29655209(+) GAACAATGGCT >hg38_chr3:29655532-29655542(+) gcacaatgtgt >hg38_chr3:29655578-29655588(-) gcacaatagat >hg38_chr3:29668043-29668053(-) TTACAATGAGA >hg38_chr3:29668856-29668866(-) TTACAATGAAC >hg38_chr3:29668942-29668952(-) gtacaatggca >hg38_chr3:29680072-29680082(+) TTACAAAGGCT >hg38_chr3:29715789-29715799(-) TTACAATGTCT >hg38_chr3:29717953-29717963(-) TGACAATAGGT >hg38_chr3:29718961-29718971(+) GTACAATGCCA >hg38_chr3:29723750-29723760(-) AAACAATAAAA >hg38_chr3:29724232-29724242(+) ACACAATGGGA >hg38_chr3:29740463-29740473(-) TTACAATGAAA >hg38_chr3:29740621-29740631(+) TGACAATGAAG >hg38_chr3:29788946-29788956(-) ctacaatgtgg >hg38_chr3:29798799-29798809(-) CAACAATATCG >hg38_chr3:29799104-29799114(+) AAATAATGGTC >hg38_chr3:29807616-29807626(+) gtacaatgcag >hg38_chr3:29846540-29846550(+) ATACAATAATT >hg38_chr3:29869860-29869870(-) GTACAATGCTT >hg38_chr3:29869904-29869914(+) GAACAGTGGTA >hg38_chr3:29883112-29883122(-) TTACAATGTTG >hg38_chr3:29883121-29883131(-) TTACAATGCTT >hg38_chr3:29883158-29883168(+) AAACAAAGGAT >hg38_chr3:29885144-29885154(+) gcacaatgcta >hg38_chr3:29919816-29919826(-) GTACAATGCTG >hg38_chr3:29919823-29919833(-) TGACAATGTAC >hg38_chr3:29923260-29923270(+) TGACAATGGAG >hg38_chr3:29932892-29932902(-) AGACAAAGGGT >hg38_chr3:29958972-29958982(-) GAACTATGGTG >hg38_chr3:29973302-29973312(+) TAACAATACGA >hg38_chr3:29973527-29973537(-) ATACTATGGCA >hg38_chr3:30005554-30005564(-) ATACAATGAGA >hg38_chr3:30005567-30005577(+) GAACAATAGTC >hg38_chr3:30006037-30006047(+) GGACAATGGAA >hg38_chr3:30020611-30020621(-) CCACAATAGGC >hg38_chr3:30091675-30091685(-) ttacaatgagt >hg38_chr3:30099525-30099535(-) CAACAATAGCA >hg38_chr3:30129945-30129955(+) taacaatgttc >hg38_chr3:30140449-30140459(-) GAACAATGAAA >hg38_chr3:30140510-30140520(+) TAACAATGAAT >hg38_chr3:30167624-30167634(-) GAACAATGGAG >hg38_chr3:30186867-30186877(+) taacaatagat >hg38_chr3:30199298-30199308(-) ACACAATAGCC >hg38_chr3:30202937-30202947(+) ATACAAAGATA >hg38_chr3:30211017-30211027(+) acacaatagac >hg38_chr3:30211034-30211044(-) atacaatgctg >hg38_chr3:30252140-30252150(-) GTACAaaggag >hg38_chr3:30286135-30286145(+) AAACAATGGCC >hg38_chr3:30301056-30301066(+) GGACAATAGGG >hg38_chr3:30301087-30301097(+) AGACAATAGGG >hg38_chr3:30317735-30317745(+) TAACAATCGCA >hg38_chr3:30346338-30346348(-) TCACAATGAAG >hg38_chr3:30348726-30348736(+) TTACAATGCAA >hg38_chr3:30357317-30357327(-) GAACAATAGGT >hg38_chr3:30367626-30367636(-) aaacaatcgat >hg38_chr3:30392213-30392223(-) GAATAATGGTT >hg38_chr3:30395603-30395613(-) caacaatgtcc >hg38_chr3:30415542-30415552(+) TCACTATGGAA >hg38_chr3:30428071-30428081(-) aaacaaaggca >hg38_chr3:30428430-30428440(+) ccacaatgggg >hg38_chr3:30470198-30470208(-) CAACAATGTGA >hg38_chr3:30476881-30476891(+) TCATAATGGTT >hg38_chr3:30510785-30510795(-) ATATAATGCGA >hg38_chr3:30510792-30510802(+) ATATAATAGTa >hg38_chr3:30510826-30510836(+) caacaatgttc >hg38_chr3:30510845-30510855(-) atacaatagcg >hg38_chr3:30549787-30549797(+) gaacaatgacc >hg38_chr3:30564140-30564150(-) GAACAATGTTG >hg38_chr3:30564713-30564723(+) TGACAATGATA >hg38_chr3:30587291-30587301(-) ACACAATGTGG >hg38_chr3:30597321-30597331(-) TGACAATAGAC >hg38_chr3:30598046-30598056(+) aaataatggcc >hg38_chr3:30598079-30598089(-) gcataatggag >hg38_chr3:30626492-30626502(-) GAACAATAGTG >hg38_chr3:30635236-30635246(+) CAACAATGTGT >hg38_chr3:30655476-30655486(-) CAACAATGACA >hg38_chr3:30667430-30667440(-) TAACAATAGCA >hg38_chr3:30680436-30680446(-) acacaataggt >hg38_chr3:30680450-30680460(-) aaacaatgcct >hg38_chr3:30738046-30738056(+) GAACAATGGAC >hg38_chr3:30738055-30738065(+) ACACAATAAAC >hg38_chr3:30748169-30748179(+) ACACTATGGAT >hg38_chr3:30770481-30770491(+) GAACAATAGAT >hg38_chr3:30781396-30781406(+) ttacaatgtca >hg38_chr3:30794103-30794113(+) ATACACTGGCA >hg38_chr3:30794120-30794130(-) ACACAATGGTG >hg38_chr3:30794155-30794165(+) TAACAATACAC >hg38_chr3:30804062-30804072(-) ATACAATGTCT >hg38_chr3:30804081-30804091(+) GTACAGTGGCA >hg38_chr3:30835882-30835892(+) TCACAATGGAC >hg38_chr3:30908583-30908593(+) gaacaataaaa >hg38_chr3:30916502-30916512(+) ATACAATGAAA >hg38_chr3:30954546-30954556(+) GGACAATGAGC >hg38_chr3:30965037-30965047(-) GAACAATGGGA >hg38_chr3:30972933-30972943(+) taacaatgaga >hg38_chr3:30996633-30996643(+) AAACAATGGAA >hg38_chr3:31001440-31001450(-) ATACAATGGCT >hg38_chr3:31004328-31004338(+) AAACAGTGGAC >hg38_chr3:31010160-31010170(-) AAACAATGCAG >hg38_chr3:31067123-31067133(+) tgactatggaa >hg38_chr3:31135196-31135206(-) gcacaataggg >hg38_chr3:31155145-31155155(-) TTACAAAGGCT >hg38_chr3:31161437-31161447(+) aaacaatggta >hg38_chr3:31205808-31205818(-) GAACAATGGTG >hg38_chr3:31207936-31207946(-) taacaatagga >hg38_chr3:31246915-31246925(+) TAACAATGATT >hg38_chr3:31305513-31305523(+) GAACAATGGCA >hg38_chr3:31346543-31346553(+) ggataatggga >hg38_chr3:31348307-31348317(+) atacaatgaag >hg38_chr3:31348336-31348346(-) ctacaatgtga >hg38_chr3:31350751-31350761(-) GGACAAAGGAA >hg38_chr3:31374418-31374428(-) taactatggta >hg38_chr3:31381969-31381979(-) GAACAATGTAG >hg38_chr3:31449843-31449853(+) atacaatgcaa >hg38_chr3:31467103-31467113(+) TTACaatgttt >hg38_chr3:31467814-31467824(+) CAATAATGGAG >hg38_chr3:31467833-31467843(+) GGATAATGGGA >hg38_chr3:31538208-31538218(-) TAACAATGTCT >hg38_chr3:31540588-31540598(-) AAACAATGCAT >hg38_chr3:31540652-31540662(+) CCACAATAGAT >hg38_chr3:31559727-31559737(-) aaacactggat >hg38_chr3:31564998-31565008(+) AAATAATGGAC >hg38_chr3:31565005-31565015(+) GGACAATAGAA >hg38_chr3:31565014-31565024(+) AAACAATAGGC >hg38_chr3:31577730-31577740(+) GCACAATAGTC >hg38_chr3:31577752-31577762(-) TCACAATGCAG >hg38_chr3:31583106-31583116(-) caataatggat >hg38_chr3:31595985-31595995(-) ACACAATATAA >hg38_chr3:31596010-31596020(-) ATACAAAGGCA >hg38_chr3:31640862-31640872(+) gcacaatgtga >hg38_chr3:31764025-31764035(+) TTACAATGTAA >hg38_chr3:31766768-31766778(+) AAATAATGGAG >hg38_chr3:31768920-31768930(+) GAACAATGACC >hg38_chr3:31874488-31874498(-) gtacaaaggat >hg38_chr3:31896648-31896658(-) TGACAATGATG >hg38_chr3:31897160-31897170(-) taactatggta >hg38_chr3:31942182-31942192(+) gaacaatggcg >hg38_chr3:31960354-31960364(+) ATACAATGTGA >hg38_chr3:31967760-31967770(-) CTACAATGAAA >hg38_chr3:31968928-31968938(+) ATATAATGAAT >hg38_chr3:31969088-31969098(-) TAACAATGGGC >hg38_chr3:31972474-31972484(-) TAACAATGCAA >hg38_chr3:32009199-32009209(+) GAACAATAAAG >hg38_chr3:32216377-32216387(-) TCACAATGTAC >hg38_chr3:32296932-32296942(-) TTACAATAACG >hg38_chr3:32312104-32312114(-) atacactggat >hg38_chr3:32312114-32312124(-) taacaatgcca >hg38_chr3:32321187-32321197(-) AAACAATGCTG >hg38_chr3:32347791-32347801(-) tcacaatgtgg >hg38_chr3:32349448-32349458(-) aaacaaaggcc >hg38_chr3:32376236-32376246(-) acacaatgtat >hg38_chr3:32376251-32376261(+) ctacaatggaa >hg38_chr3:32376302-32376312(+) caacaatgtgg >hg38_chr3:32414080-32414090(-) taacaaaggcc >hg38_chr3:32416942-32416952(-) ACACAATGACA >hg38_chr3:32442738-32442748(-) ATACAGTGGGA >hg38_chr3:32442785-32442795(-) gaacaatacgg >hg38_chr3:32447662-32447672(-) taacaatgaaa >hg38_chr3:32460778-32460788(-) gaacaatggga >hg38_chr3:32460866-32460876(+) acataatggag >hg38_chr3:32480774-32480784(+) atacaATGCTC >hg38_chr3:32481702-32481712(+) CTACAATAGAA >hg38_chr3:32481745-32481755(+) AAACAATGTGA >hg38_chr3:32500417-32500427(+) AAACAAAGGAA >hg38_chr3:32523010-32523020(+) acacaaaggaa >hg38_chr3:32539277-32539287(-) TAACAAAGGAA >hg38_chr3:32571174-32571184(-) TGACAATGCAG >hg38_chr3:32571195-32571205(-) GAACAGTGGGT >hg38_chr3:32592127-32592137(+) AAACAATGGAC >hg38_chr3:32648284-32648294(+) AAACAATGGAT >hg38_chr3:32648306-32648316(+) GAACAATGGTG >hg38_chr3:32684946-32684956(-) TTACAATAACC >hg38_chr3:32698135-32698145(-) TAACAATGAGT >hg38_chr3:32733473-32733483(-) CAACAATAGAC >hg38_chr3:32782601-32782611(+) ATACAAAGGGA >hg38_chr3:32800618-32800628(-) gaacaatagca >hg38_chr3:32814086-32814096(+) TAACAAAGGGT >hg38_chr3:32837932-32837942(-) AAACAATGTGC >hg38_chr3:32850431-32850441(-) ATACAATATCC >hg38_chr3:32876035-32876045(-) TAACAATAACA >hg38_chr3:32876056-32876066(-) AGACAATGAGC >hg38_chr3:33020966-33020976(-) gtacaataaac >hg38_chr3:33128161-33128171(-) ttactatggat >hg38_chr3:33128199-33128209(+) atacaatgctt >hg38_chr3:33161218-33161228(+) tgacaatagca >hg38_chr3:33196153-33196163(-) GGACAATGGGT >hg38_chr3:33231897-33231907(+) GCACAATGTGG >hg38_chr3:33297068-33297078(+) caacaatgtat >hg38_chr3:33297073-33297083(-) atacaatacat >hg38_chr3:33297990-33298000(-) AAACAATGGCA >hg38_chr3:33304197-33304207(-) gatcaatggta >hg38_chr3:33315939-33315949(-) caacaatggcg >hg38_chr3:33344462-33344472(+) atacaatggaa >hg38_chr3:33364228-33364238(-) AGACAATGCTG >hg38_chr3:33408658-33408668(+) GCACAATGAAA >hg38_chr3:33426277-33426287(+) AAACAATGGCT >hg38_chr3:33470552-33470562(+) caacaatgaga >hg38_chr3:33542801-33542811(+) GTATAATGTAA >hg38_chr3:33557969-33557979(+) tgacaatgtaa >hg38_chr3:33559037-33559047(+) TTACAAAGGTT >hg38_chr3:33578149-33578159(-) CAACAATGTAA >hg38_chr3:33578943-33578953(+) TAACAATATCC >hg38_chr3:33589903-33589913(+) AGACAATGGAC >hg38_chr3:33594558-33594568(+) AAACAATGGTG >hg38_chr3:33608522-33608532(+) TGACAATGGGG >hg38_chr3:33611944-33611954(+) AAACAATGCAG >hg38_chr3:33624974-33624984(-) gaacaaaggta >hg38_chr3:33626175-33626185(-) GAACAAAGGAC >hg38_chr3:33627226-33627236(+) agacaataata >hg38_chr3:33627560-33627570(-) GAATAATGGTG >hg38_chr3:33641528-33641538(+) ATACAATATGA >hg38_chr3:33653604-33653614(+) tgacaatggta >hg38_chr3:33655004-33655014(-) AAATAATGGTT >hg38_chr3:33655444-33655454(-) AGACAATGACT >hg38_chr3:33656162-33656172(-) CTATAATGGCT >hg38_chr3:33663115-33663125(-) ATACAATGTGT >hg38_chr3:33668794-33668804(+) gaacaatggac >hg38_chr3:33669788-33669798(+) gaataatggaa >hg38_chr3:33723156-33723166(-) atacaatggaa >hg38_chr3:33723170-33723180(+) atacaatggaa >hg38_chr3:33826869-33826879(-) ACACAATGCCT >hg38_chr3:33828510-33828520(-) GTAGAATGGAC >hg38_chr3:33831865-33831875(+) taacaatgtat >hg38_chr3:33831926-33831936(-) gtacaatgcag >hg38_chr3:33862693-33862703(+) GCATAATGGTT >hg38_chr3:33914496-33914506(+) TAACAAAGGGA >hg38_chr3:33919535-33919545(-) TGACAATGAGA >hg38_chr3:34006853-34006863(-) GGACAATAGAC >hg38_chr3:34011354-34011364(+) ccacaatgagc >hg38_chr3:34011386-34011396(-) aaacaatgcta >hg38_chr3:34012877-34012887(-) atacaatggga >hg38_chr3:34067649-34067659(-) GTACTATGGCG >hg38_chr3:34071413-34071423(-) GAACAATGCAG >hg38_chr3:34142452-34142462(-) aaacaatagag >hg38_chr3:34146169-34146179(-) TGACAATGTCA >hg38_chr3:34146190-34146200(-) TGACAATGACA >hg38_chr3:34146199-34146209(-) TAACAATAATG >hg38_chr3:34191662-34191672(+) GGACAATGCTC >hg38_chr3:34192870-34192880(-) TAATAATGATA >hg38_chr3:34230173-34230183(-) ggacaatagaa >hg38_chr3:34234669-34234679(+) gcacaatgggt >hg38_chr3:34240736-34240746(-) ACACAATGACT >hg38_chr3:34241331-34241341(-) ACACAATGCTG >hg38_chr3:34241465-34241475(+) GCACAATGGGG >hg38_chr3:34254465-34254475(+) ggacaatggga >hg38_chr3:34258854-34258864(-) gaacaatgagt >hg38_chr3:34285467-34285477(-) TAACAATAAAA >hg38_chr3:34293209-34293219(-) TAACAATGGTA >hg38_chr3:34310653-34310663(-) GGACAATAGTG >hg38_chr3:34421915-34421925(-) atacaatgtat >hg38_chr3:34423823-34423833(-) GAACAATGCAA >hg38_chr3:34425894-34425904(+) GAATAATGGAG >hg38_chr3:34425921-34425931(+) gaataatggat >hg38_chr3:34425934-34425944(-) agacaaaggga >hg38_chr3:34558259-34558269(+) TGACAATAGGC >hg38_chr3:34629289-34629299(+) atagaatggta >hg38_chr3:34636726-34636736(+) GAACAATGCAT >hg38_chr3:34814492-34814502(+) atataatggaa >hg38_chr3:34833311-34833321(+) gaacaatggat >hg38_chr3:34859800-34859810(+) atacaatggaa >hg38_chr3:34865550-34865560(-) TTACAATAGTT >hg38_chr3:34883201-34883211(+) AGACAATGGTG >hg38_chr3:34883236-34883246(+) GAACAATGAGG >hg38_chr3:34889722-34889732(+) GAACACTGGTA >hg38_chr3:34903305-34903315(+) GGACAATAGGA >hg38_chr3:34903327-34903337(+) TCACAATGGCA >hg38_chr3:34930746-34930756(+) gcacaatgaga >hg38_chr3:34948071-34948081(+) GAACAATGCAA >hg38_chr3:34987247-34987257(-) tcacaataata >hg38_chr3:34996223-34996233(+) GAATAATGGCA >hg38_chr3:35200320-35200330(+) TTACAATGGAG >hg38_chr3:35245510-35245520(-) CGACAAAGGTA >hg38_chr3:35314862-35314872(+) aaacaatgtat >hg38_chr3:35369693-35369703(+) aaacaaaggaa >hg38_chr3:35369701-35369711(+) gaacaataacc >hg38_chr3:35369745-35369755(+) tgacaatataa >hg38_chr3:35369763-35369773(+) gtacaatgaaa >hg38_chr3:35465366-35465376(+) tcacaatgaag >hg38_chr3:35474336-35474346(-) agataatggca >hg38_chr3:35532919-35532929(-) ctacaatggcc >hg38_chr3:35596634-35596644(-) caacaatagct >hg38_chr3:35627534-35627544(+) AGACAATGCAG >hg38_chr3:35661748-35661758(-) atacaaaggca >hg38_chr3:35661757-35661767(-) acacaataaat >hg38_chr3:35757640-35757650(+) AAACAATGCAG >hg38_chr3:35758460-35758470(-) TAACAATGGGA >hg38_chr3:35785480-35785490(+) TAACAATGTGT >hg38_chr3:35790398-35790408(+) GAACAATGTCA >hg38_chr3:35790462-35790472(+) TCACAATGGGA >hg38_chr3:35790478-35790488(+) AGACAATGAAA >hg38_chr3:35792123-35792133(-) TGACAATGATA >hg38_chr3:35792159-35792169(+) TAACAATAGAA >hg38_chr3:35844369-35844379(-) TAACAATAAGA >hg38_chr3:35846583-35846593(+) gcacaatgaca >hg38_chr3:35865011-35865021(+) agacaatgctt >hg38_chr3:35915590-35915600(+) ttacaatgata >hg38_chr3:35933238-35933248(-) AAACAATGCTC >hg38_chr3:35963723-35963733(-) CAACAATAGAG >hg38_chr3:35965202-35965212(+) ACACAATAATA >hg38_chr3:35965251-35965261(+) TTACAAAGGGA >hg38_chr3:35965934-35965944(+) CCACAATAGAA >hg38_chr3:35968786-35968796(+) TCACAATGTCC >hg38_chr3:35999556-35999566(+) ttacaatgtgc >hg38_chr3:36003932-36003942(-) AAACAATGCCG >hg38_chr3:36126881-36126891(+) aaacaatgtaa >hg38_chr3:36126889-36126899(+) taacaatgcac >hg38_chr3:36153716-36153726(-) CTACAATgatt >hg38_chr3:36159003-36159013(-) TTACAATAAAT >hg38_chr3:36357169-36357179(-) tgacaatggag >hg38_chr3:36381577-36381587(-) GCACAATGAAA >hg38_chr3:36419506-36419516(+) acacaatgggg >hg38_chr3:36446565-36446575(+) CTACAATGACT >hg38_chr3:36469156-36469166(-) acacaatgaaa >hg38_chr3:36469164-36469174(-) taacaataaca >hg38_chr3:36497529-36497539(+) GAACAATAGAC >hg38_chr3:36497536-36497546(+) AGACAATAAAA >hg38_chr3:36515227-36515237(+) TAACAATGGTT >hg38_chr3:36525619-36525629(-) ACACAATGACT >hg38_chr3:36607111-36607121(+) CTATAATGGGA >hg38_chr3:36608357-36608367(-) GTACAAGGGTA >hg38_chr3:36638282-36638292(-) TAACAATGGGA >hg38_chr3:36678733-36678743(-) tgacaatgtga >hg38_chr3:36763288-36763298(+) TAACAACGGAG >hg38_chr3:36775097-36775107(+) atacaatagga >hg38_chr3:36860028-36860038(+) acacaatgtct >hg38_chr3:36863241-36863251(+) ACACAAAGGAC >hg38_chr3:36908180-36908190(+) TGACAATGCAG >hg38_chr3:36917827-36917837(+) atacaatacaa >hg38_chr3:36933300-36933310(-) gaacaaagggg >hg38_chr3:36964985-36964995(-) atacaatagtt >hg38_chr3:36969298-36969308(+) agacaatgcct >hg38_chr3:36986012-36986022(-) TAACAAAGGCA >hg38_chr3:36987896-36987906(-) gcacaatgcct >hg38_chr3:36989666-36989676(-) gcacaatgaag >hg38_chr3:36996486-36996496(+) AGACAAAGGAA >hg38_chr3:36996513-36996523(-) AAACAATAGTG >hg38_chr3:37047093-37047103(-) AAACAAAGGGA >hg38_chr3:37068074-37068084(+) TAACAATGTGC >hg38_chr3:37083970-37083980(+) AGACAATAATA >hg38_chr3:37094047-37094057(-) atacaatggta >hg38_chr3:37121407-37121417(-) TGACAATGTTC >hg38_chr3:37124981-37124991(-) GGATAATGACG >hg38_chr3:37133408-37133418(+) tatcaatggac >hg38_chr3:37154728-37154738(+) AAACAATAGGC >hg38_chr3:37177886-37177896(-) GCACAATGCTG >hg38_chr3:37177929-37177939(-) gtataatgata >hg38_chr3:37221672-37221682(+) AGACAATGGAG >hg38_chr3:37247379-37247389(+) ACACAATGGAG >hg38_chr3:37256203-37256213(-) gaacaatggaa >hg38_chr3:37290261-37290271(-) GGACAATACGG >hg38_chr3:37291189-37291199(-) AAATAATGCGA >hg38_chr3:37303056-37303066(+) CTACAATGCCG >hg38_chr3:37306109-37306119(+) ATACAGTGGTG >hg38_chr3:37323847-37323857(-) CAACAATGTTT >hg38_chr3:37335453-37335463(+) aaacaatagca >hg38_chr3:37346786-37346796(-) aaacaatggag >hg38_chr3:37360403-37360413(-) ACACAATGAGG >hg38_chr3:37360608-37360618(+) GGACAATGACC >hg38_chr3:37363379-37363389(-) GCACAATATAC >hg38_chr3:37389192-37389202(-) atacaaaggga >hg38_chr3:37451856-37451866(+) AGACAAAGGCA >hg38_chr3:37454165-37454175(-) ACACAATGCTC >hg38_chr3:37469687-37469697(-) atacaatagca >hg38_chr3:37604459-37604469(-) ACACAATAGCC >hg38_chr3:37740072-37740082(-) AAACAATGGTG >hg38_chr3:37740085-37740095(+) GAACAATAGGA >hg38_chr3:37746393-37746403(+) CGACAATAAGA >hg38_chr3:37746446-37746456(+) AAACAATGGCC >hg38_chr3:37761220-37761230(-) caacaatggat >hg38_chr3:37762468-37762478(-) taacaatgcca >hg38_chr3:37787132-37787142(-) TGACAATGAGC >hg38_chr3:37825058-37825068(+) TGACAATGGTC >hg38_chr3:37826376-37826386(-) ACACAAAGGAG >hg38_chr3:37826556-37826566(-) aaacaatgaac >hg38_chr3:37833711-37833721(+) taacaatgaac >hg38_chr3:37893067-37893077(-) GCACAATGGAA >hg38_chr3:37932460-37932470(+) tgacaaaggaa >hg38_chr3:38003561-38003571(-) GGACAATGCAG >hg38_chr3:38005304-38005314(+) ccacaatggcc >hg38_chr3:38028855-38028865(+) GGACAAAGGAT >hg38_chr3:38105346-38105356(-) taataatggaa >hg38_chr3:38105862-38105872(-) caataatggag >hg38_chr3:38177387-38177397(+) TAACAAAGGGA >hg38_chr3:38183534-38183544(-) taacaataaaa >hg38_chr3:38183546-38183556(+) aaacaatgctT >hg38_chr3:38183579-38183589(+) ATACCATGGAA >hg38_chr3:38183795-38183805(-) ATACAATACAA >hg38_chr3:38186666-38186676(-) atataatggac >hg38_chr3:38188289-38188299(-) AAACAATAGGA >hg38_chr3:38197931-38197941(-) AAACAATATTA >hg38_chr3:38215189-38215199(+) TAATAATGTAT >hg38_chr3:38217970-38217980(-) atacaatggaa >hg38_chr3:38224834-38224844(-) GTATAATGGTC >hg38_chr3:38227148-38227158(+) GCACAATGGAC >hg38_chr3:38228322-38228332(-) caacaatgtga >hg38_chr3:38235717-38235727(+) aaacaatgagg >hg38_chr3:38237844-38237854(+) AGACTATGGCA >hg38_chr3:38237905-38237915(-) TAACAATACAT >hg38_chr3:38288008-38288018(-) gcacaatgatg >hg38_chr3:38311847-38311857(-) ACACAATGGGA >hg38_chr3:38334555-38334565(-) GGACAATAGGA >hg38_chr3:38334594-38334604(+) ggacaatgact >hg38_chr3:38416559-38416569(-) agacaataaga >hg38_chr3:38416720-38416730(+) agacaatgaag >hg38_chr3:38455378-38455388(-) GAACAAAGACG >hg38_chr3:38541026-38541036(+) atataatggga >hg38_chr3:38541070-38541080(+) agacaatgaca >hg38_chr3:38595596-38595606(+) gaacaaaggga >hg38_chr3:38716024-38716034(+) atacaatgata >hg38_chr3:38741794-38741804(-) AGACAGTGGAA >hg38_chr3:38750357-38750367(-) atacaatgtag >hg38_chr3:38776227-38776237(-) ggataatggat >hg38_chr3:38776275-38776285(+) ttacaatggag >hg38_chr3:38834289-38834299(+) ATACAAAGGGG >hg38_chr3:38879462-38879472(+) atacaatgctg >hg38_chr3:38879482-38879492(-) tgacaatgttc >hg38_chr3:38891845-38891855(-) taacaatgttg >hg38_chr3:38941522-38941532(-) acacaataaac >hg38_chr3:38965484-38965494(+) TCACAATCGAC >hg38_chr3:38970816-38970826(-) GCACAATGAAG >hg38_chr3:38997650-38997660(+) gaacagtggat >hg38_chr3:38997715-38997725(+) GAATAATGGAG >hg38_chr3:39038171-39038181(+) aaacaataata >hg38_chr3:39038185-39038195(-) aaacaataaat >hg38_chr3:39056802-39056812(+) aaactatggag >hg38_chr3:39056847-39056857(+) aaacaatggct >hg38_chr3:39089289-39089299(+) CCACAATGGGT >hg38_chr3:39096980-39096990(+) ACACAATGCCT >hg38_chr3:39107680-39107690(+) AGACACTGGAC >hg38_chr3:39131328-39131338(-) GGACAAAGGCA >hg38_chr3:39131373-39131383(+) tgacaatagct >hg38_chr3:39139339-39139349(-) GGACAATGGCT >hg38_chr3:39139360-39139370(-) AAACAATGCAT >hg38_chr3:39152341-39152351(+) tgacaatgcca >hg38_chr3:39166983-39166993(+) TGACAATGAAT >hg38_chr3:39167019-39167029(+) caacaatgaag >hg38_chr3:39208579-39208589(+) ttacaaaggag >hg38_chr3:39209386-39209396(+) AAACAATGAAG >hg38_chr3:39319392-39319402(-) aaactatggta >hg38_chr3:39329810-39329820(+) GAACAAAGGCT >hg38_chr3:39331129-39331139(+) GAACTATGGGT >hg38_chr3:39342123-39342133(+) aaacaatgagg >hg38_chr3:39405031-39405041(-) AAACAATGCCC >hg38_chr3:39463644-39463654(-) aaacaatgtgg >hg38_chr3:39489677-39489687(-) GAACAATACAC >hg38_chr3:39648591-39648601(+) aaacaatgggc >hg38_chr3:39648623-39648633(-) taataatgtat >hg38_chr3:39649529-39649539(+) AGACAAAGGTA >hg38_chr3:39650072-39650082(+) tgataatggca >hg38_chr3:39668662-39668672(+) atacaataaag >hg38_chr3:39690691-39690701(+) aaacaataata >hg38_chr3:39697377-39697387(+) gcacaatgaca >hg38_chr3:39710343-39710353(-) aaacaatgaga >hg38_chr3:39711920-39711930(+) ccacaatgtcc >hg38_chr3:39711934-39711944(+) acacaatagaa >hg38_chr3:39786302-39786312(-) AAACAAAGGCC >hg38_chr3:39786312-39786322(-) GTACAATAGAA >hg38_chr3:39794650-39794660(+) taacaataggc >hg38_chr3:39834907-39834917(+) atacaatgaga >hg38_chr3:39858003-39858013(+) aaacaatgaac >hg38_chr3:39858295-39858305(+) agacaatgaac >hg38_chr3:39970697-39970707(-) AAACAATGTGC >hg38_chr3:39970721-39970731(+) CTACAATGTGA >hg38_chr3:39970760-39970770(-) TCACAATGTTA >hg38_chr3:39971354-39971364(+) caacaatgctc >hg38_chr3:39971411-39971421(+) TTACAATAGTA >hg38_chr3:40022547-40022557(-) AAACAATGCCT >hg38_chr3:40045024-40045034(-) TCACAATGCAC >hg38_chr3:40073451-40073461(-) ggacaaaggtg >hg38_chr3:40123616-40123626(-) aaacaataaac >hg38_chr3:40179129-40179139(-) CAACAATGCTT >hg38_chr3:40192793-40192803(+) aaacaatggtg >hg38_chr3:40192835-40192845(-) atacaatgact >hg38_chr3:40196708-40196718(-) GAACAATGCAT >hg38_chr3:40238460-40238470(-) GCACAATGGAG >hg38_chr3:40254997-40255007(+) GCATAATGGTG >hg38_chr3:40263455-40263465(+) TTACACTGGAT >hg38_chr3:40279875-40279885(+) taataatggaa >hg38_chr3:40292831-40292841(-) TGACAATGTGA >hg38_chr3:40299078-40299088(+) aaacaaaggac >hg38_chr3:40301806-40301816(+) atacaatgaca >hg38_chr3:40301824-40301834(-) aaacaatgaga >hg38_chr3:40301837-40301847(+) agacaatgtaa >hg38_chr3:40358096-40358106(-) ggacaatgggc >hg38_chr3:40364310-40364320(-) AGACAATagtt >hg38_chr3:40366299-40366309(-) aaacaatgcct >hg38_chr3:40454428-40454438(-) gaacaatgcgg >hg38_chr3:40557339-40557349(+) ccacaatggtt >hg38_chr3:40575086-40575096(+) TAATAATAGTA >hg38_chr3:40575118-40575128(-) CAACAATGGCT >hg38_chr3:40580902-40580912(-) CAACAATGACA >hg38_chr3:40582558-40582568(-) GAACAATGTGT >hg38_chr3:40601603-40601613(-) gcacaatgcct >hg38_chr3:40616249-40616259(+) ACACAATGAAG >hg38_chr3:40630668-40630678(+) TCACAATGTAT >hg38_chr3:40630694-40630704(+) TGACAATGATA >hg38_chr3:40703436-40703446(-) atacaatgaag >hg38_chr3:40744050-40744060(+) TAACAATGAGT >hg38_chr3:40776146-40776156(-) agataatggct >hg38_chr3:40780700-40780710(+) GCACAAAGGCG >hg38_chr3:40780748-40780758(-) GGACAATGGGA >hg38_chr3:40783388-40783398(+) GGATAATGGGC >hg38_chr3:40825435-40825445(-) GGATAATGGTG >hg38_chr3:40878168-40878178(-) ACATAATGGGA >hg38_chr3:40878231-40878241(+) CAACAAAGGCG >hg38_chr3:40885782-40885792(-) GAACAATGGAA >hg38_chr3:40926351-40926361(+) AAACAATGGCC >hg38_chr3:41007696-41007706(-) tcacaaaggaa >hg38_chr3:41083845-41083855(-) taacaatgtgc >hg38_chr3:41130607-41130617(+) taacaataaat >hg38_chr3:41131327-41131337(+) TAACAAAGGAA >hg38_chr3:41192733-41192743(+) gcaCAATGTCA >hg38_chr3:41198285-41198295(+) AAACAATAGCC >hg38_chr3:41200995-41201005(+) GAATAATGGTG >hg38_chr3:41201443-41201453(-) GAACAAAGGTT >hg38_chr3:41202342-41202352(+) GCACAAAGGAT >hg38_chr3:41202427-41202437(-) AAACAATGTCA >hg38_chr3:41213583-41213593(-) ATACAATGTAC >hg38_chr3:41217404-41217414(-) TAACAATGAGT >hg38_chr3:41217410-41217420(-) TTACAATAACA >hg38_chr3:41217427-41217437(+) TAACAAAGGGT >hg38_chr3:41217766-41217776(-) ATACAAAGGAT >hg38_chr3:41229812-41229822(-) ACACAATATAC >hg38_chr3:41233093-41233103(+) TAACAAAGGCT >hg38_chr3:41252298-41252308(+) ggacaataaac >hg38_chr3:41276781-41276791(+) agacaatgaac >hg38_chr3:41300601-41300611(+) CTACAATGCAT >hg38_chr3:41301520-41301530(+) AGACAATAGCT >hg38_chr3:41330657-41330667(-) GGACAAAGGGT >hg38_chr3:41363088-41363098(+) CTACAATGCCC >hg38_chr3:41383449-41383459(-) GAACAATGAGC >hg38_chr3:41401600-41401610(+) caacaatgtga >hg38_chr3:41401608-41401618(+) tgacaatgctg >hg38_chr3:41403508-41403518(-) gtacaattgaa >hg38_chr3:41437238-41437248(+) CTATAATGGCC >hg38_chr3:41461568-41461578(+) GTACAGTGGTG >hg38_chr3:41580995-41581005(+) GCACAATGTCA >hg38_chr3:41588119-41588129(+) CCACAATAGAA >hg38_chr3:41629190-41629200(-) aaacaaagacg >hg38_chr3:41693367-41693377(+) caacaatagga >hg38_chr3:41701163-41701173(+) agataatggca >hg38_chr3:41709100-41709110(+) taacaatggtt >hg38_chr3:41711205-41711215(+) gaacaaaggcc >hg38_chr3:41735010-41735020(+) GAACAAAGGAA >hg38_chr3:41889568-41889578(+) aaacaataaca >hg38_chr3:41933428-41933438(+) gcacaatggta >hg38_chr3:41952774-41952784(-) gaacaatggtg >hg38_chr3:41952783-41952793(+) tcacaatagtt >hg38_chr3:42024749-42024759(-) ACACAATAGCA >hg38_chr3:42030981-42030991(+) GAACAATGTGC >hg38_chr3:42032383-42032393(-) GGACAATGGGC >hg38_chr3:42043465-42043475(-) GGACAAAGGAT >hg38_chr3:42051826-42051836(+) AGACAATGGAG >hg38_chr3:42052750-42052760(-) ATACAATGGGA >hg38_chr3:42052759-42052769(-) GAACAATGCAT >hg38_chr3:42053105-42053115(+) GAACAATGGCT >hg38_chr3:42053292-42053302(+) GGATAATGGAC >hg38_chr3:42059919-42059929(+) ggacaatgata >hg38_chr3:42059927-42059937(+) atacaataaAG >hg38_chr3:42070903-42070913(-) ttacaatagct >hg38_chr3:42072387-42072397(-) TTACAATGGAA >hg38_chr3:42097181-42097191(-) agacaatgaaa >hg38_chr3:42097220-42097230(-) ttacaataggg >hg38_chr3:42106902-42106912(+) TAACAATAAAA >hg38_chr3:42106945-42106955(-) TCACAATGCCA >hg38_chr3:42160801-42160811(-) acacaaaggaa >hg38_chr3:42184452-42184462(-) TGACAATGTAA >hg38_chr3:42204142-42204152(-) ACACAATGTGG >hg38_chr3:42245300-42245310(-) GGACAAAGGGA >hg38_chr3:42439889-42439899(+) ACACAATGGCA >hg38_chr3:42496369-42496379(-) aaacaatacta >hg38_chr3:42496385-42496395(-) taacaatacta >hg38_chr3:42496408-42496418(-) atacaatgtta >hg38_chr3:42496665-42496675(+) taacaatatga >hg38_chr3:42559216-42559226(-) TAACAATAGGC >hg38_chr3:42575217-42575227(+) caacaatggca >hg38_chr3:42581491-42581501(+) AAACAATGCTC >hg38_chr3:42590223-42590233(+) AGACAATGCCA >hg38_chr3:42590288-42590298(+) GGACAATGGAT >hg38_chr3:42599979-42599989(+) aaacaatgaaa >hg38_chr3:42602364-42602374(+) acacaatgacg >hg38_chr3:42602418-42602428(+) aaacaatggag >hg38_chr3:42605460-42605470(+) CGACAAAGGAT >hg38_chr3:42626952-42626962(+) TTACAATATTA >hg38_chr3:42631912-42631922(-) taacaaagggt >hg38_chr3:42640318-42640328(-) TAACAATAACA >hg38_chr3:42661082-42661092(+) TGATAATGGCT >hg38_chr3:42744353-42744363(+) AAACAATGTTG >hg38_chr3:42791554-42791564(-) AAACAATGATT >hg38_chr3:42795812-42795822(+) TCACAATGAGG >hg38_chr3:42814184-42814194(+) TGACAATGACA >hg38_chr3:42827575-42827585(+) CTACAATGGAT >hg38_chr3:42829286-42829296(+) AAACAATATTA >hg38_chr3:42830642-42830652(-) AGACAAAGGCA >hg38_chr3:42937471-42937481(-) TTACAGTGGAT >hg38_chr3:42937498-42937508(+) ATACAATGACA >hg38_chr3:43059059-43059069(+) caacaatagta >hg38_chr3:43062677-43062687(-) GAACAAAGGGG >hg38_chr3:43108062-43108072(+) caacaatagaa >hg38_chr3:43137795-43137805(-) atacactggaa >hg38_chr3:43137825-43137835(+) ttacaatgttt >hg38_chr3:43199413-43199423(-) agataatggga >hg38_chr3:43214252-43214262(-) aaacaatgctt >hg38_chr3:43230910-43230920(+) atacaatgaac >hg38_chr3:43230979-43230989(+) gtacaatgtac >hg38_chr3:43230986-43230996(-) gaacaatgtac >hg38_chr3:43259396-43259406(+) TGACAATAGAT >hg38_chr3:43272893-43272903(-) gaacaatcgga >hg38_chr3:43272959-43272969(-) taataatggat >hg38_chr3:43286305-43286315(-) GAACAATGGCC >hg38_chr3:43287172-43287182(+) TTACAATAGAG >hg38_chr3:43292279-43292289(+) GAACAATGAAC >hg38_chr3:43320149-43320159(-) ACACAATAGGG >hg38_chr3:43330156-43330166(-) TGACAATGTTT >hg38_chr3:43330173-43330183(+) GAACAATAGAA >hg38_chr3:43344032-43344042(+) taacaatgcta >hg38_chr3:43348059-43348069(+) GAACAATGCTG >hg38_chr3:43411653-43411663(-) TGACAATGGCA >hg38_chr3:43411673-43411683(+) CAACAATGGAA >hg38_chr3:43440963-43440973(+) tcacaaaggaa >hg38_chr3:43442593-43442603(-) taacaatatta >hg38_chr3:43456956-43456966(+) TGACAATGCAC >hg38_chr3:43456979-43456989(-) ATAGAATGGTA >hg38_chr3:43541272-43541282(+) AAACAATGACa >hg38_chr3:43541278-43541288(+) TGACaataata >hg38_chr3:43559760-43559770(+) ACATAATGGGA >hg38_chr3:43559778-43559788(-) TTACTATGGGA >hg38_chr3:43598530-43598540(+) ACACAATGATT >hg38_chr3:43606398-43606408(+) TTACAAAGGAA >hg38_chr3:43606425-43606435(+) ATACAATGGGA >hg38_chr3:43633752-43633762(+) agacaaaggac >hg38_chr3:43651117-43651127(+) AAATAATGGAT >hg38_chr3:43689789-43689799(+) GAACAATGAAC >hg38_chr3:43695956-43695966(+) CTACAATGGTT >hg38_chr3:43728110-43728120(-) GAACAATGGGC >hg38_chr3:43887147-43887157(+) TCACAATGGCC >hg38_chr3:43894406-43894416(+) AAACAATGTgc >hg38_chr3:43920614-43920624(-) gaacaatgcat >hg38_chr3:43920622-43920632(-) tgacaatggaa >hg38_chr3:43920638-43920648(-) gcacaatggga >hg38_chr3:43930845-43930855(+) ggacaatgaaa >hg38_chr3:43959531-43959541(-) AGACAATGTAA >hg38_chr3:43982619-43982629(-) acacaatggga >hg38_chr3:44083382-44083392(-) gcacaatggat >hg38_chr3:44084098-44084108(+) GTATAATGGGA >hg38_chr3:44085467-44085477(-) ccacaatgaga >hg38_chr3:44098795-44098805(-) GGACAATGATT >hg38_chr3:44110129-44110139(-) CAACAATGCAA >hg38_chr3:44121063-44121073(-) TCACAATGCCA >hg38_chr3:44128319-44128329(-) TTACTATGGCA >hg38_chr3:44230030-44230040(-) AAACAATGCTC >hg38_chr3:44230459-44230469(+) agacaatggaa >hg38_chr3:44252604-44252614(+) CTACAATGCAC >hg38_chr3:44323735-44323745(+) TAACAATGCAA >hg38_chr3:44336016-44336026(-) acacaaagggt >hg38_chr3:44354139-44354149(-) aaacaatcgta >hg38_chr3:44354191-44354201(-) gaacaaaggca >hg38_chr3:44358057-44358067(-) AAACAATGACA >hg38_chr3:44366119-44366129(+) TGATAATGGCA >hg38_chr3:44396803-44396813(+) GAACAATGGAT >hg38_chr3:44407258-44407268(+) TAACAATGATG >hg38_chr3:44625033-44625043(-) GAATAATGGCC >hg38_chr3:44626492-44626502(-) TTACAATGGCA >hg38_chr3:44626501-44626511(+) AAACAAAGGAc >hg38_chr3:44648738-44648748(-) GAACAATGACC >hg38_chr3:44649892-44649902(-) tcacaatagtc >hg38_chr3:44679049-44679059(-) taacaaaggca >hg38_chr3:44679547-44679557(+) taacaatggag >hg38_chr3:44679569-44679579(-) taacaatgccc >hg38_chr3:44679585-44679595(-) caacaatgaaa >hg38_chr3:44700022-44700032(+) aaacaataact >hg38_chr3:44753680-44753690(-) AAACAATGGAG >hg38_chr3:44761831-44761841(-) AAACAATGCAG >hg38_chr3:44764414-44764424(-) atacaatgatg >hg38_chr3:44764458-44764468(-) acataatggaa >hg38_chr3:44765575-44765585(-) aaacaatagag >hg38_chr3:44765588-44765598(+) taacaatgccc >hg38_chr3:44793538-44793548(+) ACACAAAGGAA >hg38_chr3:44846983-44846993(+) ctacaatagct >hg38_chr3:44847853-44847863(+) TCACAATAGAG >hg38_chr3:44868318-44868328(-) acacaatggag >hg38_chr3:44888810-44888820(-) ttacaatggag >hg38_chr3:44913995-44914005(-) AGACAATGGTG >hg38_chr3:44932415-44932425(+) ATACAATGTTC >hg38_chr3:44950529-44950539(+) CCACAATGAGA >hg38_chr3:44961888-44961898(+) ttacaatggca >hg38_chr3:44996590-44996600(-) gtacaataacc >hg38_chr3:44996601-44996611(-) ccacaatgtaa >hg38_chr3:45068110-45068120(-) gtacaatcggc >hg38_chr3:45224187-45224197(+) GAACAATGAGC >hg38_chr3:45275404-45275414(+) taacaatggat >hg38_chr3:45312197-45312207(-) TTACAAAGGGT >hg38_chr3:45362409-45362419(-) aaacaatgtcc >hg38_chr3:45362421-45362431(+) gcacaatggtg >hg38_chr3:45424797-45424807(-) TCACAATgaaa >hg38_chr3:45434344-45434354(-) ggacaaaggaa >hg38_chr3:45442120-45442130(+) aaacaatggtg >hg38_chr3:45479886-45479896(+) ACACAATGCAT >hg38_chr3:45509447-45509457(-) GGACAAAGGAC >hg38_chr3:45560811-45560821(+) GAATAATGGag >hg38_chr3:45587619-45587629(+) CGAAAATGGTA >hg38_chr3:45594211-45594221(+) GGACAATGGGC >hg38_chr3:45613412-45613422(-) ggacaaaggag >hg38_chr3:45668073-45668083(-) TAACAATGACT >hg38_chr3:45688347-45688357(+) ATACAATGAGG >hg38_chr3:45688843-45688853(-) TCACAATGTCA >hg38_chr3:45688930-45688940(-) TCACAATGTGA >hg38_chr3:45701981-45701991(+) gtacaatggaa >hg38_chr3:45739569-45739579(-) AGACAATAGAA >hg38_chr3:45741483-45741493(-) aaacaatgagt >hg38_chr3:45741497-45741507(-) ggacaaaggag >hg38_chr3:45823399-45823409(+) ATATAATGTAA >hg38_chr3:45823442-45823452(+) TTACAATAGGC >hg38_chr3:45824151-45824161(-) TCACAATGCAG >hg38_chr3:45830319-45830329(+) ATACAATGACT >hg38_chr3:45830394-45830404(-) GTACAATGAAA >hg38_chr3:45853766-45853776(+) aaacaatgaca >hg38_chr3:45853783-45853793(+) aaacaatgata >hg38_chr3:45853800-45853810(+) aaacaatGCTA >hg38_chr3:45917556-45917566(-) GAACAATGGGG >hg38_chr3:45957661-45957671(+) ACATAATGGGG >hg38_chr3:45987799-45987809(+) GGACAATGAAG >hg38_chr3:45988918-45988928(+) AAACAATAGCA >hg38_chr3:46007646-46007656(+) atactatgggg >hg38_chr3:46070396-46070406(-) acacaataata >hg38_chr3:46070404-46070414(-) ggacaataaca >hg38_chr3:46070851-46070861(-) agacaaaggaa >hg38_chr3:46298231-46298241(+) CCACAATGGGA >hg38_chr3:46360485-46360495(-) gaacaatatga >hg38_chr3:46476272-46476282(-) ggacaatgttg >hg38_chr3:46480659-46480669(+) GGACAATGGCA >hg38_chr3:46483129-46483139(+) atacaataggc >hg38_chr3:46483360-46483370(-) ggacaatgctc >hg38_chr3:46564900-46564910(+) ATACAATGGGC >hg38_chr3:46574570-46574580(+) GAACAATGACA >hg38_chr3:46593932-46593942(-) ATATAATGTAA >hg38_chr3:46593962-46593972(-) CTACAATAGCA >hg38_chr3:46599735-46599745(+) TGACAATAGTA >hg38_chr3:46625323-46625333(-) AAACAATAGTG >hg38_chr3:46629981-46629991(+) ggacaaaggag >hg38_chr3:46654559-46654569(+) gaacaatacta >hg38_chr3:46661988-46661998(-) CAACAATGAGG >hg38_chr3:46746979-46746989(+) aaacaatgtgg >hg38_chr3:46747005-46747015(+) agacaatggaa >hg38_chr3:46747018-46747028(+) tgacaatggaa >hg38_chr3:46770016-46770026(+) acacaataata >hg38_chr3:46863514-46863524(+) GCACAATAGGG >hg38_chr3:46943962-46943972(+) GGACAATGGGC >hg38_chr3:46961027-46961037(-) CCACAATAGTA >hg38_chr3:46962524-46962534(+) gaacaaaggac >hg38_chr3:47024915-47024925(+) AGACAATGAAG >hg38_chr3:47024930-47024940(+) CAACAATGGAT >hg38_chr3:47046992-47047002(+) AAACAATGCCA >hg38_chr3:47077569-47077579(-) acacaatgtac >hg38_chr3:47077813-47077823(+) aaataatggag >hg38_chr3:47077879-47077889(+) ggacaatgaag >hg38_chr3:47078257-47078267(+) tgacaatgcta >hg38_chr3:47096122-47096132(-) TAACAATAGAT >hg38_chr3:47142030-47142040(+) TTACAATGCAC >hg38_chr3:47164316-47164326(+) GAACAATAAAC >hg38_chr3:47176074-47176084(+) AGACAATGACT >hg38_chr3:47231704-47231714(-) taacaatagct >hg38_chr3:47250742-47250752(+) gaacaatagga >hg38_chr3:47253860-47253870(+) TTACAATAAGT >hg38_chr3:47301568-47301578(-) gaacaatagaa >hg38_chr3:47316101-47316111(+) ttacaatagga >hg38_chr3:47371207-47371217(+) AGACACTGGTA >hg38_chr3:47432885-47432895(+) CAACAATGAAA >hg38_chr3:47501059-47501069(-) TAACAATAATA >hg38_chr3:47513805-47513815(+) AAACGATGGGC >hg38_chr3:47543063-47543073(+) AAACAAAGGGC >hg38_chr3:47571566-47571576(+) GCACAATGGAG >hg38_chr3:47573965-47573975(+) AGACAAAGGCA >hg38_chr3:47614409-47614419(+) GAACAATAGAT >hg38_chr3:47614419-47614429(-) ATACAATTGAA >hg38_chr3:47631638-47631648(+) GGACAATAACA >hg38_chr3:47635896-47635906(-) TAACAATAAGT >hg38_chr3:47674346-47674356(-) tcacaatgtac >hg38_chr3:47687471-47687481(-) CCACAATGTCA >hg38_chr3:47692613-47692623(+) CAACAATGGAT >hg38_chr3:47692663-47692673(+) TCATAATGGCA >hg38_chr3:47723936-47723946(+) agacaatgaaa >hg38_chr3:47723951-47723961(+) aaacaatgata >hg38_chr3:47724373-47724383(+) tcacaataata >hg38_chr3:47781275-47781285(-) GAACAATGATC >hg38_chr3:47803799-47803809(-) AAACAAAGGAC >hg38_chr3:47879088-47879098(-) aaacaatgatc >hg38_chr3:47889195-47889205(+) ACACAATGGCA >hg38_chr3:47889248-47889258(-) GAACAATGGAG >hg38_chr3:47900120-47900130(+) TTACAATGAAA >hg38_chr3:47939404-47939414(+) TCACAATGCCT >hg38_chr3:47963254-47963264(+) ATATAATGGGT >hg38_chr3:47971907-47971917(+) GGACAATAACA >hg38_chr3:47977937-47977947(+) CAACAATGACA >hg38_chr3:47977955-47977965(-) TCACAATGGGT >hg38_chr3:47989457-47989467(-) taacaatagct >hg38_chr3:47997099-47997109(+) caacaatgcat >hg38_chr3:48023662-48023672(+) AGACAATGACT >hg38_chr3:48034198-48034208(-) AGACAAAGGCA >hg38_chr3:48063641-48063651(+) agacaatggaa >hg38_chr3:48101082-48101092(+) AAACAATGGGC >hg38_chr3:48113314-48113324(-) aaacaatggct >hg38_chr3:48190266-48190276(+) TAATAATGGAA >hg38_chr3:48190286-48190296(+) TGACAATAGCT >hg38_chr3:48202860-48202870(+) taacaatgggc >hg38_chr3:48247799-48247809(-) GAACAATGGCT >hg38_chr3:48247818-48247828(+) TTATAATGGTT >hg38_chr3:48279338-48279348(-) AAACAAAGGGA >hg38_chr3:48378396-48378406(+) TCACTATGGAT >hg38_chr3:48388259-48388269(+) gcacaatgttt >hg38_chr3:48429432-48429442(+) GAACAAAGGAG >hg38_chr3:48434126-48434136(+) TAACAATGCAC >hg38_chr3:48440232-48440242(+) CGACAAAGGGT >hg38_chr3:48465861-48465871(-) ACACAATGGTT >hg38_chr3:48548861-48548871(+) GAACAAAGGCA >hg38_chr3:48609742-48609752(-) GCACAATAGAC >hg38_chr3:48663817-48663827(-) AAACAATGACT >hg38_chr3:48663834-48663844(+) GCACAATGGGA >hg38_chr3:48695222-48695232(+) GGACAAAGGGC >hg38_chr3:48715295-48715305(+) AAACAATGGAA >hg38_chr3:48774778-48774788(-) acacaatgaaa >hg38_chr3:48928145-48928155(+) ATACAATAAGC >hg38_chr3:48948835-48948845(+) gcataatggtt >hg38_chr3:48948909-48948919(-) atacaatggaa >hg38_chr3:49051971-49051981(-) gtacaatactc >hg38_chr3:49079699-49079709(-) TAACAAAGGGG >hg38_chr3:49158307-49158317(+) GGACAAAGGGC >hg38_chr3:49238584-49238594(+) gaacaaaggca >hg38_chr3:49459142-49459152(-) GAACAATGGTC >hg38_chr3:49519456-49519466(+) GAACAATGGGC >hg38_chr3:49529678-49529688(+) GGACAATGGAG >hg38_chr3:49535409-49535419(+) CAACAATGCCT >hg38_chr3:49600015-49600025(-) ATACAAAGGGC >hg38_chr3:49719777-49719787(-) GCACAAAGGGA >hg38_chr3:49774974-49774984(+) ATAcaatataa >hg38_chr3:49790266-49790276(-) GAACAATGCTG >hg38_chr3:49856897-49856907(+) GAACAATGGTC >hg38_chr3:49869353-49869363(-) TGACAACGGAG >hg38_chr3:50024549-50024559(-) aaacaatacta >hg38_chr3:50042195-50042205(+) AAACAATGAAG >hg38_chr3:50050500-50050510(-) acacaaaggtt >hg38_chr3:50090898-50090908(-) GAACaataaca >hg38_chr3:50258290-50258300(-) AGACAATGACC >hg38_chr3:50260226-50260236(+) ACACAAAGGCA >hg38_chr3:50260727-50260737(-) AGACAATGCCA >hg38_chr3:50431142-50431152(+) GAACAATGTAG >hg38_chr3:50620754-50620764(-) GTACAATGGAG >hg38_chr3:50681467-50681477(-) TTACAATAAAA >hg38_chr3:50681732-50681742(+) CTACAATGGAA >hg38_chr3:50697695-50697705(-) TAACAATAATA >hg38_chr3:50725971-50725981(+) TTACAATGTcg >hg38_chr3:50737718-50737728(-) acacaatgaac >hg38_chr3:50773038-50773048(+) atacaataaag >hg38_chr3:50773096-50773106(+) gaacaatggaa >hg38_chr3:50773476-50773486(+) AAACAATGAAG >hg38_chr3:50802361-50802371(-) gaacaataaaa >hg38_chr3:50839090-50839100(-) ATATAATGATA >hg38_chr3:50841726-50841736(-) TAACAATAAAC >hg38_chr3:50927124-50927134(-) aaacaatagaa >hg38_chr3:50953996-50954006(-) taacaatgtga >hg38_chr3:50954016-50954026(-) taacaatggtg >hg38_chr3:50958111-50958121(-) AGACAATAGCA >hg38_chr3:50967369-50967379(-) acacaatggca >hg38_chr3:50967408-50967418(-) cgtcaatggat >hg38_chr3:50967436-50967446(-) tcacaatggcc >hg38_chr3:51054712-51054722(-) aaataatggaa >hg38_chr3:51078757-51078767(+) taacaatgctc >hg38_chr3:51103262-51103272(+) CTACAATGAGA >hg38_chr3:51103280-51103290(+) CTACAATGAGA >hg38_chr3:51275288-51275298(-) GTACAAAGCGA >hg38_chr3:51289500-51289510(+) gaacaaaggat >hg38_chr3:51311416-51311426(-) GGACAATGGGA >hg38_chr3:51538967-51538977(+) CAACAATGGGG >hg38_chr3:51540023-51540033(-) AAACAACGGAG >hg38_chr3:51621203-51621213(+) TGACAATGAAC >hg38_chr3:51690820-51690830(-) aaacaatgggg >hg38_chr3:51753767-51753777(+) caacaatgggg >hg38_chr3:51753796-51753806(+) taacaatgatt >hg38_chr3:51764504-51764514(+) tcacaaaggta >hg38_chr3:51769256-51769266(-) acacaataggt >hg38_chr3:51785919-51785929(-) caacaatggtt >hg38_chr3:51794257-51794267(+) caacaatgtgt >hg38_chr3:51799389-51799399(+) acacaaaggac >hg38_chr3:51800198-51800208(-) taacaatgcta >hg38_chr3:51800214-51800224(+) agacaatgcga >hg38_chr3:51833356-51833366(-) aaacaatggaa >hg38_chr3:51835421-51835431(-) ggacaataaaa >hg38_chr3:51835489-51835499(-) aaacaatggaa >hg38_chr3:51848398-51848408(+) acacaataaaa >hg38_chr3:51881418-51881428(-) ACACAATGCTG >hg38_chr3:51881903-51881913(+) agacaatggca >hg38_chr3:51881914-51881924(-) acacaatgctt >hg38_chr3:51881923-51881933(-) gcataatggac >hg38_chr3:51882885-51882895(+) gaacaaaggct >hg38_chr3:51883333-51883343(+) atactatggta >hg38_chr3:51883356-51883366(+) caacaatgttg >hg38_chr3:51892357-51892367(+) GGACAATAGAC >hg38_chr3:51917792-51917802(+) aaataatggct >hg38_chr3:51918379-51918389(-) agacaatgcta >hg38_chr3:51927689-51927699(+) atacaatagaa >hg38_chr3:51964344-51964354(-) GAACAAAGGCA >hg38_chr3:51967385-51967395(-) GGACAATGTGG >hg38_chr3:51967439-51967449(+) GAACAATGAGG >hg38_chr3:51968403-51968413(+) GGACAAAGGGC >hg38_chr3:51975899-51975909(-) TGACAATGACA >hg38_chr3:51982584-51982594(+) GTACAAAGGGC >hg38_chr3:52040112-52040122(-) GAACAATGACC >hg38_chr3:52045336-52045346(-) tcacaatgaag >hg38_chr3:52045679-52045689(+) AGACAATGGGG >hg38_chr3:52046688-52046698(-) GGATAATGGGG >hg38_chr3:52047527-52047537(+) GAACAAAGGCC >hg38_chr3:52091361-52091371(-) TAACAATGGAA >hg38_chr3:52144539-52144549(-) TGACAATGACA >hg38_chr3:52144557-52144567(-) GTACAATGTTA >hg38_chr3:52213834-52213844(-) gtacaatggaa >hg38_chr3:52270572-52270582(+) AAACAATGCAA >hg38_chr3:52280212-52280222(+) ACACAATGGAA >hg38_chr3:52299496-52299506(+) AAACAACGGCA >hg38_chr3:52311888-52311898(+) ATACAATGGCA >hg38_chr3:52416097-52416107(-) cgacaatgaaa >hg38_chr3:52546642-52546652(+) CCACAATGGCA >hg38_chr3:52557706-52557716(+) gcacaatgaat >hg38_chr3:52572755-52572765(-) ATACAAAGGAG >hg38_chr3:52572877-52572887(+) ATACAATGAAA >hg38_chr3:52594621-52594631(-) acacaatgaca >hg38_chr3:52629831-52629841(+) TAACAATAATA >hg38_chr3:52655431-52655441(-) atacagtggca >hg38_chr3:52671158-52671168(-) caacaatggct >hg38_chr3:52680610-52680620(+) TAACAATACTA >hg38_chr3:52684452-52684462(+) ATACAATACAT >hg38_chr3:52685535-52685545(-) GGACAAAGGCG >hg38_chr3:52711348-52711358(+) TAACAATAGCA >hg38_chr3:52765464-52765474(+) ACACAATGCTT >hg38_chr3:52843356-52843366(-) GCACAATGGCT >hg38_chr3:52843399-52843409(+) GAACGATGGGT >hg38_chr3:52853032-52853042(+) TCACAATGAGC >hg38_chr3:52904764-52904774(+) CTACAATGGGA >hg38_chr3:52905231-52905241(+) GAACAGTGGGA >hg38_chr3:52933311-52933321(+) caacaatgttc >hg38_chr3:52959895-52959905(+) gaacaatgctt >hg38_chr3:52968051-52968061(+) ctacaatagat >hg38_chr3:53016971-53016981(-) TAACAATAATC >hg38_chr3:53072767-53072777(-) AAACAATGGAG >hg38_chr3:53110294-53110304(+) TCACAATAGTT >hg38_chr3:53126061-53126071(+) GAACAATGTGG >hg38_chr3:53159596-53159606(-) AAACAATAGGC >hg38_chr3:53207730-53207740(+) atacaaaggaa >hg38_chr3:53207738-53207748(+) gaacaaaggag >hg38_chr3:53255093-53255103(+) TCACAATGGAT >hg38_chr3:53258814-53258824(+) agacaatggct >hg38_chr3:53259913-53259923(+) atacaataggg >hg38_chr3:53286739-53286749(+) CAACAATGACA >hg38_chr3:53309937-53309947(+) AAACAAAGGGG >hg38_chr3:53332492-53332502(-) GTACAATATGC >hg38_chr3:53343013-53343023(+) CTACAATAGGA >hg38_chr3:53346763-53346773(+) GTACAAAGGTC >hg38_chr3:53355234-53355244(+) taacaatgcca >hg38_chr3:53355262-53355272(-) gtacaaaggac >hg38_chr3:53388100-53388110(+) ACACAAAGGAG >hg38_chr3:53411409-53411419(-) aaacaatgtta >hg38_chr3:53411418-53411428(-) agacaaaggaa >hg38_chr3:53413651-53413661(+) atacaataaat >hg38_chr3:53430247-53430257(+) TGACAATGCTC >hg38_chr3:53479141-53479151(+) ACACAGTGGAA >hg38_chr3:53483978-53483988(-) GCACAATAGCT >hg38_chr3:53511488-53511498(-) caacaatgtac >hg38_chr3:53511501-53511511(+) gcacaatgagc >hg38_chr3:53545847-53545857(-) GCACAATAGAA >hg38_chr3:53585338-53585348(+) CAACAATGCAT >hg38_chr3:53612708-53612718(-) acacaaaggtc >hg38_chr3:53624597-53624607(-) ATATAATGGGA >hg38_chr3:53654641-53654651(-) ttacaatgaag >hg38_chr3:53656811-53656821(-) taacaatgttg >hg38_chr3:53687128-53687138(+) ttacaatgatc >hg38_chr3:53795986-53795996(+) TCACAATGGAC >hg38_chr3:53812647-53812657(-) TAACTATGGAT >hg38_chr3:53825710-53825720(+) acactatggat >hg38_chr3:53859251-53859261(+) GAATAATGGTA >hg38_chr3:53865745-53865755(-) AAACAATGATT >hg38_chr3:53894693-53894703(+) Taataatggat >hg38_chr3:53898143-53898153(+) caacaatgggg >hg38_chr3:53904720-53904730(+) agacaataggc >hg38_chr3:53909735-53909745(-) gtacaatgttc >hg38_chr3:53956288-53956298(-) TCACAATAGAT >hg38_chr3:54015635-54015645(+) GGACAATGTAA >hg38_chr3:54229000-54229010(-) GCACAAAGGAA >hg38_chr3:54310092-54310102(+) TAACAATGGAC >hg38_chr3:54333663-54333673(-) GCACAATAGAG >hg38_chr3:54413266-54413276(-) atacaaagata >hg38_chr3:54466397-54466407(-) CAACAATGGGT >hg38_chr3:54557617-54557627(-) CAACAATGGGC >hg38_chr3:54557656-54557666(-) ATACAATAATG >hg38_chr3:54558150-54558160(-) AAACAATGAGC >hg38_chr3:54605371-54605381(+) TGATAATGGCC >hg38_chr3:54642700-54642710(-) TGACAATGGTG >hg38_chr3:54660846-54660856(-) AAACAATGAAC >hg38_chr3:54668411-54668421(+) GAACAATGAAG >hg38_chr3:54668442-54668452(-) GAACAATGGCG >hg38_chr3:54683575-54683585(+) atacaatacac >hg38_chr3:54698190-54698200(+) CAACAATAGCA >hg38_chr3:54705300-54705310(-) AAACAATATAA >hg38_chr3:54706821-54706831(+) ATATAATGGAT >hg38_chr3:54710112-54710122(-) acacaataggt >hg38_chr3:54795107-54795117(-) aaacaataaga >hg38_chr3:54797335-54797345(+) ACACAATGATG >hg38_chr3:54822388-54822398(+) TAACAATGGTT >hg38_chr3:54837004-54837014(-) GCACAATGTGT >hg38_chr3:54843618-54843628(+) TCACAAAGGAA >hg38_chr3:54850670-54850680(+) ACACAAAGGAG >hg38_chr3:54873342-54873352(-) CAACAATGGAA >hg38_chr3:54882751-54882761(-) GAACAATAATA >hg38_chr3:54891648-54891658(-) GAACAAAGGGT >hg38_chr3:54892800-54892810(+) TAACAATAAAA >hg38_chr3:54931401-54931411(+) GAACAAAGGGA >hg38_chr3:54974021-54974031(+) GTACAATACCG >hg38_chr3:55000710-55000720(+) TTACCATGGTA >hg38_chr3:55000711-55000721(-) TTACCATGGTA >hg38_chr3:55043849-55043859(-) tgataatggaa >hg38_chr3:55093135-55093145(+) gaacaatgctg >hg38_chr3:55108979-55108989(-) TGACAATGAAT >hg38_chr3:55122520-55122530(+) ACACAATGCAG >hg38_chr3:55166336-55166346(+) CTACAAAGGGA >hg38_chr3:55168629-55168639(+) GGACAATAGTA >hg38_chr3:55168675-55168685(+) TAATAATGGAA >hg38_chr3:55185554-55185564(-) AAACAATAAGT >hg38_chr3:55271802-55271812(+) ACACAATAGCA >hg38_chr3:55279536-55279546(-) tcacaatgcct >hg38_chr3:55396835-55396845(+) GAACAATGGGA >hg38_chr3:55421734-55421744(+) tcacaatgaga >hg38_chr3:55453808-55453818(-) TTATAATGGGA >hg38_chr3:55468740-55468750(+) GTACACTGGGA >hg38_chr3:55468773-55468783(+) GTACAATAGGA >hg38_chr3:55512747-55512757(+) ATACAATGCCC >hg38_chr3:55513178-55513188(-) GCACTATGGAC >hg38_chr3:55519177-55519187(+) AGACAATGGTA >hg38_chr3:55522323-55522333(+) AAACAAAGGAA >hg38_chr3:55522523-55522533(+) AAACAATAACA >hg38_chr3:55540706-55540716(+) ACACAATGACT >hg38_chr3:55547529-55547539(-) CAACAATGAGA >hg38_chr3:55593006-55593016(-) acacaataggt >hg38_chr3:55595741-55595751(+) AGACAAAGGGA >hg38_chr3:55604666-55604676(+) gtacaaagggg >hg38_chr3:55615716-55615726(-) CAACAATGATC >hg38_chr3:55616326-55616336(+) GTACAATGGCC >hg38_chr3:55701040-55701050(+) TGACAATGAAC >hg38_chr3:55757093-55757103(+) AAACTATGGTG >hg38_chr3:55778699-55778709(+) GTACAAAGGGT >hg38_chr3:55778964-55778974(+) TGACAATAGGC >hg38_chr3:55819183-55819193(-) GAACAATAGTT >hg38_chr3:55826573-55826583(-) aaacaatgatt >hg38_chr3:55842384-55842394(+) ACACAATGAAC >hg38_chr3:55842417-55842427(+) AGACAATGCAT >hg38_chr3:55854709-55854719(-) AAATAATGGCC >hg38_chr3:55860196-55860206(+) TGACTATGGAT >hg38_chr3:55865811-55865821(-) atacaatgcac >hg38_chr3:55867452-55867462(+) ACACAATGCCA >hg38_chr3:55867506-55867516(+) TTACAATGACT >hg38_chr3:55888634-55888644(+) ACACAAAGGAA >hg38_chr3:55896444-55896454(+) AGACAATAAAA >hg38_chr3:55935496-55935506(+) AGACAATGAAC >hg38_chr3:55951496-55951506(+) CAACAATGGGA >hg38_chr3:55968599-55968609(+) TAACAATGGGA >hg38_chr3:56005623-56005633(+) TAACAATGACT >hg38_chr3:56020162-56020172(+) Agacaatggct >hg38_chr3:56025520-56025530(+) atacaaaggcc >hg38_chr3:56042464-56042474(+) AAACACTGGAT >hg38_chr3:56069919-56069929(+) GTACAAAGGAA >hg38_chr3:56092288-56092298(+) AAACAATGCTG >hg38_chr3:56123753-56123763(-) gtacaatgggg >hg38_chr3:56144621-56144631(+) AGACAATAGGG >hg38_chr3:56195949-56195959(+) GGACAAAGGAG >hg38_chr3:56196009-56196019(-) aaacaatgcag >hg38_chr3:56210119-56210129(+) TGACAATAGTT >hg38_chr3:56324117-56324127(+) AAACAATAACT >hg38_chr3:56371198-56371208(+) agacaatagaa >hg38_chr3:56476969-56476979(-) atacaaaggac >hg38_chr3:56526778-56526788(+) agacaatggaa >hg38_chr3:56558413-56558423(-) TAACAATGCCA >hg38_chr3:56586081-56586091(+) GGACAGTGGAA >hg38_chr3:56629508-56629518(+) GTACAATGGTA >hg38_chr3:56676387-56676397(-) AGACAATATAT >hg38_chr3:56680271-56680281(-) caacaatgttt >hg38_chr3:56680311-56680321(+) gaacaatgccc >hg38_chr3:56682349-56682359(+) ACACAACGGCT >hg38_chr3:56731655-56731665(+) tcacaatgcaa >hg38_chr3:56737190-56737200(-) GAACAATCGGG >hg38_chr3:56763491-56763501(+) ctacaataggg >hg38_chr3:56777414-56777424(+) GAACAAAGGAG >hg38_chr3:56813264-56813274(+) AAACAATAGCT >hg38_chr3:56824330-56824340(+) TAACAATAGTA >hg38_chr3:56824400-56824410(-) gcacaatgcTG >hg38_chr3:56856006-56856016(-) AAACAATGAAA >hg38_chr3:56879851-56879861(-) CAACAATGGTG >hg38_chr3:56989057-56989067(-) TCACAATCGGT >hg38_chr3:57017735-57017745(-) GGACAATGTGT >hg38_chr3:57045507-57045517(-) TGACAATGTGA >hg38_chr3:57045516-57045526(-) GGACAATGATG >hg38_chr3:57060573-57060583(-) AAACAAAGGGA >hg38_chr3:57129487-57129497(-) AAACAGTGGTA >hg38_chr3:57130980-57130990(-) GGACAAAGGCG >hg38_chr3:57152217-57152227(-) ACACAAAGGTC >hg38_chr3:57152880-57152890(+) GCACAAAGGAA >hg38_chr3:57158661-57158671(+) GAACAATGGAG >hg38_chr3:57159210-57159220(+) AGATAATGGGC >hg38_chr3:57159481-57159491(+) AGACAATGTGT >hg38_chr3:57160761-57160771(+) AAACAATAGGT >hg38_chr3:57191639-57191649(-) gtacaataagg >hg38_chr3:57191659-57191669(+) ttacaaaggca >hg38_chr3:57200507-57200517(+) ACACAATAGTA >hg38_chr3:57263617-57263627(-) atacaatggag >hg38_chr3:57270518-57270528(-) ATACAATGTAT >hg38_chr3:57270532-57270542(-) ACACAATGCAG >hg38_chr3:57271235-57271245(-) AAACAATGTAC >hg38_chr3:57300392-57300402(+) GAACAATGGAG >hg38_chr3:57397509-57397519(+) GAACAATGGCC >hg38_chr3:57423560-57423570(+) AAACAATGGGA >hg38_chr3:57437253-57437263(-) TTACAATAGGG >hg38_chr3:57442321-57442331(+) taacaatgcat >hg38_chr3:57442326-57442336(-) ccacaatgcat >hg38_chr3:57442370-57442380(+) caacaatagca >hg38_chr3:57442378-57442388(+) gcacaatgggc >hg38_chr3:57456185-57456195(+) GGACAATGGTG >hg38_chr3:57497588-57497598(+) ttacaatgggt >hg38_chr3:57544362-57544372(+) AAACAACGTCG >hg38_chr3:57544843-57544853(+) GCACAATGCAT >hg38_chr3:57545858-57545868(-) ggacaaaggca >hg38_chr3:57545865-57545875(-) atacaaaggac >hg38_chr3:57561126-57561136(-) AGACAATGGGA >hg38_chr3:57583569-57583579(+) CTACAATGACA >hg38_chr3:57586966-57586976(+) AAACTATGGTA >hg38_chr3:57595312-57595322(-) TAACAATATAT >hg38_chr3:57596919-57596929(-) GAACAATGGAG >hg38_chr3:57597693-57597703(-) CGACAATACCT >hg38_chr3:57625821-57625831(-) TGATAATGGTG >hg38_chr3:57664990-57665000(+) agacaaaggca >hg38_chr3:57679687-57679697(-) CAACAATGGAC >hg38_chr3:57692416-57692426(-) GAACAATGCCC >hg38_chr3:57710879-57710889(+) ccacaatgaga >hg38_chr3:57757859-57757869(-) GGACAATGTGG >hg38_chr3:57757872-57757882(-) AAACAATGCCG >hg38_chr3:57791963-57791973(-) ACACAATAAAA >hg38_chr3:57826851-57826861(-) aaacaaagggg >hg38_chr3:57842694-57842704(-) ACACAAAGGAT >hg38_chr3:57842738-57842748(-) AAACAATGAGA >hg38_chr3:57870912-57870922(-) TTACAATAATC >hg38_chr3:57882587-57882597(-) ttataatggca >hg38_chr3:57883312-57883322(+) ggacaatggac >hg38_chr3:57883319-57883329(+) ggacaataggg >hg38_chr3:57896166-57896176(-) GGACAATGCAG >hg38_chr3:57911249-57911259(-) GCACAATGGCC >hg38_chr3:57949182-57949192(+) tgacaatagac >hg38_chr3:57959544-57959554(+) taacaacggcc >hg38_chr3:57970342-57970352(+) taacaatggca >hg38_chr3:58005830-58005840(-) TCACAATAGGG >hg38_chr3:58039740-58039750(-) CAACAATGAAA >hg38_chr3:58064036-58064046(-) AGATAATGGCG >hg38_chr3:58064703-58064713(-) TGATAATGGAC >hg38_chr3:58102018-58102028(-) ACACAATATAA >hg38_chr3:58260302-58260312(+) ACACAATGCTC >hg38_chr3:58335306-58335316(-) AGACAATGCTA >hg38_chr3:58383728-58383738(-) AAACAATGGTT >hg38_chr3:58389107-58389117(-) AGACAATGAGA >hg38_chr3:58391948-58391958(+) ATACAGTGGAT >hg38_chr3:58414663-58414673(+) gcacaatgcct >hg38_chr3:58452370-58452380(-) ggacaatgaga >hg38_chr3:58624393-58624403(+) CAACAATGTCT >hg38_chr3:58625803-58625813(+) CAACAATAGCA >hg38_chr3:58637620-58637630(+) GGACAATGCCC >hg38_chr3:58734926-58734936(+) AGATAATGGGA >hg38_chr3:58767188-58767198(+) TAACAATAAAT >hg38_chr3:58780344-58780354(+) ccacaatggta >hg38_chr3:58780376-58780386(+) gaacaaaggat >hg38_chr3:58780392-58780402(+) aaacaacggaa >hg38_chr3:58783944-58783954(-) gcataatggga >hg38_chr3:58783971-58783981(+) acacaatagtt >hg38_chr3:58784025-58784035(-) aaacaataggt >hg38_chr3:58813851-58813861(+) GAACAGTGGAA >hg38_chr3:58825501-58825511(-) TATCAATGGAC >hg38_chr3:58829841-58829851(-) TTACAAAGGGC >hg38_chr3:58833514-58833524(+) ccacaatgaga >hg38_chr3:58833548-58833558(+) ttacaataaaa >hg38_chr3:58833562-58833572(+) agacaataaca >hg38_chr3:58835190-58835200(+) ctacaaaggga >hg38_chr3:58841272-58841282(+) AAACAATGGGG >hg38_chr3:58866177-58866187(-) TTACAAAGGCA >hg38_chr3:58897327-58897337(-) acacaatggca >hg38_chr3:58897376-58897386(-) taacaatacta >hg38_chr3:58963279-58963289(-) ggactatggac >hg38_chr3:59041128-59041138(+) GCACAATGGCC >hg38_chr3:59043580-59043590(+) gaacaatgcca >hg38_chr3:59047031-59047041(+) caacaatgtca >hg38_chr3:59054106-59054116(+) TTACAATAGCA >hg38_chr3:59093693-59093703(+) tgacaatagcc >hg38_chr3:59109039-59109049(+) acacaatagac >hg38_chr3:59109064-59109074(+) ttacaatatag >hg38_chr3:59123899-59123909(+) atacaataggt >hg38_chr3:59127211-59127221(-) TAACAATGCTG >hg38_chr3:59142775-59142785(+) CAACAATGGCA >hg38_chr3:59153864-59153874(-) GCACAATAGAC >hg38_chr3:59160260-59160270(+) TTACAAAGGAG >hg38_chr3:59185805-59185815(+) agacaatgtca >hg38_chr3:59244561-59244571(-) AGACAATGCCA >hg38_chr3:59246789-59246799(+) CAACAATGCAG >hg38_chr3:59249186-59249196(-) acacaatgggc >hg38_chr3:59249202-59249212(+) gcacaatgctg >hg38_chr3:59290061-59290071(-) AAACAATAGCA >hg38_chr3:59302673-59302683(-) GGACAATATAT >hg38_chr3:59302682-59302692(-) TGACAATGTGG >hg38_chr3:59315728-59315738(+) GCACAATGGAA >hg38_chr3:59327376-59327386(-) atacaatggtg >hg38_chr3:59451110-59451120(-) AAACAATGTAC >hg38_chr3:59486938-59486948(+) TAATAATGATA >hg38_chr3:59513884-59513894(-) AAACAATGAAA >hg38_chr3:59535667-59535677(+) TAACAATCGAC >hg38_chr3:59540501-59540511(+) AGACAAAGGAT >hg38_chr3:59560385-59560395(+) tcacaatgcag >hg38_chr3:59574126-59574136(+) ggacaaaggga >hg38_chr3:59596049-59596059(-) TAATAATGGCT >hg38_chr3:59738666-59738676(-) gaacaatagaa >hg38_chr3:59738712-59738722(-) tcacaatgtaa >hg38_chr3:59738915-59738925(-) agacaatataa >hg38_chr3:59738937-59738947(-) caacaaaggac >hg38_chr3:59745696-59745706(+) AAACAATGGGT >hg38_chr3:59745705-59745715(-) TGACAAAGGAC >hg38_chr3:59750688-59750698(+) CAACAATAGGG >hg38_chr3:59809826-59809836(-) GAACAATGTGA >hg38_chr3:59809837-59809847(-) GAACAAAGGAG >hg38_chr3:59834131-59834141(+) gcacaatgcaa >hg38_chr3:59850717-59850727(+) GAACAATGACT >hg38_chr3:59906163-59906173(+) GTACAATGCTC >hg38_chr3:59923575-59923585(-) GTACAATAGAG >hg38_chr3:59937322-59937332(+) GAACAAAGGAC >hg38_chr3:59962644-59962654(-) TAACAATGGAA >hg38_chr3:60105138-60105148(-) AAACAATAACC >hg38_chr3:60146346-60146356(+) taacaataggc >hg38_chr3:60146354-60146364(-) atacaatagcc >hg38_chr3:60240725-60240735(-) AAACAAAGGAG >hg38_chr3:60440100-60440110(-) GGACAATGCGC >hg38_chr3:60532469-60532479(+) GAACAATAGCT >hg38_chr3:60543076-60543086(+) GAACAAAGGTC >hg38_chr3:60543138-60543148(+) acacaatgtct >hg38_chr3:60552192-60552202(-) atacaatgggg >hg38_chr3:60555827-60555837(-) GAACAATGGAA >hg38_chr3:60571703-60571713(+) TTACAATAGAG >hg38_chr3:60602212-60602222(-) tgacaatgctg >hg38_chr3:60629991-60630001(-) TAACAAAGGAA >hg38_chr3:60660216-60660226(+) caacaatggaa >hg38_chr3:60660237-60660247(+) caacaatggcc >hg38_chr3:60660262-60660272(-) ttacaaaggtg >hg38_chr3:60669193-60669203(+) GTACAATGGAA >hg38_chr3:60669884-60669894(+) AAACAATGGAT >hg38_chr3:60670426-60670436(-) ACACAAAGGAG >hg38_chr3:60670454-60670464(-) ACACAGTGGAT >hg38_chr3:60674852-60674862(+) agacaatgacc >hg38_chr3:60708945-60708955(-) AAACAAAGGCA >hg38_chr3:60743971-60743981(+) GAACAATGACT >hg38_chr3:60756284-60756294(-) GTACAATACTC >hg38_chr3:60756322-60756332(-) GGACAATGTGA >hg38_chr3:60756926-60756936(+) AAACTATGGAC >hg38_chr3:60756945-60756955(-) GAACAATGCCC >hg38_chr3:60770777-60770787(-) gaacaatgtcc >hg38_chr3:60772625-60772635(-) ttacaaaggca >hg38_chr3:60798128-60798138(+) agacaatgcat >hg38_chr3:60873491-60873501(+) CGACAAAGGGC >hg38_chr3:60894216-60894226(-) TAACAATAGCC >hg38_chr3:60980205-60980215(-) GCACAATGATT >hg38_chr3:61009180-61009190(-) ACACAATGGGA >hg38_chr3:61009199-61009209(+) AAACAACGGCT >hg38_chr3:61014263-61014273(-) TAACAATATGT >hg38_chr3:61030626-61030636(+) atacaataaac >hg38_chr3:61056462-61056472(+) caacaatgcca >hg38_chr3:61080841-61080851(+) AAACAATGAGA >hg38_chr3:61094819-61094829(+) ggacaaaggga >hg38_chr3:61094842-61094852(+) tcacaatggag >hg38_chr3:61105887-61105897(+) TTACAATGGTA >hg38_chr3:61141350-61141360(+) TGATAATGGAC >hg38_chr3:61141918-61141928(+) CTACAATGGGT >hg38_chr3:61172442-61172452(+) acacaatggtt >hg38_chr3:61173451-61173461(-) TAACAATAATA >hg38_chr3:61193000-61193010(-) AGACAAAGGGA >hg38_chr3:61222629-61222639(+) AAACAAAGGAT >hg38_chr3:61225481-61225491(+) ACACAATAGAC >hg38_chr3:61225511-61225521(+) AAACAAAGGCA >hg38_chr3:61247202-61247212(-) GGACAAAGGAA >hg38_chr3:61278175-61278185(+) GCACAATGGAG >hg38_chr3:61295631-61295641(+) GTACAAAGGGA >hg38_chr3:61295648-61295658(+) TAACAAAggac >hg38_chr3:61295669-61295679(+) gtacaatggag >hg38_chr3:61303903-61303913(+) ATACAATGCAG >hg38_chr3:61313580-61313590(+) AAACAAAGGCT >hg38_chr3:61316554-61316564(-) ACACAATGACC >hg38_chr3:61332363-61332373(-) taacaatggac >hg38_chr3:61405510-61405520(+) GAACAATAATA >hg38_chr3:61454219-61454229(-) GCACAATGAGA >hg38_chr3:61465558-61465568(+) aaacaatagct >hg38_chr3:61514534-61514544(+) GGACAATGCAG >hg38_chr3:61522260-61522270(+) gaacaaaggca >hg38_chr3:61572141-61572151(-) AGATAATGGAA >hg38_chr3:61682733-61682743(-) gaacaaaggat >hg38_chr3:61686346-61686356(-) GAACAATGGTA >hg38_chr3:61699712-61699722(+) TCACAATAGAG >hg38_chr3:61719128-61719138(-) ATACAATGTCT >hg38_chr3:61719168-61719178(+) TTACAATGGAG >hg38_chr3:61740642-61740652(+) TTACAATGCTT >hg38_chr3:61740869-61740879(-) ATACAATAGAT >hg38_chr3:61740934-61740944(+) TTACAAAGGAA >hg38_chr3:61796559-61796569(-) CCACAATGGTC >hg38_chr3:61808074-61808084(-) TAACAATATCA >hg38_chr3:61810182-61810192(+) GAACAATACGA >hg38_chr3:61839071-61839081(-) TTACAATATTA >hg38_chr3:61848828-61848838(+) gcacaatgcac >hg38_chr3:61848851-61848861(+) ttacaataaat >hg38_chr3:61849009-61849019(-) GAACAATGTTT >hg38_chr3:61849055-61849065(+) GTACACTGGGC >hg38_chr3:61858245-61858255(+) CAACAATAGGT >hg38_chr3:61872204-61872214(+) AGACAATAAGA >hg38_chr3:61927496-61927506(+) GTACTATGGAA >hg38_chr3:61932605-61932615(-) ctacaatgcta >hg38_chr3:61947058-61947068(-) GAACGATGGGC >hg38_chr3:61947072-61947082(+) TAACAATAGCC >hg38_chr3:62044963-62044973(-) CCACAATGCTA >hg38_chr3:62045145-62045155(-) CAACAATGGAC >hg38_chr3:62063864-62063874(-) CCACAATGAAA >hg38_chr3:62084095-62084105(-) AAACAATGTCA >hg38_chr3:62110985-62110995(-) AAACAATGACA >hg38_chr3:62119125-62119135(+) ttacaatgaag >hg38_chr3:62129504-62129514(-) ttacaatgcag >hg38_chr3:62142781-62142791(+) AAACAAAGGGG >hg38_chr3:62144110-62144120(+) caacaatggca >hg38_chr3:62164563-62164573(+) TGACAATATAA >hg38_chr3:62234275-62234285(+) aaacaatcgtg >hg38_chr3:62242984-62242994(+) TCACAATAGCC >hg38_chr3:62244939-62244949(-) AAACAATAGAA >hg38_chr3:62257095-62257105(+) TCACAATAGGA >hg38_chr3:62260643-62260653(+) TTACAATGCAT >hg38_chr3:62267771-62267781(+) AAACACTGGAA >hg38_chr3:62267780-62267790(-) TCACAATGATT >hg38_chr3:62277901-62277911(-) GTACAATTGAA >hg38_chr3:62293795-62293805(-) AAACAATGCTA >hg38_chr3:62294031-62294041(+) GGACAATGTGT >hg38_chr3:62332188-62332198(+) ACACAATGGGA >hg38_chr3:62343875-62343885(+) taacaatgcaa >hg38_chr3:62343896-62343906(+) atacaatgata >hg38_chr3:62343904-62343914(+) atacaatgaca >hg38_chr3:62343910-62343920(-) acacaatgtca >hg38_chr3:62345219-62345229(-) taacaataaag >hg38_chr3:62365443-62365453(-) GCACAATGAGC >hg38_chr3:62421449-62421459(+) GTACAAAGGCG >hg38_chr3:62422856-62422866(-) TAACAATGCTC >hg38_chr3:62422875-62422885(-) ACACAGTGGTA >hg38_chr3:62439407-62439417(+) AAACAATGACA >hg38_chr3:62493197-62493207(-) GCACAATGATT >hg38_chr3:62496631-62496641(+) taacaatgacc >hg38_chr3:62496642-62496652(-) taacaatagat >hg38_chr3:62501058-62501068(-) AGATAATGGAG >hg38_chr3:62538918-62538928(+) TCACAATGGCT >hg38_chr3:62612103-62612113(+) TTACAATGTCA >hg38_chr3:62612795-62612805(-) GAACAAAGGAG >hg38_chr3:62612851-62612861(-) tcactatggat >hg38_chr3:62619641-62619651(+) AGACAATAGCA >hg38_chr3:62619661-62619671(-) AAACAATGGTC >hg38_chr3:62622804-62622814(+) TGACAATAGCC >hg38_chr3:62727646-62727656(+) AAACAATAATA >hg38_chr3:62739120-62739130(+) atacagtggaa >hg38_chr3:62758106-62758116(-) GTACAAAGGAA >hg38_chr3:62761202-62761212(-) caacaaTAGTT >hg38_chr3:62790814-62790824(-) TCACAATGTGA >hg38_chr3:62805960-62805970(+) aaactatgggc >hg38_chr3:62857145-62857155(+) TAACAATGGAT >hg38_chr3:62857193-62857203(+) AGACAATAAAT >hg38_chr3:62874520-62874530(-) GAACAATGGGA >hg38_chr3:62971701-62971711(-) GGACAATGAGA >hg38_chr3:62980677-62980687(+) atacaatagga >hg38_chr3:62995421-62995431(-) tgacaatagga >hg38_chr3:62995464-62995474(-) taacaatagac >hg38_chr3:63005466-63005476(-) GTACAATGAAG >hg38_chr3:63015848-63015858(+) agacaataggc >hg38_chr3:63042149-63042159(+) ACACAATGGCT >hg38_chr3:63042207-63042217(-) GGACAATGTTC >hg38_chr3:63044022-63044032(-) GCACAATGGAG >hg38_chr3:63052295-63052305(-) GTACAATGTCT >hg38_chr3:63059828-63059838(-) CCACAATGACG >hg38_chr3:63076661-63076671(-) TAACACTGGGA >hg38_chr3:63130768-63130778(+) AAACAATGAAA >hg38_chr3:63145787-63145797(+) ccacaatgaac >hg38_chr3:63187370-63187380(-) aaacaataaac >hg38_chr3:63193787-63193797(-) ATACAATGAAT >hg38_chr3:63234478-63234488(-) AAACAATGAAG >hg38_chr3:63239689-63239699(-) TCACAATGGTG >hg38_chr3:63239720-63239730(-) TGACAATGGTA >hg38_chr3:63243508-63243518(+) GAACAATGGGA >hg38_chr3:63243522-63243532(+) AGACAATGGAA >hg38_chr3:63287868-63287878(+) TGATAATGGTG >hg38_chr3:63299670-63299680(+) GAACAATGGGT >hg38_chr3:63413695-63413705(-) AAACAATAGCT >hg38_chr3:63413703-63413713(-) AGACAATAAAA >hg38_chr3:63499857-63499867(-) ggacaatgaat >hg38_chr3:63500452-63500462(+) gtacaatatca >hg38_chr3:63510319-63510329(+) GAACAATGGAA >hg38_chr3:63510336-63510346(+) AAACAATGCTG >hg38_chr3:63544843-63544853(+) gtacaatatac >hg38_chr3:63556735-63556745(-) TTACAATGAGT >hg38_chr3:63576884-63576894(+) ggacaaaggtg >hg38_chr3:63634461-63634471(+) ATACAATGTTG >hg38_chr3:63642794-63642804(+) gcacaatgcaa >hg38_chr3:63642809-63642819(+) tcacaatagtg >hg38_chr3:63691463-63691473(+) tcacaatgttt >hg38_chr3:63751574-63751584(-) AGATAATGGGG >hg38_chr3:63751622-63751632(+) GAACAATGTGT >hg38_chr3:63753756-63753766(+) GTACCATGGAC >hg38_chr3:63766751-63766761(+) TCACAATGAAG >hg38_chr3:63855699-63855709(-) TAACAATGATG >hg38_chr3:63857536-63857546(-) ACACAATGGGC >hg38_chr3:63858341-63858351(-) TAATAATGTAA >hg38_chr3:63940558-63940568(+) taataatagta >hg38_chr3:63952130-63952140(-) AAACAATGAAT >hg38_chr3:63970122-63970132(-) ACACAATGAAT >hg38_chr3:63976748-63976758(+) gcacaatgcaa >hg38_chr3:64006929-64006939(+) acataatggcc >hg38_chr3:64007567-64007577(-) CCACAATGGCA >hg38_chr3:64010559-64010569(+) CAACAATGCAG >hg38_chr3:64010595-64010605(-) TCACAATGTGC >hg38_chr3:64035261-64035271(+) acacaatgaca >hg38_chr3:64049931-64049941(-) TCACAATGGGA >hg38_chr3:64062497-64062507(+) TCACTATGGAT >hg38_chr3:64063581-64063591(+) GAACAATAGTT >hg38_chr3:64063629-64063639(-) GGACAATGCAA >hg38_chr3:64070310-64070320(-) gaacaaaggtt >hg38_chr3:64094979-64094989(-) TGACAAAGGAA >hg38_chr3:64098126-64098136(+) ACACAATGGCA >hg38_chr3:64109759-64109769(+) CAACAATAGCG >hg38_chr3:64132376-64132386(-) ggacaataaat >hg38_chr3:64143617-64143627(+) agacaatggtg >hg38_chr3:64161600-64161610(-) TTACAATGTCC >hg38_chr3:64161899-64161909(+) TTACAATGCTT >hg38_chr3:64176616-64176626(-) CAACAATGTCC >hg38_chr3:64176650-64176660(-) AAACAAAGGTT >hg38_chr3:64187321-64187331(-) GAACAATGCTG >hg38_chr3:64215446-64215456(-) TTACAATGGGA >hg38_chr3:64270384-64270394(-) ctacaaaggtc >hg38_chr3:64300953-64300963(+) ggacaatgggg >hg38_chr3:64311443-64311453(+) AAACAATGCCT >hg38_chr3:64311478-64311488(+) TAACAATAGAG >hg38_chr3:64312422-64312432(+) gtacaatggaa >hg38_chr3:64313941-64313951(+) aaacaatgggt >hg38_chr3:64313996-64314006(+) caacaatagat >hg38_chr3:64314153-64314163(-) gtacaatagat >hg38_chr3:64352290-64352300(+) GAACAAAGGAG >hg38_chr3:64352349-64352359(+) ATACAAAGGGT >hg38_chr3:64385435-64385445(+) AAACAATGAGC >hg38_chr3:64406543-64406553(+) GAACAAAGGTA >hg38_chr3:64431693-64431703(-) ACACAATGACA >hg38_chr3:64546915-64546925(-) AAACAAAGGCT >hg38_chr3:64575788-64575798(+) agacaatgcat >hg38_chr3:64578150-64578160(-) TGATAATGGTT >hg38_chr3:64583917-64583927(+) CAACAATGAAG >hg38_chr3:64583935-64583945(+) TTACAATGAAC >hg38_chr3:64587884-64587894(+) TAACAAAGGGA >hg38_chr3:64640446-64640456(-) ATACAATAGTT >hg38_chr3:64642684-64642694(-) CAACAATGGCA >hg38_chr3:64642736-64642746(+) GGACAAAGGAA >hg38_chr3:64645410-64645420(-) ACACAATGTTA >hg38_chr3:64668996-64669006(+) TCACAATGTCC >hg38_chr3:64682022-64682032(+) CCACAATGCAT >hg38_chr3:64726372-64726382(+) CCACAATGTGT >hg38_chr3:64740786-64740796(+) atacaatggta >hg38_chr3:64748626-64748636(-) TGACAATGAGA >hg38_chr3:64822378-64822388(+) GTACAATACAC >hg38_chr3:64827282-64827292(-) tcacaataGTT >hg38_chr3:64827343-64827353(+) gtacaatattc >hg38_chr3:64827351-64827361(-) atacaaaggaa >hg38_chr3:64906059-64906069(+) TAACAAAGGCA >hg38_chr3:64917590-64917600(-) ATATAATGGGt >hg38_chr3:64917619-64917629(+) ATACAATGGAA >hg38_chr3:64917657-64917667(+) ACACAAAGGGA >hg38_chr3:64921931-64921941(-) ACACAATAGCA >hg38_chr3:64926446-64926456(+) GAACAATGGGC >hg38_chr3:64945244-64945254(-) AGACAATGTCA >hg38_chr3:64954297-64954307(+) taataatggat >hg38_chr3:64995642-64995652(+) AAACAATGATT >hg38_chr3:64995683-64995693(-) acacaatgtga >hg38_chr3:65042843-65042853(+) GAACAATGTGG >hg38_chr3:65083854-65083864(+) CCACAATGCAT >hg38_chr3:65086575-65086585(+) GAACAATGATA >hg38_chr3:65108056-65108066(+) GGACAATGAGG >hg38_chr3:65126948-65126958(-) GTACTATGGTA >hg38_chr3:65145162-65145172(-) ATACACTGGTA >hg38_chr3:65154071-65154081(+) GGACAATGCAC >hg38_chr3:65196923-65196933(-) ACACAATGCTG >hg38_chr3:65200416-65200426(+) ACACAACGGCA >hg38_chr3:65248277-65248287(-) GGACAATGCAC >hg38_chr3:65309056-65309066(+) agacaatagat >hg38_chr3:65312373-65312383(+) TTACAATGTAA >hg38_chr3:65334507-65334517(-) TTACTATGGAA >hg38_chr3:65339301-65339311(+) ACACAATGCAC >hg38_chr3:65348767-65348777(+) taacaattgcg >hg38_chr3:65382212-65382222(-) gcacaatgtct >hg38_chr3:65401103-65401113(+) AAACAATAGCA >hg38_chr3:65424066-65424076(+) CAACAATGAAG >hg38_chr3:65436771-65436781(+) tcacaatgaga >hg38_chr3:65440520-65440530(-) AGACAATGTCA >hg38_chr3:65440536-65440546(+) ATACAATGCCA >hg38_chr3:65464723-65464733(-) taacgatgggg >hg38_chr3:65479041-65479051(+) AAACAAAGGAG >hg38_chr3:65482380-65482390(-) aaacaatgtag >hg38_chr3:65508734-65508744(-) CAACAAAGGTA >hg38_chr3:65532308-65532318(+) acacaatggct >hg38_chr3:65546318-65546328(+) AAACAATACGT >hg38_chr3:65546326-65546336(-) AAATAATGACG >hg38_chr3:65546354-65546364(-) TTACAATAGAG >hg38_chr3:65546372-65546382(+) CTACAATGTAC >hg38_chr3:65552075-65552085(-) AAACAATGAAT >hg38_chr3:65559043-65559053(+) TCACAATAGGT >hg38_chr3:65587871-65587881(+) ACACAATGAAA >hg38_chr3:65593633-65593643(+) TTACAATAGCA >hg38_chr3:65594541-65594551(-) CAACAATGCCA >hg38_chr3:65595084-65595094(-) TTACAAAGGAG >hg38_chr3:65597432-65597442(-) GAACAATGGGG >hg38_chr3:65602022-65602032(+) ccacaatgaca >hg38_chr3:65607526-65607536(-) taacaatgcct >hg38_chr3:65614290-65614300(-) GCACAATGGCG >hg38_chr3:65615162-65615172(+) TCACAATGTCA >hg38_chr3:65615192-65615202(+) CTACAATGGAG >hg38_chr3:65672052-65672062(-) GCATAATGGGA >hg38_chr3:65676846-65676856(-) ACACAATAGTG >hg38_chr3:65725505-65725515(-) AGACAATGGAA >hg38_chr3:65748584-65748594(-) aaacaatggaA >hg38_chr3:65751367-65751377(-) ACACAATGGGA >hg38_chr3:65751412-65751422(-) AGACAAAGGGA >hg38_chr3:65762546-65762556(-) GAACAATGAGT >hg38_chr3:65816964-65816974(+) GAATAATGGAG >hg38_chr3:65832980-65832990(+) ctacaatggtg >hg38_chr3:65846390-65846400(+) AGACAATGGAT >hg38_chr3:65883708-65883718(-) GAACAGTGGGA >hg38_chr3:65891677-65891687(+) TGACAATAGCC >hg38_chr3:65894716-65894726(+) ACACAATGAAG >hg38_chr3:65928771-65928781(+) CCACAATGAGA >hg38_chr3:65947118-65947128(-) TAACAATGAGG >hg38_chr3:65985707-65985717(+) GAACAATGGAA >hg38_chr3:66018890-66018900(-) GAACAATGGAG >hg38_chr3:66036317-66036327(-) TGACAATGCGT >hg38_chr3:66038833-66038843(+) ATACAAAGGCT >hg38_chr3:66099705-66099715(+) AAACAATAGGC >hg38_chr3:66104622-66104632(-) acacaatggag >hg38_chr3:66108343-66108353(+) TAACAAAGGGG >hg38_chr3:66201062-66201072(+) AGACAATGGAC >hg38_chr3:66201090-66201100(-) AAACAATGATT >hg38_chr3:66207528-66207538(-) ATACAATGTTG >hg38_chr3:66207559-66207569(+) ATACAATGCTT >hg38_chr3:66207943-66207953(-) GTATAATGGAT >hg38_chr3:66221357-66221367(-) AGACAATGACT >hg38_chr3:66270501-66270511(-) AAACAATGGAC >hg38_chr3:66270955-66270965(-) CAACAATGGAA >hg38_chr3:66329463-66329473(-) TCACAATGTTT >hg38_chr3:66336395-66336405(+) AGACAATGGAA >hg38_chr3:66340687-66340697(-) aaacaatgaaa >hg38_chr3:66341209-66341219(-) caacaatgtat >hg38_chr3:66348935-66348945(+) GAACAATGAAG >hg38_chr3:66442309-66442319(+) ACACAATAGGC >hg38_chr3:66457448-66457458(+) GCACAATGGTA >hg38_chr3:66471364-66471374(+) GCACAATAGCC >hg38_chr3:66484334-66484344(+) atacaatggtg >hg38_chr3:66491196-66491206(+) AAACAATAGTT >hg38_chr3:66495303-66495313(+) AGACAATGCCA >hg38_chr3:66495331-66495341(-) TTACAATGGAT >hg38_chr3:66495763-66495773(-) TAACAATGCAC >hg38_chr3:66496920-66496930(+) CCACAATGGCA >hg38_chr3:66521032-66521042(+) GTACAAAGGAC >hg38_chr3:66521076-66521086(+) GAATAATGTAC >hg38_chr3:66536045-66536055(+) AGACAATGCAT >hg38_chr3:66539104-66539114(-) AAACAAtgcat >hg38_chr3:66543602-66543612(-) acacaatagtg >hg38_chr3:66558763-66558773(+) TAACAATGACA >hg38_chr3:66572332-66572342(+) atacaatggag >hg38_chr3:66573036-66573046(-) taacaaagggt >hg38_chr3:66583751-66583761(+) GAACAATGGCA >hg38_chr3:66592232-66592242(+) AAACAAAGGAA >hg38_chr3:66592690-66592700(+) TGACAATGCAT >hg38_chr3:66597561-66597571(+) tcacaatgact >hg38_chr3:66603279-66603289(-) ATACAATGCCA >hg38_chr3:66621906-66621916(-) TAACAAAGGAC >hg38_chr3:66655936-66655946(+) CAACAATAGAG >hg38_chr3:66673256-66673266(+) CTACAAAGGTA >hg38_chr3:66680008-66680018(-) gaacaatgcac >hg38_chr3:66748648-66748658(-) TCATAATGGCA >hg38_chr3:66778403-66778413(+) ACACAATGCCA >hg38_chr3:66798375-66798385(+) GGACAATGATT >hg38_chr3:66820440-66820450(+) GTACAAAGGCT >hg38_chr3:66820469-66820479(+) AGACAATGGGG >hg38_chr3:66879434-66879444(-) TTACAAAGGAA >hg38_chr3:66879498-66879508(-) aaacaataaat >hg38_chr3:66927141-66927151(+) taacaatgctg >hg38_chr3:66930665-66930675(+) AGACAAAGGCA >hg38_chr3:66939024-66939034(-) TGATAATGGGT >hg38_chr3:66952157-66952167(+) TAACAATAACA >hg38_chr3:66957584-66957594(-) ctacaatgagt >hg38_chr3:66966765-66966775(-) ACACAAAGGTT >hg38_chr3:66988706-66988716(+) gaacaaaggca >hg38_chr3:67009192-67009202(-) GTACTATGGCC >hg38_chr3:67010758-67010768(+) GCACAATGGGT >hg38_chr3:67013422-67013432(-) aaacaataacc >hg38_chr3:67032126-67032136(-) acacaaaggac >hg38_chr3:67086065-67086075(+) acacaaaggaa >hg38_chr3:67086111-67086121(+) agacaatcgga >hg38_chr3:67122178-67122188(-) AAACAATAGCA >hg38_chr3:67145856-67145866(-) CAACAATGTTC >hg38_chr3:67162197-67162207(+) ggacaatgcaa >hg38_chr3:67228742-67228752(+) TTACAATGCCA >hg38_chr3:67228798-67228808(+) AGACAATGGAG >hg38_chr3:67228822-67228832(+) CGATAATGAGA >hg38_chr3:67302820-67302830(-) GGACAATGCGG >hg38_chr3:67302834-67302844(+) TCACAATGGTG >hg38_chr3:67384435-67384445(-) agacaataggt >hg38_chr3:67384461-67384471(+) ctacaatggca >hg38_chr3:67432059-67432069(-) GGACAAAGGGA >hg38_chr3:67432119-67432129(+) TAACAATGGGA >hg38_chr3:67434880-67434890(-) GTACAATGTAT >hg38_chr3:67497201-67497211(-) ATACAATAGAA >hg38_chr3:67526271-67526281(+) taacaatagct >hg38_chr3:67526481-67526491(+) ccacaatgggg >hg38_chr3:67532831-67532841(+) TAACAATGCTT >hg38_chr3:67556221-67556231(+) ggacaatcgcc >hg38_chr3:67660655-67660665(-) GCACAATGACC >hg38_chr3:67793296-67793306(-) taacaataggt >hg38_chr3:67793327-67793337(-) Tgacaatgata >hg38_chr3:67846723-67846733(+) agacaatggga >hg38_chr3:67864049-67864059(-) atacaatggaa >hg38_chr3:67901898-67901908(-) GCACAATGGAA >hg38_chr3:67967289-67967299(-) gtacaatgttc >hg38_chr3:67967320-67967330(-) ggacaatacgt >hg38_chr3:68097904-68097914(-) tgacaatgggg >hg38_chr3:68110723-68110733(+) gtacaatgtga >hg38_chr3:68110745-68110755(+) aaactatggct >hg38_chr3:68111254-68111264(+) aaataatggaa >hg38_chr3:68111272-68111282(+) gaacaatgagc >hg38_chr3:68166427-68166437(+) TTACAATGAGC >hg38_chr3:68202339-68202349(-) caacaatggtc >hg38_chr3:68202634-68202644(+) taacaatatac >hg38_chr3:68256846-68256856(-) atacaaaggtt >hg38_chr3:68256860-68256870(+) ccacaatagtc >hg38_chr3:68280320-68280330(-) GATCAATGGTA >hg38_chr3:68280406-68280416(-) TAACAATGAAC >hg38_chr3:68368051-68368061(+) TTACAATGAAA >hg38_chr3:68431458-68431468(+) taacaatgcat >hg38_chr3:68431477-68431487(-) taacaatagta >hg38_chr3:68444411-68444421(-) ggacaatgTGA >hg38_chr3:68497682-68497692(+) TCACAAAGGAC >hg38_chr3:68504471-68504481(-) GAACAATGTGG >hg38_chr3:68504482-68504492(-) AGACAATGTAG >hg38_chr3:68559405-68559415(+) tGACAATGTCA >hg38_chr3:68559431-68559441(-) acacaatgtct >hg38_chr3:68579047-68579057(+) GCACAATGCTG >hg38_chr3:68632008-68632018(-) GTACAAAGGGC >hg38_chr3:68632026-68632036(-) AGACAAAGACG >hg38_chr3:68695333-68695343(+) aaacaaaggaa >hg38_chr3:68695350-68695360(+) tcacaatagaa >hg38_chr3:68695365-68695375(+) aaacaATGCCA >hg38_chr3:68700759-68700769(-) AAACAATGACA >hg38_chr3:68726708-68726718(+) AAACTATGGAT >hg38_chr3:68750862-68750872(-) GTACAGTGGAG >hg38_chr3:68764801-68764811(+) TCACAATGAGT >hg38_chr3:68819500-68819510(-) gaacaatagag >hg38_chr3:68923656-68923666(+) TCACAATGAAC >hg38_chr3:68960960-68960970(+) AAACAATAGTT >hg38_chr3:68964455-68964465(+) TAACAATGTAA >hg38_chr3:68990759-68990769(-) CTACAATGCAT >hg38_chr3:69009681-69009691(-) CAACAATAGGC >hg38_chr3:69010853-69010863(+) agacaatgttc >hg38_chr3:69027906-69027916(-) AAACAATAAAA >hg38_chr3:69031037-69031047(+) atactatggaa >hg38_chr3:69031374-69031384(+) tgactatggta >hg38_chr3:69044134-69044144(+) ATACAATGTTT >hg38_chr3:69057547-69057557(+) AAACAATAACA >hg38_chr3:69057594-69057604(+) gcacaatgtaa >hg38_chr3:69064991-69065001(+) GAACAATAATT >hg38_chr3:69085773-69085783(-) CCACAATGGGA >hg38_chr3:69105463-69105473(-) AAACAATGCAA >hg38_chr3:69111104-69111114(+) TAACAATGACT >hg38_chr3:69165889-69165899(-) acacaatgggc >hg38_chr3:69171063-69171073(+) GAACAATAGCT >hg38_chr3:69171112-69171122(-) GTACAATATCA >hg38_chr3:69178810-69178820(+) taacaataagc >hg38_chr3:69178822-69178832(+) taacaatagca >hg38_chr3:69181810-69181820(+) TTACAATAGGA >hg38_chr3:69181843-69181853(+) GCACAATAGAA >hg38_chr3:69181853-69181863(-) GAACAATGGAT >hg38_chr3:69182195-69182205(-) ttacaaaggag >hg38_chr3:69182217-69182227(+) aaacaatatat >hg38_chr3:69203899-69203909(-) CAACAATGGAA >hg38_chr3:69211924-69211934(-) AGACAATGTAA >hg38_chr3:69220357-69220367(-) atacaatggtg >hg38_chr3:69239312-69239322(-) TGACAAAGGAA >hg38_chr3:69249144-69249154(-) TAACAATGGAT >hg38_chr3:69274183-69274193(+) ATACAATAGCA >hg38_chr3:69289119-69289129(+) GTACAAAGGTG >hg38_chr3:69294137-69294147(+) GAACAATGCTG >hg38_chr3:69294510-69294520(+) CTACAATGTGC >hg38_chr3:69296535-69296545(-) ATACAAAGGCC >hg38_chr3:69340798-69340808(-) TCACAATAGTG >hg38_chr3:69359999-69360009(-) CTACAATGGCC >hg38_chr3:69406271-69406281(+) GAACAATGGCT >hg38_chr3:69421014-69421024(-) CCACAATGGGG >hg38_chr3:69434106-69434116(+) AAACAATGATA >hg38_chr3:69439742-69439752(-) tgacaatgaga >hg38_chr3:69439767-69439777(-) tcacaatagca >hg38_chr3:69491178-69491188(+) AAACAATAGAA >hg38_chr3:69491187-69491197(+) AAACAATAGGT >hg38_chr3:69516993-69517003(-) TAACAATGCTA >hg38_chr3:69517014-69517024(-) AAATAATGGGT >hg38_chr3:69532823-69532833(-) CAACAATGGAT >hg38_chr3:69541410-69541420(+) ACACAAAGgag >hg38_chr3:69542695-69542705(-) ATACAATGACT >hg38_chr3:69559095-69559105(+) GGACAATGGTT >hg38_chr3:69579223-69579233(-) GAATAATGGGT >hg38_chr3:69591609-69591619(-) AAACAATGCAA >hg38_chr3:69595018-69595028(+) CCACAATGACT >hg38_chr3:69595041-69595051(+) CAACAATGGCT >hg38_chr3:69611871-69611881(+) gaacaatggtt >hg38_chr3:69611907-69611917(+) aaacaatagaa >hg38_chr3:69649236-69649246(-) GGACAAAGGAG >hg38_chr3:69649243-69649253(-) CCACAATGGAC >hg38_chr3:69683258-69683268(+) tgacaatggaa >hg38_chr3:69739248-69739258(+) TGACAATGAAT >hg38_chr3:69775946-69775956(-) AAACAATGCAC >hg38_chr3:69787941-69787951(-) gaacaatgcaa >hg38_chr3:69813314-69813324(-) GCATAATGGAA >hg38_chr3:69832595-69832605(-) GTACAATGTCA >hg38_chr3:69832605-69832615(-) AAACAATTGCG >hg38_chr3:69845757-69845767(-) CAACAATGGGT >hg38_chr3:69900489-69900499(-) GAACAAAGACG >hg38_chr3:69906233-69906243(-) atacaaaggca >hg38_chr3:69935786-69935796(+) tcacaatgtat >hg38_chr3:69990462-69990472(-) acacaatgttt >hg38_chr3:69997898-69997908(+) CAACAATGCAA >hg38_chr3:70000720-70000730(-) AAACAATGGTG >hg38_chr3:70001035-70001045(+) GGACAATGAGC >hg38_chr3:70024161-70024171(+) GAACAATGTCT >hg38_chr3:70024190-70024200(+) CTACAATGAGC >hg38_chr3:70056790-70056800(-) ATACAGTGGAA >hg38_chr3:70071903-70071913(+) AGACAATGTTG >hg38_chr3:70112265-70112275(+) agacaatggaa >hg38_chr3:70112281-70112291(+) gcacaatgctg >hg38_chr3:70189503-70189513(+) ATACAATATAG >hg38_chr3:70189581-70189591(-) TTACAATAGAG >hg38_chr3:70191247-70191257(+) GAACAATAAAC >hg38_chr3:70330422-70330432(-) atacaatatag >hg38_chr3:70410836-70410846(+) GGACAATGCAC >hg38_chr3:70413849-70413859(-) TAATAATGGCA >hg38_chr3:70433926-70433936(-) tgacaaaggaa >hg38_chr3:70459681-70459691(+) GAACAATGGTT >hg38_chr3:70471257-70471267(-) aaacaaaggaa >hg38_chr3:70481639-70481649(-) CAACAATGTTT >hg38_chr3:70481974-70481984(+) GTACAATAACC >hg38_chr3:70500483-70500493(+) AAACAAAGGTA >hg38_chr3:70504240-70504250(+) agacaatagct >hg38_chr3:70583277-70583287(+) AAACAAAGGAT >hg38_chr3:70594747-70594757(-) GTACAATACAA >hg38_chr3:70594772-70594782(-) ACACAATGTTC >hg38_chr3:70615528-70615538(-) agacaatggga >hg38_chr3:70646857-70646867(+) ATACAATAGGC >hg38_chr3:70702529-70702539(-) CAACAATGAGT >hg38_chr3:70702567-70702577(-) CTACAAAGGAA >hg38_chr3:70720330-70720340(-) TAACAAAGGGC >hg38_chr3:70727176-70727186(-) AAACAATGTCA >hg38_chr3:70727255-70727265(+) TGACAATGTTT >hg38_chr3:70727776-70727786(-) ACACAATGTTC >hg38_chr3:70728634-70728644(-) GCACAATGGGG >hg38_chr3:70728666-70728676(+) AAACTATGGGG >hg38_chr3:70824261-70824271(-) TGACAATGGTG >hg38_chr3:70840670-70840680(-) AAACAAAGGCA >hg38_chr3:70937347-70937357(-) ttacaaagggg >hg38_chr3:70953829-70953839(+) AAACAATGCTG >hg38_chr3:70963636-70963646(-) AAACAAAGGAA >hg38_chr3:70963697-70963707(-) ACACAATGCCT >hg38_chr3:70970361-70970371(+) GAACAATAGAA >hg38_chr3:70983112-70983122(+) GGACAATGGGT >hg38_chr3:70983126-70983136(-) TAACAAAGGCT >hg38_chr3:70985755-70985765(+) TGACAATGGCA >hg38_chr3:70985781-70985791(-) CAACAATAGGC >hg38_chr3:70999887-70999897(+) TAACAATATAA >hg38_chr3:70999892-70999902(+) ATATAATGTAC >hg38_chr3:71004847-71004857(-) TAACAAAGGAT >hg38_chr3:71032487-71032497(-) AAACAATAGTG >hg38_chr3:71047871-71047881(+) GGACAATGACA >hg38_chr3:71059530-71059540(+) AAACAATGGAA >hg38_chr3:71065732-71065742(+) CAACAATAGCA >hg38_chr3:71069950-71069960(+) GAACAATGCTC >hg38_chr3:71073096-71073106(-) ACACAATGCCT >hg38_chr3:71073111-71073121(+) GAACAATAGGA >hg38_chr3:71079356-71079366(+) gtaccatggta >hg38_chr3:71079357-71079367(-) ataccatggta >hg38_chr3:71102416-71102426(+) TAACAAAGGCC >hg38_chr3:71103242-71103252(-) TAACTATGGGT >hg38_chr3:71104357-71104367(-) CCACAATGAGG >hg38_chr3:71114166-71114176(+) GCATAATGGAC >hg38_chr3:71130097-71130107(+) TTACAAAGGCA >hg38_chr3:71131791-71131801(+) TTACAATAGCA >hg38_chr3:71153948-71153958(-) TCACTATGGAC >hg38_chr3:71153963-71153973(+) GTACAATTGAC >hg38_chr3:71189156-71189166(-) GTACAATGTGC >hg38_chr3:71194639-71194649(+) TCATAATGGAG >hg38_chr3:71206463-71206473(+) AGACAATGGGA >hg38_chr3:71208977-71208987(+) GCACAATGCCA >hg38_chr3:71244783-71244793(+) TTACAATGTCT >hg38_chr3:71245155-71245165(-) AGACAATAAGA >hg38_chr3:71247377-71247387(+) CGACAATGGGA >hg38_chr3:71258172-71258182(+) gaacaaaggtt >hg38_chr3:71304498-71304508(-) TGACAATAGCT >hg38_chr3:71310128-71310138(+) ggacaatggAT >hg38_chr3:71477143-71477153(+) GCACAATGAGG >hg38_chr3:71506001-71506011(+) TAACAATGTGC >hg38_chr3:71512187-71512197(-) GCACAATGTga >hg38_chr3:71524848-71524858(+) AAACAATGGGG >hg38_chr3:71528063-71528073(-) AAACAATAAAC >hg38_chr3:71528112-71528122(+) CAACAATGCAG >hg38_chr3:71528124-71528134(-) CCACAATAGTG >hg38_chr3:71547851-71547861(+) CCACAATGGTC >hg38_chr3:71583743-71583753(+) ACACAATAAAT >hg38_chr3:71583753-71583763(+) TAACAATAGAT >hg38_chr3:71612330-71612340(+) GCACAATAGTC >hg38_chr3:71645216-71645226(+) gaacaaaggaa >hg38_chr3:71654173-71654183(+) GAACAAAGGCA >hg38_chr3:71677303-71677313(-) AGACGATGGTT >hg38_chr3:71679583-71679593(+) ATACAATGGAA >hg38_chr3:71689443-71689453(+) AAACAATGGGT >hg38_chr3:71723716-71723726(+) TAACAATAGCA >hg38_chr3:71740368-71740378(+) caacaatagat >hg38_chr3:71771768-71771778(+) TTACAATCGAC >hg38_chr3:71785758-71785768(+) GAACAAAGGGA >hg38_chr3:71816281-71816291(+) AAACAATGACA >hg38_chr3:71817480-71817490(+) GCACAATGGAT >hg38_chr3:71837609-71837619(-) AAACAATGAGA >hg38_chr3:71837648-71837658(-) ATACAATAAGA >hg38_chr3:71852121-71852131(+) GGACAATGCCT >hg38_chr3:71862758-71862768(-) gaacaatggag >hg38_chr3:71864033-71864043(+) ggataatggcc >hg38_chr3:71864056-71864066(+) gcacaatataa >hg38_chr3:71885164-71885174(+) ATACAATGCTC >hg38_chr3:71885848-71885858(+) GTATAATAGCG >hg38_chr3:71973393-71973403(+) tgacaataggc >hg38_chr3:71978279-71978289(-) TCACAATGAGC >hg38_chr3:71979309-71979319(-) taacaatgcct >hg38_chr3:72011637-72011647(-) gaacaatgaga >hg38_chr3:72080095-72080105(+) GGACAATGCCC >hg38_chr3:72145051-72145061(+) GAACAAAGGTC >hg38_chr3:72153276-72153286(+) AAACAATAGCT >hg38_chr3:72193985-72193995(+) TGACAATAGTT >hg38_chr3:72212557-72212567(+) GCACAATGCTT >hg38_chr3:72216454-72216464(+) agacaaaggac >hg38_chr3:72275573-72275583(-) AGACAATGAAT >hg38_chr3:72289337-72289347(+) CCACAAAGGCG >hg38_chr3:72299599-72299609(+) GCACAATAGAG >hg38_chr3:72329483-72329493(+) AAACAATGTGC >hg38_chr3:72329539-72329549(+) TCACAATGACT >hg38_chr3:72335221-72335231(-) TAACAAAGGCC >hg38_chr3:72339097-72339107(+) TGACAATGTAA >hg38_chr3:72369360-72369370(-) taacaaaggct >hg38_chr3:72377166-72377176(-) GAACAAAGGTT >hg38_chr3:72380021-72380031(-) GCACAATGAAT >hg38_chr3:72380036-72380046(-) ACATAATGGTT >hg38_chr3:72380080-72380090(-) GAACAATAGTG >hg38_chr3:72406408-72406418(+) gaacaatgatg >hg38_chr3:72415314-72415324(+) gaacaatagga >hg38_chr3:72420690-72420700(+) acacaatggaa >hg38_chr3:72421340-72421350(+) ttacaatagca >hg38_chr3:72437412-72437422(-) ATACAATACAT >hg38_chr3:72437928-72437938(+) ACACAATGTAC >hg38_chr3:72505176-72505186(+) TAACAATAGTG >hg38_chr3:72510259-72510269(+) GGACAATGGAG >hg38_chr3:72561132-72561142(-) ccacaATGACA >hg38_chr3:72568106-72568116(-) AGACAATGCCT >hg38_chr3:72591475-72591485(-) ATACACTGGAA >hg38_chr3:72661203-72661213(+) CCACAATGGGC >hg38_chr3:72679989-72679999(-) GTACAATAGCC >hg38_chr3:72738598-72738608(-) AAACAATAACC >hg38_chr3:72770073-72770083(-) TAACaataata >hg38_chr3:72770109-72770119(-) TAACAATAGCA >hg38_chr3:72777382-72777392(+) atacaatagac >hg38_chr3:72777847-72777857(+) agacaatggga >hg38_chr3:72810191-72810201(-) TAACAAAGGAC >hg38_chr3:72812853-72812863(+) ACACAATGAAA >hg38_chr3:72820638-72820648(+) CAACAATGCTT >hg38_chr3:72826473-72826483(-) ggacaatgtca >hg38_chr3:72829309-72829319(+) TTACAATAAAA >hg38_chr3:72829354-72829364(+) TTACAATAAAA >hg38_chr3:72829384-72829394(+) ctacaatggaa >hg38_chr3:72849949-72849959(+) TGACAATGAAT >hg38_chr3:72851510-72851520(+) AAACAATGTTA >hg38_chr3:72889491-72889501(-) AAACAAAGGCT >hg38_chr3:72892224-72892234(+) CAACAATGGTT >hg38_chr3:72892503-72892513(+) gtacaatggtg >hg38_chr3:72933299-72933309(-) CTACAATGTGA >hg38_chr3:72957158-72957168(-) AAACAATGTAA >hg38_chr3:73026322-73026332(-) acacaaaggaa >hg38_chr3:73038843-73038853(-) AGACAATAGCT >hg38_chr3:73043883-73043893(-) gtacaatgttt >hg38_chr3:73046776-73046786(-) TTACAATGTTT >hg38_chr3:73052557-73052567(+) CTATAATGGTA >hg38_chr3:73058587-73058597(+) atacaatgcat >hg38_chr3:73058866-73058876(-) AGACAATAAAA >hg38_chr3:73070936-73070946(+) TAACAAAGGAA >hg38_chr3:73101172-73101182(-) GGACAATGGGG >hg38_chr3:73175946-73175956(+) agaTAATGGCA >hg38_chr3:73200893-73200903(-) ATACAATTGAA >hg38_chr3:73201560-73201570(-) AGACAATGGCT >hg38_chr3:73205858-73205868(+) CGACAATGCTT >hg38_chr3:73242733-73242743(+) atacaatggca >hg38_chr3:73300225-73300235(-) TTACAATAATA >hg38_chr3:73326597-73326607(+) GCACAATAGGG >hg38_chr3:73326610-73326620(-) AAATAATGGCA >hg38_chr3:73366023-73366033(-) AGACAATAAAC >hg38_chr3:73438434-73438444(-) TTACAATAGAG >hg38_chr3:73453799-73453809(-) TAACAATGCTT >hg38_chr3:73453851-73453861(-) ATACAATGCAG >hg38_chr3:73481986-73481996(-) GCATaatggaa >hg38_chr3:73505385-73505395(-) AAACAATAACA >hg38_chr3:73505415-73505425(+) ATACACTGGGT >hg38_chr3:73511770-73511780(-) GCACAATGAGG >hg38_chr3:73530216-73530226(+) GAACAATGACC >hg38_chr3:73534247-73534257(+) caacaatgccc >hg38_chr3:73534264-73534274(+) taacaatgaag >hg38_chr3:73582811-73582821(+) ggacaatgaaa >hg38_chr3:73585401-73585411(+) TAACAATAAAA >hg38_chr3:73585432-73585442(+) CTACAATAGGC >hg38_chr3:73594193-73594203(-) AAACAATATAT >hg38_chr3:73600781-73600791(+) GAACAATAGTC >hg38_chr3:73603109-73603119(-) gaacaataagt >hg38_chr3:73635184-73635194(-) caacaatgaaa >hg38_chr3:73647650-73647660(+) agacaatagat >hg38_chr3:73647670-73647680(+) agacaaaggag >hg38_chr3:73674449-73674459(-) ATACAGTGGCC >hg38_chr3:73674487-73674497(-) AAACAATGGTG >hg38_chr3:73675931-73675941(-) TTACAAAGGAG >hg38_chr3:73675955-73675965(-) ACACAATGCAG >hg38_chr3:73710526-73710536(+) GAACAAAGGAG >hg38_chr3:73727229-73727239(+) CGACAATGGAA >hg38_chr3:73774851-73774861(-) atacaataaaa >hg38_chr3:73778074-73778084(+) ACACAATGAGC >hg38_chr3:73781770-73781780(-) GGACAAAGGTG >hg38_chr3:73795657-73795667(-) TAACAATAGCA >hg38_chr3:73801177-73801187(-) aaacaatgaca >hg38_chr3:73841053-73841063(+) gcacaatggaa >hg38_chr3:73853095-73853105(-) ctacaatgcac >hg38_chr3:73863715-73863725(+) tgacaatagca >hg38_chr3:73868927-73868937(-) GAATAATGGTT >hg38_chr3:73875621-73875631(+) TGACAATAGCC >hg38_chr3:73887437-73887447(-) aaacaatgaca >hg38_chr3:73888217-73888227(+) AAACAATGAAT >hg38_chr3:73888231-73888241(+) GAACAATGACT >hg38_chr3:73894932-73894942(-) ATACAATAATA >hg38_chr3:73943965-73943975(+) GTACAATGCAG >hg38_chr3:73954161-73954171(-) ACATAATGGTT >hg38_chr3:73955905-73955915(+) TAACAAAGGTT >hg38_chr3:73955921-73955931(-) GGACAATGAGC >hg38_chr3:74031406-74031416(+) ATACAATAGAC >hg38_chr3:74052361-74052371(-) TGACAATGCAT >hg38_chr3:74052377-74052387(-) TGACAATGCAT >hg38_chr3:74052630-74052640(+) aaacaataaaA >hg38_chr3:74054354-74054364(+) gtacaatagtg >hg38_chr3:74055651-74055661(-) AAACAATAAAC >hg38_chr3:74077676-74077686(-) ATACAATAAAG >hg38_chr3:74103497-74103507(+) ATACAATACTC >hg38_chr3:74166568-74166578(+) TCACAATAGGA >hg38_chr3:74169070-74169080(-) GAACAATAAAT >hg38_chr3:74190627-74190637(+) AAACAATGAAC >hg38_chr3:74210960-74210970(+) GCACAATAGCT >hg38_chr3:74213060-74213070(+) CAACAATGACA >hg38_chr3:74213066-74213076(+) TGACAATGTGA >hg38_chr3:74292911-74292921(-) TGACAATAGAT >hg38_chr3:74304601-74304611(+) GCACAATGGCT >hg38_chr3:74314880-74314890(-) ttactatggct >hg38_chr3:74384003-74384013(-) GGACTATGGCA >hg38_chr3:74403004-74403014(-) tcacaatgcct >hg38_chr3:74414469-74414479(+) AGACAATGTGT >hg38_chr3:74464169-74464179(+) atacaatgaga >hg38_chr3:74468968-74468978(-) TAACAATGGCC >hg38_chr3:74506667-74506677(-) CAACAATGGCA >hg38_chr3:74506695-74506705(+) GTACAATACAC >hg38_chr3:74506957-74506967(+) TAACAAAGGGT >hg38_chr3:74581522-74581532(-) taacaatgatg >hg38_chr3:74601929-74601939(+) aaacaatagag >hg38_chr3:74606723-74606733(-) ATATAATGGCA >hg38_chr3:74612537-74612547(-) AGACAATAACA >hg38_chr3:74618900-74618910(+) ctacaatgtaa >hg38_chr3:74621781-74621791(-) AAACAAAGGAT >hg38_chr3:74624381-74624391(+) gaacaatggaa >hg38_chr3:74636029-74636039(-) acacagtggat >hg38_chr3:74652981-74652991(-) TAACAATGACA >hg38_chr3:74728726-74728736(+) TAACAAAGGTT >hg38_chr3:74756899-74756909(+) gaacaaaggcc >hg38_chr3:74780791-74780801(+) tcacaatgcaa >hg38_chr3:74789191-74789201(-) tcacaatgtcc >hg38_chr3:74832018-74832028(-) ATACAAAGATA >hg38_chr3:74903847-74903857(+) gaactatggcc >hg38_chr3:74998571-74998581(-) TCACAATAGGT >hg38_chr3:75031378-75031388(+) acacaatggtg >hg38_chr3:75049693-75049703(-) GAACAATGTTT >hg38_chr3:75061996-75062006(+) GAACAAAGGGG >hg38_chr3:75062042-75062052(-) CTACAATGTCA >hg38_chr3:75073850-75073860(-) acacaatagaa >hg38_chr3:75084631-75084641(-) ACACAATGACC >hg38_chr3:75085011-75085021(-) CCACAATGATT >hg38_chr3:75101764-75101774(-) ggacaatagta >hg38_chr3:75172595-75172605(+) ATACAATGTGG >hg38_chr3:75177179-75177189(-) taacaaaggaa >hg38_chr3:75202095-75202105(-) atacaatagac >hg38_chr3:75237187-75237197(-) AGACAAAGGCA >hg38_chr3:75265537-75265547(-) CTACAATGGCC >hg38_chr3:75818204-75818214(-) TGACAATGTAT >hg38_chr3:75993762-75993772(+) TGACAATGAGA >hg38_chr3:76011287-76011297(-) caacaatggcg >hg38_chr3:76044039-76044049(-) ATACAATGGTC >hg38_chr3:76061925-76061935(-) gaacaatgttg >hg38_chr3:76061950-76061960(-) tgactatggaa >hg38_chr3:76063197-76063207(-) TAACAATGCAA >hg38_chr3:76094619-76094629(-) AAacaatgggg >hg38_chr3:76199520-76199530(-) gaacaatacgg >hg38_chr3:76199578-76199588(+) ggataatggcc >hg38_chr3:76438916-76438926(+) AAACAAAGGGA >hg38_chr3:76439182-76439192(+) gtactatgcga >hg38_chr3:76494063-76494073(+) ccacaatggct >hg38_chr3:76507593-76507603(+) TAACAATAGCA >hg38_chr3:76515577-76515587(-) ACACAATAGAG >hg38_chr3:76532192-76532202(+) ATACAATAGCT >hg38_chr3:76532389-76532399(-) TGACAATGGTC >hg38_chr3:76532418-76532428(-) AAACAAAGGAC >hg38_chr3:76621241-76621251(-) CCACAATGCAC >hg38_chr3:76645906-76645916(-) ATACAATGGAC >hg38_chr3:76664571-76664581(-) TAACAAAGGTA >hg38_chr3:76684175-76684185(+) ATACCATGGAA >hg38_chr3:76723649-76723659(-) AAACAATAGAA >hg38_chr3:76789545-76789555(-) GTACAATAGGA >hg38_chr3:76858068-76858078(-) gtataatgggg >hg38_chr3:76991762-76991772(-) TAACAATGTAT >hg38_chr3:77041938-77041948(-) CCACAATGCAA >hg38_chr3:77100589-77100599(-) CCACAATGAGT >hg38_chr3:77133345-77133355(-) GGACAATGTGG >hg38_chr3:77133376-77133386(-) TAACAAAGGCA >hg38_chr3:77133399-77133409(+) TAACAATAAGA >hg38_chr3:77146122-77146132(-) AGACAATAGGC >hg38_chr3:77156444-77156454(-) GTACAATAGCA >hg38_chr3:77156469-77156479(-) TCATAATGGTT >hg38_chr3:77200620-77200630(-) TTACAATGGAA >hg38_chr3:77261448-77261458(-) TAACAATATGT >hg38_chr3:77284179-77284189(+) caacaatagag >hg38_chr3:77286941-77286951(-) GTACAAAGGAG >hg38_chr3:77321861-77321871(-) AAACAAAGGGC >hg38_chr3:77329832-77329842(+) GCACAATGACA >hg38_chr3:77329844-77329854(+) AAACAATGGTA >hg38_chr3:77336023-77336033(+) GTAGAATGGAC >hg38_chr3:77342741-77342751(-) ACACAATGAGA >hg38_chr3:77418924-77418934(+) GAATAATGGTT >hg38_chr3:77439061-77439071(+) GGACAATATAT >hg38_chr3:77439068-77439078(-) GGACAATATAT >hg38_chr3:77458978-77458988(-) tcacaatgacc >hg38_chr3:77464247-77464257(-) agataatgggc >hg38_chr3:77489398-77489408(-) TCACAATGAAC >hg38_chr3:77496543-77496553(-) GAACAATGGCC >hg38_chr3:77500853-77500863(+) GAACAATGCTG >hg38_chr3:77536290-77536300(-) AAACAAAGGCA >hg38_chr3:77536535-77536545(-) ATACAATACAT >hg38_chr3:77536552-77536562(-) ATACAATGAAG >hg38_chr3:77537004-77537014(-) CCACAATGTAA >hg38_chr3:77537402-77537412(+) ATACAATGAAT >hg38_chr3:77539240-77539250(-) TAACAATGGGT >hg38_chr3:77539250-77539260(+) ACACAATGTCT >hg38_chr3:77580762-77580772(-) atacaattgaa >hg38_chr3:77642356-77642366(+) GGACAATGGAT >hg38_chr3:77642374-77642384(+) AAACAATGAAC >hg38_chr3:77646386-77646396(-) AGACAATAATA >hg38_chr3:77662238-77662248(-) TGACAATAGTC >hg38_chr3:77668038-77668048(+) TGACAATAGTC >hg38_chr3:77669387-77669397(+) atacaaaggca >hg38_chr3:77687471-77687481(-) GCACAATGAAG >hg38_chr3:77705664-77705674(-) GGACAATGAAG >hg38_chr3:77717886-77717896(+) GGACAATGTCT >hg38_chr3:77792837-77792847(+) tgacaatgttc >hg38_chr3:77799498-77799508(-) ACACAATAGAA >hg38_chr3:77817376-77817386(-) GAACAATGGGG >hg38_chr3:77834033-77834043(+) TGACAATAGCC >hg38_chr3:77915481-77915491(+) gcacaatggat >hg38_chr3:77917366-77917376(-) aaacaatatag >hg38_chr3:77968558-77968568(-) GAACAAAGGGT >hg38_chr3:78020844-78020854(+) AAACAATAAGT >hg38_chr3:78020910-78020920(+) TAACAGTGGGA >hg38_chr3:78028467-78028477(+) agacaataggg >hg38_chr3:78034715-78034725(+) TGACAATGGGT >hg38_chr3:78054501-78054511(-) ATACAATGCCC >hg38_chr3:78065778-78065788(+) TTACAATATAA >hg38_chr3:78065807-78065817(+) GTATAATGTAC >hg38_chr3:78072172-78072182(-) TAACAATGATT >hg38_chr3:78072219-78072229(-) GAACAATGCCC >hg38_chr3:78082353-78082363(+) GAACAAAGACG >hg38_chr3:78101011-78101021(-) taacaataagc >hg38_chr3:78123274-78123284(-) gaacaataaat >hg38_chr3:78123286-78123296(-) aaacaaaggta >hg38_chr3:78137644-78137654(+) CAACAATGGCC >hg38_chr3:78166069-78166079(-) aaacaatgagg >hg38_chr3:78214247-78214257(-) TGACAATGCTG >hg38_chr3:78215766-78215776(+) TAACAATGCTC >hg38_chr3:78258141-78258151(-) taacaaaggct >hg38_chr3:78258365-78258375(+) CAACAATGAAA >hg38_chr3:78285485-78285495(-) TAACAAAGGCT >hg38_chr3:78287497-78287507(-) TAACAATGGCA >hg38_chr3:78287559-78287569(-) GTACAATCGAT >hg38_chr3:78287569-78287579(-) TTACAATGAGG >hg38_chr3:78295880-78295890(-) GAATAATGGTG >hg38_chr3:78301346-78301356(+) TGACAATGTGT >hg38_chr3:78304627-78304637(+) caacaatggac >hg38_chr3:78313158-78313168(+) TTACAATGCAA >hg38_chr3:78337790-78337800(+) TAACAATGAAA >hg38_chr3:78352795-78352805(-) GAACAATGGAA >hg38_chr3:78408303-78408313(-) GTACAGTGGAA >hg38_chr3:78456220-78456230(-) CTACAATGGTG >hg38_chr3:78525919-78525929(+) taataatggta >hg38_chr3:78525968-78525978(-) atacaatgtat >hg38_chr3:78526069-78526079(+) atacaatgtat >hg38_chr3:78526077-78526087(-) taacaataata >hg38_chr3:78526117-78526127(-) gtacaatgtta >hg38_chr3:78553155-78553165(-) gCACAATAGAT >hg38_chr3:78578264-78578274(+) ACACAATatac >hg38_chr3:78579805-78579815(+) cAACAATGGAC >hg38_chr3:78589931-78589941(-) aaacaatgtaa >hg38_chr3:78589940-78589950(-) taacaatgtaa >hg38_chr3:78589963-78589973(-) acataatggga >hg38_chr3:78625321-78625331(+) TGACAAAGGTA >hg38_chr3:78647565-78647575(+) ACACAATAGTG >hg38_chr3:78649104-78649114(-) TTACTATGGCT >hg38_chr3:78701712-78701722(-) TTACAAAGGCC >hg38_chr3:78722001-78722011(+) AAACAATAGCT >hg38_chr3:78725144-78725154(+) CCACAATAGTA >hg38_chr3:78726714-78726724(+) CAACAATGAGA >hg38_chr3:78732821-78732831(+) ATACAATATGA >hg38_chr3:78757813-78757823(+) AAACAAAGGGA >hg38_chr3:78762213-78762223(+) TGACAATGACC >hg38_chr3:78785755-78785765(+) ATATAATGGTG >hg38_chr3:78785773-78785783(-) ACACAAAGGAT >hg38_chr3:78801066-78801076(-) ACACAATAATA >hg38_chr3:78802445-78802455(+) AGACAATGCAA >hg38_chr3:78810629-78810639(-) CAACAATGTAC >hg38_chr3:78810662-78810672(+) TTACAATGGGA >hg38_chr3:78816287-78816297(-) caacaatgggc >hg38_chr3:78822843-78822853(+) GCACAATAGTG >hg38_chr3:78833909-78833919(+) AAACAATAACA >hg38_chr3:78833920-78833930(+) AAACAATGGGC >hg38_chr3:78848482-78848492(+) atacaatgagg >hg38_chr3:78851398-78851408(+) AGACAATGATT >hg38_chr3:78869400-78869410(+) AAACAATGTAA >hg38_chr3:78872493-78872503(-) TAACAATAAAA >hg38_chr3:78877347-78877357(+) GGACAATGGAA >hg38_chr3:78888953-78888963(+) AAACAATGGGT >hg38_chr3:78894966-78894976(-) GAACAATGGCC >hg38_chr3:78917969-78917979(-) AAACAATGTAG >hg38_chr3:78982325-78982335(-) ttacaaaggta >hg38_chr3:79003452-79003462(+) AAACAATGCAA >hg38_chr3:79005138-79005148(+) GGACAATAAAA >hg38_chr3:79010713-79010723(+) CCACAATGAGT >hg38_chr3:79032118-79032128(+) AAACAATAGCT >hg38_chr3:79033715-79033725(-) AAACAATGACA >hg38_chr3:79068911-79068921(-) TGATAATGGAA >hg38_chr3:79081418-79081428(+) GCACAATAGTA >hg38_chr3:79081463-79081473(+) ACACAATACCG >hg38_chr3:79085649-79085659(+) AAACAGTGGAT >hg38_chr3:79114378-79114388(+) gaacaatacta >hg38_chr3:79123470-79123480(-) GAACAATAAAG >hg38_chr3:79137845-79137855(+) AAACAATGGGA >hg38_chr3:79141343-79141353(-) AGACAATGAGG >hg38_chr3:79157993-79158003(+) AGACAATGCCA >hg38_chr3:79170068-79170078(+) AAACAATAAGT >hg38_chr3:79178661-79178671(-) TCACAATGCCA >hg38_chr3:79224560-79224570(+) ttacaatgctc >hg38_chr3:79230496-79230506(+) tgacaatagca >hg38_chr3:79233695-79233705(-) GAACAATGGAT >hg38_chr3:79264092-79264102(+) CAACAATGGCA >hg38_chr3:79377894-79377904(+) ACACAAAGGAC >hg38_chr3:79377942-79377952(+) TGACAAAGGTA >hg38_chr3:79453838-79453848(-) GGACAATGAAC >hg38_chr3:79458329-79458339(+) AAACAATGTTC >hg38_chr3:79458384-79458394(+) ACACAATGCTT >hg38_chr3:79475671-79475681(-) acacaataata >hg38_chr3:79483206-79483216(-) TCACAATGTCT >hg38_chr3:79490317-79490327(-) ATACAAAGGGG >hg38_chr3:79495287-79495297(+) GAACAATAACA >hg38_chr3:79515232-79515242(+) GAACAATGCTC >hg38_chr3:79528901-79528911(+) AGACAATATAT >hg38_chr3:79662751-79662761(+) GAACAATGGCA >hg38_chr3:79695404-79695414(+) TTACAATGACT >hg38_chr3:79729253-79729263(-) ACACAGTGGAT >hg38_chr3:79743865-79743875(+) gaacaatagca >hg38_chr3:79745173-79745183(-) GGACAATGTGG >hg38_chr3:79816565-79816575(-) aaacaatagaa >hg38_chr3:79889852-79889862(+) gcacaatgggt >hg38_chr3:79889876-79889886(-) atataatgggc >hg38_chr3:79915087-79915097(-) ACACTATGGTC >hg38_chr3:79937616-79937626(+) ATACAATGGCT >hg38_chr3:79937628-79937638(+) AAACAATGGCT >hg38_chr3:79938559-79938569(-) CAACAATGGCA >hg38_chr3:80004868-80004878(-) AGATAATGGTC >hg38_chr3:80057498-80057508(+) ccacaatgaga >hg38_chr3:80215385-80215395(-) GCACAATAGGA >hg38_chr3:80215411-80215421(-) TAACAATGAAT >hg38_chr3:80263439-80263449(+) CTACAATGCCC >hg38_chr3:80263495-80263505(+) ACACAATGTGG >hg38_chr3:80275267-80275277(+) aaacaatgcaa >hg38_chr3:80275306-80275316(+) taacaatagct >hg38_chr3:80425113-80425123(-) CAATAATGGAA >hg38_chr3:80451547-80451557(+) ggacaaaggga >hg38_chr3:80563620-80563630(-) gcacagtggta >hg38_chr3:80586192-80586202(+) ggacaatgatt >hg38_chr3:80655530-80655540(+) ACACAATGTGC >hg38_chr3:80759777-80759787(-) GAACAAAGGAA >hg38_chr3:80971854-80971864(-) ttacaaagggt >hg38_chr3:81122787-81122797(-) CAACAATGTGC >hg38_chr3:81146281-81146291(-) AAACAATGAGC >hg38_chr3:81212261-81212271(+) TGACAATGACT >hg38_chr3:81238029-81238039(+) GAACAATGTTG >hg38_chr3:81241953-81241963(+) GAATAATGGTT >hg38_chr3:81265536-81265546(-) aaacaaagggt >hg38_chr3:81265570-81265580(+) ctacaatggca >hg38_chr3:81270311-81270321(-) CTACAATGGTC >hg38_chr3:81270350-81270360(+) AAATAATGGTG >hg38_chr3:81332126-81332136(-) GAACAGTGGAA >hg38_chr3:81332140-81332150(+) ATACAAAGGTC >hg38_chr3:81374350-81374360(+) TCACAATGAAT >hg38_chr3:81414054-81414064(+) GGACAATAAGA >hg38_chr3:81418746-81418756(-) ACACAGTGGAA >hg38_chr3:81449211-81449221(-) ACATAATGGGC >hg38_chr3:81449233-81449243(-) GTACAATAGTG >hg38_chr3:81472331-81472341(-) AAACAATGGCA >hg38_chr3:81472344-81472354(-) ACACAATGGAG >hg38_chr3:81479162-81479172(+) GAATAATGGTT >hg38_chr3:81553398-81553408(-) aaacaatataa >hg38_chr3:81553712-81553722(+) GTACAATGGGG >hg38_chr3:81554023-81554033(+) ACACAATGTTG >hg38_chr3:81595898-81595908(+) AAACAATAGTG >hg38_chr3:81595907-81595917(+) TGACAATGGAG >hg38_chr3:81656112-81656122(-) acacaATGGCA >hg38_chr3:81658176-81658186(+) GGACAAAGGGT >hg38_chr3:81658890-81658900(+) GAACAATGCTG >hg38_chr3:81664913-81664923(-) TAACAATGCCA >hg38_chr3:81664925-81664935(+) TTACAAAGGCA >hg38_chr3:81678157-81678167(-) gaacaataagt >hg38_chr3:81678191-81678201(-) ttacaataaca >hg38_chr3:81678223-81678233(+) tcacaatggaa >hg38_chr3:81678490-81678500(+) TAACAAAGGGG >hg38_chr3:81678535-81678545(+) TAACAATGTTT >hg38_chr3:81685812-81685822(+) CAACAATGAAG >hg38_chr3:81690851-81690861(-) GGACAATAATA >hg38_chr3:81690874-81690884(-) AGACAATAGTA >hg38_chr3:81692934-81692944(-) AGATAATGGAG >hg38_chr3:81732023-81732033(+) aaacaataaca >hg38_chr3:81732046-81732056(+) AGACAATGATT >hg38_chr3:81759716-81759726(-) GAACAAAGGCC >hg38_chr3:81798413-81798423(+) ACATAATGGCA >hg38_chr3:81798457-81798467(-) ATACAATGAGC >hg38_chr3:81799604-81799614(+) GGACAAAGGCA >hg38_chr3:81816905-81816915(-) GCATAATGGAG >hg38_chr3:81821655-81821665(-) ATATAATGTAT >hg38_chr3:81837055-81837065(-) GCATAATGGCC >hg38_chr3:81837088-81837098(-) CTACAATGAGG >hg38_chr3:81837124-81837134(+) ATACAATGTCC >hg38_chr3:81857955-81857965(+) TAACAATGCCT >hg38_chr3:81872526-81872536(-) ACACAATGTGA >hg38_chr3:81872572-81872582(+) TAATAATGGAA >hg38_chr3:81891757-81891767(-) CAACAATGAAG >hg38_chr3:81909912-81909922(-) GTACAATGCTG >hg38_chr3:81909919-81909929(+) GTACACTGGAG >hg38_chr3:81931419-81931429(+) TGACAATGCTG >hg38_chr3:81974934-81974944(+) TCACAATGACA >hg38_chr3:81997092-81997102(-) CAACAATAGAA >hg38_chr3:82002614-82002624(+) ACATAATGGGC >hg38_chr3:82004221-82004231(+) GTATAATGTAT >hg38_chr3:82068275-82068285(-) gaacaaaggga >hg38_chr3:82085548-82085558(-) GAACAATGAAG >hg38_chr3:82095569-82095579(-) TGATAATGGAT >hg38_chr3:82143559-82143569(+) TTACAAAGGTG >hg38_chr3:82184075-82184085(-) TTATAATGGAC >hg38_chr3:82194765-82194775(-) CTACAATGAAC >hg38_chr3:82200407-82200417(-) ATACAATGTTT >hg38_chr3:82200820-82200830(-) GGACAATGACA >hg38_chr3:82243388-82243398(+) gaacaatgcgg >hg38_chr3:82266027-82266037(-) tcacaaaggaa >hg38_chr3:82343876-82343886(+) caacaatgaga >hg38_chr3:82343911-82343921(-) ttacaaaggcc >hg38_chr3:82363766-82363776(+) TTACAATGAGA >hg38_chr3:82363827-82363837(-) TAACAATAAGC >hg38_chr3:82410507-82410517(-) CAACAATGTGC >hg38_chr3:82528112-82528122(-) ACACAATGGTA >hg38_chr3:82654934-82654944(-) GTACAATGGGA >hg38_chr3:82656986-82656996(+) GCACAATAGAA >hg38_chr3:82688393-82688403(+) gaacaataata >hg38_chr3:82787753-82787763(-) GTACAATGTGA >hg38_chr3:82797557-82797567(-) gaacaatgcat >hg38_chr3:82826791-82826801(+) aaacaatacta >hg38_chr3:82882256-82882266(+) TGACAAAGGAT >hg38_chr3:82978065-82978075(-) ACACAATAGCT >hg38_chr3:83349689-83349699(+) gcacaatgctg >hg38_chr3:83438399-83438409(-) aaacaatgagg >hg38_chr3:83438433-83438443(+) tgacaatgtca >hg38_chr3:83531950-83531960(+) atacaatgtat >hg38_chr3:83568181-83568191(-) TGACAATGAGG >hg38_chr3:83577624-83577634(+) GAACAATAAAG >hg38_chr3:83605953-83605963(+) GAACAATGGTA >hg38_chr3:83617509-83617519(-) agacaatgcat >hg38_chr3:83934013-83934023(-) gaataatggta >hg38_chr3:84025440-84025450(-) atacaatgggg >hg38_chr3:84025459-84025469(-) tgacaaaggaa >hg38_chr3:84111051-84111061(-) aaacaatggct >hg38_chr3:84111108-84111118(+) gcacaatgttc >hg38_chr3:84123635-84123645(-) ACACAATGTAC >hg38_chr3:84123656-84123666(+) ATACAATAAGT >hg38_chr3:84207840-84207850(+) TGACAATGGCT >hg38_chr3:84207874-84207884(-) acacaATGTGC >hg38_chr3:84387552-84387562(-) AAACAATAGAT >hg38_chr3:84489533-84489543(-) taacaatgaat >hg38_chr3:84504831-84504841(-) GAACAATGATT >hg38_chr3:84601454-84601464(+) gcacaatgtca >hg38_chr3:84676276-84676286(-) aaacaatgacc >hg38_chr3:84732002-84732012(+) AAATAATGGGG >hg38_chr3:84835926-84835936(+) gcacaatggcc >hg38_chr3:84909893-84909903(+) agacaatgcct >hg38_chr3:84946507-84946517(+) TAATAATGATA >hg38_chr3:84967835-84967845(-) TAACAATAACA >hg38_chr3:84973568-84973578(+) GAACAGTGGGT >hg38_chr3:85024210-85024220(+) TAACAATAACT >hg38_chr3:85075962-85075972(+) TGACAATGCTT >hg38_chr3:85081796-85081806(-) AGACAATGGTA >hg38_chr3:85092901-85092911(-) tgacaaaggta >hg38_chr3:85092940-85092950(-) GGACAATAAAA >hg38_chr3:85138145-85138155(-) AAATAATGGCA >hg38_chr3:85175064-85175074(+) ccacaatgaga >hg38_chr3:85186678-85186688(+) atacaatagta >hg38_chr3:85259094-85259104(+) TTACAAAGGGA >hg38_chr3:85299005-85299015(-) ATACAATGGGA >hg38_chr3:85306633-85306643(-) ATACAATAGTA >hg38_chr3:85331864-85331874(-) ATACACTGGGA >hg38_chr3:85333122-85333132(-) GTACAATGCAA >hg38_chr3:85416475-85416485(+) TCACAATGACT >hg38_chr3:85484244-85484254(+) GGACAATGATG >hg38_chr3:85485025-85485035(+) AAACAATGTGA >hg38_chr3:85542191-85542201(-) CTACAATGTGC >hg38_chr3:85576902-85576912(-) AAACAATAGAA >hg38_chr3:85586228-85586238(+) TCACAATGGAG >hg38_chr3:85621080-85621090(+) TTACAATGGAA >hg38_chr3:85621130-85621140(+) TAACAAAGACG >hg38_chr3:85649207-85649217(+) TTACAATGGCC >hg38_chr3:85669438-85669448(+) CTACAATGCAT >hg38_chr3:85670811-85670821(+) TAACAATGGAG >hg38_chr3:85780416-85780426(+) TCACAATGAAC >hg38_chr3:85839908-85839918(+) GAACAATAAGT >hg38_chr3:85840639-85840649(-) GAACAATGGAT >hg38_chr3:85899910-85899920(+) gcactatggat >hg38_chr3:85903699-85903709(+) tgataatggct >hg38_chr3:85911613-85911623(-) GAACAATGTGA >hg38_chr3:85935369-85935379(+) TAACAATGAAA >hg38_chr3:85935418-85935428(+) TAATAATAGTA >hg38_chr3:85952227-85952237(+) GGACAATAAAT >hg38_chr3:85972765-85972775(-) ATACAATAAAC >hg38_chr3:85973863-85973873(+) GTACAATGGGT >hg38_chr3:86135863-86135873(-) GAACAAAGGGG >hg38_chr3:86152623-86152633(+) TGACAATGGAC >hg38_chr3:86165366-86165376(-) tcacaatgatc >hg38_chr3:86175634-86175644(-) GAACAATAACA >hg38_chr3:86180853-86180863(-) CAACAATAGGA >hg38_chr3:86203091-86203101(+) TAACAATAAGT >hg38_chr3:86208953-86208963(+) AGACAATGCAG >hg38_chr3:86228224-86228234(+) GAACAAAGGGA >hg38_chr3:86326044-86326054(+) ctacaatgggg >hg38_chr3:86355937-86355947(-) agacaatgatg >hg38_chr3:86355994-86356004(-) agacaatgcca >hg38_chr3:86410668-86410678(-) AAACAATGGGA >hg38_chr3:86410703-86410713(-) TCACAATAGTT >hg38_chr3:86459450-86459460(-) TTACAATGCAC >hg38_chr3:86482384-86482394(-) GAACAATAAAT >hg38_chr3:86521161-86521171(+) AAACAATAGAA >hg38_chr3:86521508-86521518(-) ATACAATATGT >hg38_chr3:86544660-86544670(+) gcacaaaggaa >hg38_chr3:86546082-86546092(-) taataatgggt >hg38_chr3:86549334-86549344(+) TAACAATAGTG >hg38_chr3:86558875-86558885(+) TGACAATGATG >hg38_chr3:86566696-86566706(+) AAACAATGCTT >hg38_chr3:86566736-86566746(+) TGACAATGAAG >hg38_chr3:86624323-86624333(+) tcacaatgtgt >hg38_chr3:86649692-86649702(+) AAACAATGTAT >hg38_chr3:86649917-86649927(+) AAACAATATAT >hg38_chr3:86649922-86649932(-) GGACAATATAT >hg38_chr3:86654010-86654020(+) TAACAATGGTT >hg38_chr3:86673041-86673051(-) CCACAATAGTC >hg38_chr3:86673116-86673126(+) AAACAAAGGTA >hg38_chr3:86765011-86765021(-) TAACAATATCA >hg38_chr3:86765050-86765060(+) AAACAAAGGAG >hg38_chr3:86769262-86769272(+) ATACAATAGGA >hg38_chr3:86771958-86771968(+) ggacaatgctg >hg38_chr3:86780221-86780231(+) agataatggca >hg38_chr3:86780294-86780304(-) caacaatgttg >hg38_chr3:86781666-86781676(-) TGACAATGACA >hg38_chr3:86783919-86783929(-) TAACAATGAGG >hg38_chr3:86793546-86793556(+) TAACAGTGGTA >hg38_chr3:86794808-86794818(-) gtacaatgcta >hg38_chr3:86797660-86797670(+) GGACAATGTAA >hg38_chr3:86797669-86797679(+) AAACAATGTTG >hg38_chr3:86798939-86798949(-) ggacaatgcct >hg38_chr3:86814568-86814578(-) CCACAATGGCT >hg38_chr3:86815128-86815138(-) GAACAATGGAG >hg38_chr3:86815448-86815458(-) TGACAATAGTC >hg38_chr3:86832002-86832012(+) GGACAAAGGAC >hg38_chr3:86832020-86832030(+) AAACAAAGGGA >hg38_chr3:86845967-86845977(+) tgacaatgcaa >hg38_chr3:86876996-86877006(-) gaacaatgcag >hg38_chr3:86921988-86921998(-) ATACAATGCAG >hg38_chr3:86930501-86930511(-) TGACAATGTTG >hg38_chr3:86948804-86948814(+) ggacaatgaga >hg38_chr3:86952484-86952494(+) ATACAATGGGA >hg38_chr3:86960613-86960623(-) CTACAATAGCT >hg38_chr3:86960648-86960658(-) GGACAATGGTT >hg38_chr3:86973467-86973477(-) AAACACTGGAA >hg38_chr3:86973501-86973511(-) ACATAATGGTA >hg38_chr3:86974892-86974902(+) AAACAATGTTC >hg38_chr3:86991564-86991574(+) GTACAAAGGGG >hg38_chr3:86994972-86994982(+) CTACAATGCAA >hg38_chr3:87009701-87009711(-) tgacaaaggat >hg38_chr3:87056382-87056392(-) GCACAATGAGT >hg38_chr3:87080496-87080506(+) CTACAATGGAT >hg38_chr3:87121060-87121070(+) aaacaatagga >hg38_chr3:87123713-87123723(-) TAATAATGGCT >hg38_chr3:87146740-87146750(+) TAACAATGAAA >hg38_chr3:87170277-87170287(-) GGACAATGTTG >hg38_chr3:87170294-87170304(+) GAACAATAAAA >hg38_chr3:87186927-87186937(+) ggacaaaggca >hg38_chr3:87201761-87201771(+) GTACAATGTCT >hg38_chr3:87203963-87203973(-) AGATAATGGGG >hg38_chr3:87206806-87206816(+) TGACAATGAAA >hg38_chr3:87207857-87207867(-) AAACAATAGAC >hg38_chr3:87211630-87211640(+) taacaataggc >hg38_chr3:87228557-87228567(-) ACACAAAGGAA >hg38_chr3:87231701-87231711(-) TAACAATGAAC >hg38_chr3:87259878-87259888(+) ATACAATAGAA >hg38_chr3:87277441-87277451(+) TAACCATGGTA >hg38_chr3:87281748-87281758(-) GTACTATAGCG >hg38_chr3:87298269-87298279(-) GTATAATGGAG >hg38_chr3:87359895-87359905(+) gaacaaagggc >hg38_chr3:87427125-87427135(-) ATACAAAGGGC >hg38_chr3:87460841-87460851(+) ctacaatgagc >hg38_chr3:87637797-87637807(-) AAACAAAGGAT >hg38_chr3:87696588-87696598(-) agacaatggag >hg38_chr3:87713310-87713320(+) ACACAATAAAC >hg38_chr3:87724969-87724979(-) caacaatgtga >hg38_chr3:87725031-87725041(-) ccacaatgaca >hg38_chr3:87767670-87767680(+) caacaatgtag >hg38_chr3:87771360-87771370(-) acacaaaggag >hg38_chr3:87790786-87790796(+) GCACAATGTCC >hg38_chr3:87855105-87855115(+) CAATAATGGAT >hg38_chr3:87871835-87871845(+) caacaataggt >hg38_chr3:87872252-87872262(+) aaacaatacta >hg38_chr3:87899228-87899238(+) ACACAAAGGCG >hg38_chr3:87949174-87949184(+) acacaATGATA >hg38_chr3:87959154-87959164(+) AGACAATAGCA >hg38_chr3:87959164-87959174(+) ATATAATGGTT >hg38_chr3:87990184-87990194(-) taacaaaggaa >hg38_chr3:88005239-88005249(-) TAACAATGTTT >hg38_chr3:88005759-88005769(-) gaacaatgagt >hg38_chr3:88007995-88008005(+) ATACAATGTGA >hg38_chr3:88056566-88056576(+) CAACAATGACA >hg38_chr3:88065345-88065355(+) tcataatgacg >hg38_chr3:88068167-88068177(-) TCACAATGATC >hg38_chr3:88068200-88068210(-) GAACAAAGGTA >hg38_chr3:88078097-88078107(-) TCACAATAGTT >hg38_chr3:88112846-88112856(-) CCACAATGCAA >hg38_chr3:88123214-88123224(-) AGACAAAGGAA >hg38_chr3:88133358-88133368(+) AAACAATGTGT >hg38_chr3:88133366-88133376(-) ACACAATGACA >hg38_chr3:88142830-88142840(-) AAACAATGCTT >hg38_chr3:88179008-88179018(+) aaactatggca >hg38_chr3:88225467-88225477(+) ATACAATGTTA >hg38_chr3:88291766-88291776(-) GTACAATGGCA >hg38_chr3:88375967-88375977(-) GCACAATGTAA >hg38_chr3:88378823-88378833(+) ATACAATAAAT >hg38_chr3:88388933-88388943(-) agacaatagat >hg38_chr3:88399819-88399829(+) TTACAAAGGCG >hg38_chr3:88444086-88444096(-) GTACAATTGAA >hg38_chr3:88450783-88450793(-) ttacaatagca >hg38_chr3:88451604-88451614(-) AAACAAAGGTG >hg38_chr3:88578720-88578730(+) taacaatggga >hg38_chr3:88643350-88643360(+) gaataatgggg >hg38_chr3:88701547-88701557(+) gaacaatagac >hg38_chr3:88702282-88702292(+) ttacaataggt >hg38_chr3:88704588-88704598(+) GCATAATGGAA >hg38_chr3:88704606-88704616(-) GCACAATGCAC >hg38_chr3:88706452-88706462(+) atacaatggac >hg38_chr3:88706518-88706528(-) GTACAATAGtt >hg38_chr3:88807836-88807846(+) AGACAATGTAA >hg38_chr3:88819562-88819572(-) aaacaatacga >hg38_chr3:88828168-88828178(+) gtacaattgat >hg38_chr3:88828218-88828228(-) atacactggaa >hg38_chr3:88850523-88850533(-) TGACAATGACT >hg38_chr3:88906498-88906508(-) gcacaatgcaa >hg38_chr3:88911401-88911411(-) GGATAATGGTG >hg38_chr3:88912418-88912428(+) GGACAATAGCT >hg38_chr3:88912465-88912475(+) AGACAAAGGGC >hg38_chr3:88918061-88918071(+) ACACAATGACT >hg38_chr3:88918084-88918094(+) GTACAATGGAT >hg38_chr3:88945103-88945113(+) CTACAATGCTC >hg38_chr3:88947186-88947196(+) gaacaatgcta >hg38_chr3:88992140-88992150(-) GTACTATGGAT >hg38_chr3:89025419-89025429(+) GCACAATGGAA >hg38_chr3:89043544-89043554(+) GCACAATGGGA >hg38_chr3:89071787-89071797(-) AAACAATGAAG >hg38_chr3:89072791-89072801(+) TAATAATGTAC >hg38_chr3:89072833-89072843(-) CAACAATGAAA >hg38_chr3:89072860-89072870(+) TGATAATGGCT >hg38_chr3:89113475-89113485(-) GTACAAAGGAA >hg38_chr3:89122950-89122960(-) TCACAATGGAG >hg38_chr3:89155469-89155479(+) AGACAATGCTC >hg38_chr3:89168256-89168266(+) TGACAATGATG >hg38_chr3:89171419-89171429(+) GTACAATGAAG >hg38_chr3:89172273-89172283(-) ACACAATGAGC >hg38_chr3:89184365-89184375(-) GCACAATGGTT >hg38_chr3:89214319-89214329(+) GCACAATATAC >hg38_chr3:89214354-89214364(-) AAATAATGACG >hg38_chr3:89228334-89228344(+) TAACAATGAGA >hg38_chr3:89239174-89239184(+) GCACTATGGAA >hg38_chr3:89241576-89241586(-) gaacaatgcct >hg38_chr3:89246572-89246582(+) ACACAATGAAG >hg38_chr3:89252686-89252696(-) gtacagtggca >hg38_chr3:89253411-89253421(+) TTACAATAAAA >hg38_chr3:89260099-89260109(-) agataatggaa >hg38_chr3:89260111-89260121(-) tcacaatagcc >hg38_chr3:89260860-89260870(-) aaacaatggga >hg38_chr3:89271106-89271116(-) TAACAATATTA >hg38_chr3:89278482-89278492(-) AAACACTGGAT >hg38_chr3:89332545-89332555(-) ATACACTGGAA >hg38_chr3:89332579-89332589(-) GTACAATGCGT >hg38_chr3:89332622-89332632(-) CCACAATGTTA >hg38_chr3:89333030-89333040(+) TCACTATGGTA >hg38_chr3:89380325-89380335(+) TTATAATGGAG >hg38_chr3:89425042-89425052(+) GCACAGTGGTA >hg38_chr3:89488372-89488382(+) GAACAATATTA >hg38_chr3:89495612-89495622(-) GAACAATGGCT >hg38_chr3:89495657-89495667(-) TGACAATAGTG >hg38_chr3:89515372-89515382(+) ccacaatggaa >hg38_chr3:89515410-89515420(+) ctacaatgtca >hg38_chr3:89525304-89525314(-) TAACAATGGAT >hg38_chr3:89533281-89533291(+) ACACAATCGGC >hg38_chr3:89533301-89533311(+) TCACAATAGCC >hg38_chr3:89538810-89538820(+) gaacaatgaga >hg38_chr3:89562466-89562476(+) ctataatggag >hg38_chr3:89626696-89626706(-) TTACAATGAAT >hg38_chr3:89661254-89661264(-) gaacaatggaa >hg38_chr3:89661545-89661555(-) gtacaatgaga >hg38_chr3:89765440-89765450(+) AAACAATGGGA >hg38_chr3:89765448-89765458(+) GGACAATGATC >hg38_chr3:89826410-89826420(+) AGACAATGCAA >hg38_chr3:89889576-89889586(+) CAACAATGCAC >hg38_chr3:89889584-89889594(-) CCACAATGGTG >hg38_chr3:89912219-89912229(-) tgacaatgatc >hg38_chr3:90005537-90005547(-) ATACAATACAC >hg38_chr3:90019906-90019916(+) ACACAAAGGAT >hg38_chr3:90037043-90037053(-) taacaATGACA >hg38_chr3:90059211-90059221(-) CAACAATGACA >hg38_chr3:90060620-90060630(-) GGACAATGACA >hg38_chr3:93470523-93470533(+) gtataatgtat >hg38_chr3:93932604-93932614(+) tgacaataata >hg38_chr3:93932616-93932626(-) gcacaatggtt >hg38_chr3:93973630-93973640(+) CGACAATGCTG >hg38_chr3:93980676-93980686(+) TGACAATGCTT >hg38_chr3:93980686-93980696(-) TAACAACGGTA >hg38_chr3:93995728-93995738(-) gtacaatgatg >hg38_chr3:94003940-94003950(+) GGACGATGGCA >hg38_chr3:94049264-94049274(-) TTACAATAACC >hg38_chr3:94050885-94050895(+) TCATAATGGTA >hg38_chr3:94063234-94063244(-) GAACAAAGGTG >hg38_chr3:94140498-94140508(-) ttactatgggc >hg38_chr3:94140511-94140521(+) agacaataaat >hg38_chr3:94168368-94168378(-) gtacaatagag >hg38_chr3:94172943-94172953(+) AAACAATGCAA >hg38_chr3:94207059-94207069(-) GTACAATGCAA >hg38_chr3:94214926-94214936(-) gaacaatgtta >hg38_chr3:94225330-94225340(-) AGACAATGACT >hg38_chr3:94225341-94225351(+) CCACAATGTTT >hg38_chr3:94227323-94227333(-) agacaatggga >hg38_chr3:94242657-94242667(-) AGACAATGGGA >hg38_chr3:94251954-94251964(-) ccacaatgaag >hg38_chr3:94261836-94261846(-) AGACAATGATT >hg38_chr3:94261857-94261867(-) ACACAATAAAT >hg38_chr3:94317632-94317642(-) TTACAATGGTT >hg38_chr3:94333958-94333968(+) TTACAATGGTG >hg38_chr3:94337088-94337098(+) tcacaatggca >hg38_chr3:94339281-94339291(+) ctacaatagtg >hg38_chr3:94342945-94342955(+) ATACAGTGGAT >hg38_chr3:94343102-94343112(+) GAACAATGTAC >hg38_chr3:94360198-94360208(-) TAACAATGGAC >hg38_chr3:94461735-94461745(+) ATACAATGACT >hg38_chr3:94468413-94468423(+) TAACAAAGGGA >hg38_chr3:94493041-94493051(-) taacaatgatg >hg38_chr3:94514140-94514150(-) ACACAATGAAC >hg38_chr3:94612700-94612710(+) ACACAATGCCT >hg38_chr3:94613077-94613087(+) TAATAATGCGA >hg38_chr3:94707305-94707315(-) TAACAATGGTC >hg38_chr3:94707370-94707380(-) ATACAATAGAC >hg38_chr3:94753976-94753986(-) GGACCATGGCG >hg38_chr3:94779425-94779435(-) TCACAATGCCA >hg38_chr3:94779901-94779911(-) gcacaatagtc >hg38_chr3:94791620-94791630(-) GAATAAtgcgt >hg38_chr3:95004364-95004374(-) ttacaatggga >hg38_chr3:95028860-95028870(+) taacaaaggct >hg38_chr3:95055527-95055537(-) CAATAATGGAA >hg38_chr3:95124577-95124587(+) GCACAAAGGTC >hg38_chr3:95124617-95124627(+) ATACAATAGAA >hg38_chr3:95151734-95151744(+) AAACAATGATG >hg38_chr3:95281457-95281467(+) TAATAATGACG >hg38_chr3:95359507-95359517(-) agacaaaggca >hg38_chr3:95403893-95403903(+) atacaatacaa >hg38_chr3:95403898-95403908(+) atacaataGAT >hg38_chr3:95438505-95438515(-) gaacaataggc >hg38_chr3:95445122-95445132(-) GTACAATAAAT >hg38_chr3:95544898-95544908(+) taacaaaggct >hg38_chr3:95710845-95710855(+) aaactatggca >hg38_chr3:95775607-95775617(-) caacaatagca >hg38_chr3:95806152-95806162(+) ACACAATGAAG >hg38_chr3:95833288-95833298(+) TAACAATGAGT >hg38_chr3:95851421-95851431(-) agacaatggga >hg38_chr3:95883846-95883856(+) AAATAATGGGA >hg38_chr3:95884061-95884071(+) GGACAATAAAA >hg38_chr3:95886902-95886912(+) ATACAATGAAA >hg38_chr3:95929342-95929352(-) ttacaatgtct >hg38_chr3:96153995-96154005(-) agacagtggat >hg38_chr3:96154025-96154035(+) tgacaatagtg >hg38_chr3:96231681-96231691(-) tgacgatggaa >hg38_chr3:96361648-96361658(+) taacaaaggct >hg38_chr3:96361671-96361681(+) gaacagtggac >hg38_chr3:96420342-96420352(+) ctacaatggtg >hg38_chr3:96449952-96449962(-) AAACAATGGTT >hg38_chr3:96478012-96478022(-) acacaataaat >hg38_chr3:96500996-96501006(-) caacaatgcca >hg38_chr3:96567057-96567067(-) TTACTATGGTA >hg38_chr3:96567084-96567094(+) TTACTATGGTA >hg38_chr3:96819662-96819672(-) TTACAATGTAT >hg38_chr3:97139338-97139348(-) atacaatggtg >hg38_chr3:97226843-97226853(-) acacaatgact >hg38_chr3:97226851-97226861(-) taacaataaca >hg38_chr3:97257357-97257367(+) AAACCatggta >hg38_chr3:97269300-97269310(-) GGACAATGGag >hg38_chr3:97287528-97287538(+) taataatggcc >hg38_chr3:97388928-97388938(-) CCACAATGATG >hg38_chr3:97390212-97390222(+) AAACAATGGCA >hg38_chr3:97401296-97401306(-) gaacaatggct >hg38_chr3:97586229-97586239(+) TCACAATGAGG >hg38_chr3:97647126-97647136(-) gtacaatgtgc >hg38_chr3:97647611-97647621(+) TAACAATACAT >hg38_chr3:97660726-97660736(-) TCACAATGAAT >hg38_chr3:97660737-97660747(+) TGACAAAGGGA >hg38_chr3:97663037-97663047(+) gtacaaaggct >hg38_chr3:97674170-97674180(+) TAACAATGCTG >hg38_chr3:97674737-97674747(-) GCACAATGCTT >hg38_chr3:97676446-97676456(+) agacaaaggtt >hg38_chr3:97681038-97681048(+) GAAcaataaga >hg38_chr3:97687929-97687939(+) aaacaatgcaa >hg38_chr3:97719373-97719383(-) GAACAATGAGC >hg38_chr3:97756823-97756833(-) TAATAATGTAT >hg38_chr3:97776049-97776059(-) atacaataaca >hg38_chr3:97791369-97791379(+) AAACAAAGGCA >hg38_chr3:97797436-97797446(+) tgacaatgact >hg38_chr3:97807331-97807341(+) ggacaaaggaa >hg38_chr3:97807372-97807382(-) atataatggta >hg38_chr3:97817046-97817056(-) TTACTATGGGT >hg38_chr3:97821755-97821765(+) ACACAACGGTC >hg38_chr3:97834588-97834598(-) AGACAATAAAA >hg38_chr3:97834636-97834646(-) GCACAATGCCA >hg38_chr3:97884928-97884938(-) AAACAATGTTT >hg38_chr3:97895659-97895669(-) GAACAATGTGT >hg38_chr3:97896277-97896287(-) aaacaatggat >hg38_chr3:97914499-97914509(+) GAACTATGGCC >hg38_chr3:97914506-97914516(-) ACACAATGGCC >hg38_chr3:97919511-97919521(-) AGACAATAGAA >hg38_chr3:97922059-97922069(+) AGACAATGATT >hg38_chr3:97932540-97932550(-) GAACAATAAAT >hg38_chr3:97940202-97940212(-) ACATAATGGAA >hg38_chr3:97940222-97940232(-) GGACAATGAAA >hg38_chr3:97959943-97959953(-) gtacaatatgt >hg38_chr3:97983306-97983316(+) atacaatagga >hg38_chr3:97983355-97983365(+) aaactatggtg >hg38_chr3:97984225-97984235(+) ATACAATGCCT >hg38_chr3:98001753-98001763(-) GTACAATAGGC >hg38_chr3:98039822-98039832(-) AGACAATAGTA >hg38_chr3:98073052-98073062(-) gtacaataggc >hg38_chr3:98135535-98135545(+) ttacaatgtct >hg38_chr3:98139718-98139728(+) aaacaaaggta >hg38_chr3:98182384-98182394(+) aaacaaaggta >hg38_chr3:98182426-98182436(-) aaataatggag >hg38_chr3:98306070-98306080(-) AGACAAAGGAC >hg38_chr3:98309362-98309372(-) TGACAATGGGA >hg38_chr3:98309410-98309420(-) CAACAATGGAA >hg38_chr3:98326690-98326700(-) ttacaaaggtt >hg38_chr3:98329667-98329677(+) acacaaaggaa >hg38_chr3:98331008-98331018(+) aaacaatgata >hg38_chr3:98336114-98336124(+) ATACAATATAT >hg38_chr3:98538835-98538845(-) TTACAATAAAA >hg38_chr3:98581708-98581718(+) AAACAATAGAA >hg38_chr3:98641167-98641177(-) gcacaatatac >hg38_chr3:98733427-98733437(-) AAACAATAGTG >hg38_chr3:98764979-98764989(-) ATACAATAGAA >hg38_chr3:98768647-98768657(-) TTACAATGGAA >hg38_chr3:98873086-98873096(+) aaacaaaggag >hg38_chr3:98873433-98873443(+) ttacaatgctc >hg38_chr3:98884747-98884757(+) AAACAAAGGCA >hg38_chr3:98902674-98902684(+) ggacaatggtg >hg38_chr3:98903092-98903102(-) ccacaatggct >hg38_chr3:98903169-98903179(-) taacaataggt >hg38_chr3:98922997-98923007(+) GTACAATGcat >hg38_chr3:98934670-98934680(+) gaacaatacgt >hg38_chr3:98934720-98934730(+) ctacaaaggaa >hg38_chr3:98961241-98961251(-) caacaatagaa >hg38_chr3:98978217-98978227(-) AAACAATAGGT >hg38_chr3:98982221-98982231(+) GAACAATGCCA >hg38_chr3:98982778-98982788(+) ATACAATGTAT >hg38_chr3:98983267-98983277(+) TCATAATGGGC >hg38_chr3:98983281-98983291(-) AAACAATGCTT >hg38_chr3:98983294-98983304(+) AGACAATGGCT >hg38_chr3:98983304-98983314(-) AAACAATAGTA >hg38_chr3:98984605-98984615(-) ttacaaagggg >hg38_chr3:99042947-99042957(+) atacaaaggag >hg38_chr3:99072012-99072022(-) TCACAATGCTT >hg38_chr3:99075905-99075915(-) ATACAATAACA >hg38_chr3:99081022-99081032(-) gcacaatgtgg >hg38_chr3:99106972-99106982(-) GTACAATAAAC >hg38_chr3:99128718-99128728(-) AAACAATGGAC >hg38_chr3:99128755-99128765(+) TAACAATATCA >hg38_chr3:99154275-99154285(-) gtacgatggta >hg38_chr3:99184161-99184171(-) agacaatgaat >hg38_chr3:99184175-99184185(+) caacaatgtag >hg38_chr3:99184229-99184239(-) tgacaatagca >hg38_chr3:99195433-99195443(-) taataatggcc >hg38_chr3:99215770-99215780(+) TCACAATAGAG >hg38_chr3:99216911-99216921(+) ACACAATGAAA >hg38_chr3:99216970-99216980(-) ATACAATAACT >hg38_chr3:99217168-99217178(-) taacaaaggga >hg38_chr3:99217603-99217613(+) atacaataaca >hg38_chr3:99217613-99217623(+) atacaataaca >hg38_chr3:99220874-99220884(+) gaacaatggac >hg38_chr3:99228278-99228288(-) ctacaatgatt >hg38_chr3:99247645-99247655(+) caacaatgttg >hg38_chr3:99283832-99283842(-) ggacaatagga >hg38_chr3:99365705-99365715(-) AGACAATGGAG >hg38_chr3:99426032-99426042(-) TTACAATGTGA >hg38_chr3:99439919-99439929(-) AGACAACGGGC >hg38_chr3:99441223-99441233(+) taacaatgtac >hg38_chr3:99460651-99460661(-) GTACAATTGAA >hg38_chr3:99469095-99469105(-) gaacaatgtcc >hg38_chr3:99510805-99510815(-) atacaatagtg >hg38_chr3:99519764-99519774(-) ACACAATAGTC >hg38_chr3:99530338-99530348(-) AAACAATAGTC >hg38_chr3:99535356-99535366(+) gcataatggga >hg38_chr3:99535464-99535474(+) AAACTATGGAA >hg38_chr3:99549368-99549378(+) GAATAATGCGT >hg38_chr3:99554417-99554427(+) GAACAATGGGA >hg38_chr3:99578350-99578360(-) ggacaatgtct >hg38_chr3:99578362-99578372(-) GTACAGTggca >hg38_chr3:99613404-99613414(-) ctacaatgtat >hg38_chr3:99615338-99615348(+) atacaatgtag >hg38_chr3:99635069-99635079(-) gaacaatgagg >hg38_chr3:99635469-99635479(+) ACACAATGGAA >hg38_chr3:99647410-99647420(-) GAACAAAGGCA >hg38_chr3:99651183-99651193(-) GAATAATGGAA >hg38_chr3:99656866-99656876(-) TAACAACGGAC >hg38_chr3:99674675-99674685(+) TCACAATGGCC >hg38_chr3:99678474-99678484(-) GCACAATGCTG >hg38_chr3:99729950-99729960(-) CAACAATGCTA >hg38_chr3:99742373-99742383(+) ATACAATGAGT >hg38_chr3:99748041-99748051(+) atacaatatct >hg38_chr3:99748104-99748114(-) ttataatgggg >hg38_chr3:99765433-99765443(+) ctacaatgaag >hg38_chr3:99766109-99766119(+) ATACAAAGGCT >hg38_chr3:99789572-99789582(+) CAACAATGCAC >hg38_chr3:99825113-99825123(-) AGACAATGCCT >hg38_chr3:99861455-99861465(-) TCACAATGCTA >hg38_chr3:99870268-99870278(-) GAATAATGGGT >hg38_chr3:99876165-99876175(+) GAACAATGCGA >hg38_chr3:99876549-99876559(-) GTATAATGAAT >hg38_chr3:99883707-99883717(-) TAACAATGAAG >hg38_chr3:99890114-99890124(-) gaacgatggtg >hg38_chr3:99896682-99896692(+) CTACAATGATA >hg38_chr3:99896723-99896733(-) GAACAAAGGTT >hg38_chr3:99901416-99901426(+) AAACAATGCAC >hg38_chr3:99918862-99918872(+) TGACAAAGGTC >hg38_chr3:99929838-99929848(-) CCACAATAGGG >hg38_chr3:99930439-99930449(-) CAACAATAGGT >hg38_chr3:99947327-99947337(-) TGACAATAGCT >hg38_chr3:99947377-99947387(-) atacaatgaaa >hg38_chr3:99947393-99947403(-) aaataatggca >hg38_chr3:99962554-99962564(-) AAACAATATTA >hg38_chr3:99969604-99969614(+) gaactatggtt >hg38_chr3:99973067-99973077(+) GAACAATGGAT >hg38_chr3:99983034-99983044(-) GAACAATGACT >hg38_chr3:99997574-99997584(+) TCACAATAGAT >hg38_chr3:100006297-100006307(-) GTACAAAGGAA >hg38_chr3:100008580-100008590(+) GGACAATAGCA >hg38_chr3:100008599-100008609(+) GAACAATGGTG >hg38_chr3:100023377-100023387(+) ACACAAAGGAG >hg38_chr3:100041404-100041414(-) GAACAAAGACG >hg38_chr3:100042584-100042594(-) taacaatgtct >hg38_chr3:100047338-100047348(-) TTACAATAATA >hg38_chr3:100047692-100047702(+) aaacaatgccc >hg38_chr3:100053873-100053883(-) GAATAATGGAG >hg38_chr3:100054083-100054093(-) TTACAATACTA >hg38_chr3:100087075-100087085(-) aaactatggta >hg38_chr3:100090200-100090210(-) GGACAAAGGTG >hg38_chr3:100105114-100105124(-) AAACAATGCTT >hg38_chr3:100122526-100122536(-) GCACAATGTAT >hg38_chr3:100151150-100151160(-) CAACAATAGAT >hg38_chr3:100208089-100208099(+) AAACAATGGCT >hg38_chr3:100208113-100208123(-) ACACAATGAAG >hg38_chr3:100232960-100232970(-) caacaatgaat >hg38_chr3:100233205-100233215(+) atacaaaggaa >hg38_chr3:100233679-100233689(+) GCACAATGAAG >hg38_chr3:100246126-100246136(+) tgacaatgcct >hg38_chr3:100256592-100256602(-) AGACAATGGCA >hg38_chr3:100274161-100274171(+) TAACAATAACA >hg38_chr3:100294905-100294915(-) GGACAATGGAg >hg38_chr3:100304662-100304672(+) TTACAATGCAT >hg38_chr3:100318417-100318427(-) AAACAATAGGC >hg38_chr3:100354373-100354383(-) TTACAATGGCA >hg38_chr3:100368041-100368051(-) GTACAAAGGTA >hg38_chr3:100386233-100386243(-) AGACAATAAGA >hg38_chr3:100397393-100397403(-) CAACAATAGGA >hg38_chr3:100425630-100425640(-) aaacaatggct >hg38_chr3:100455003-100455013(-) AAACAATGGCA >hg38_chr3:100482307-100482317(-) ACACAATAGTC >hg38_chr3:100486090-100486100(+) tgacaaaggac >hg38_chr3:100521717-100521727(+) AGACAATGATG >hg38_chr3:100529091-100529101(-) AAACAATGGCA >hg38_chr3:100532915-100532925(-) GAACAAAGGAA >hg38_chr3:100532970-100532980(-) tcacaatagtg >hg38_chr3:100540321-100540331(+) aaacaatataa >hg38_chr3:100548054-100548064(+) GAACAATAGGC >hg38_chr3:100561892-100561902(-) AAACAAAGGCT >hg38_chr3:100569678-100569688(-) agaCAAtaata >hg38_chr3:100579260-100579270(+) atacagtggta >hg38_chr3:100603526-100603536(+) GAACAAAGGGC >hg38_chr3:100696440-100696450(-) agacaatgatt >hg38_chr3:100704439-100704449(+) AAACAATGGGT >hg38_chr3:100731198-100731208(-) GGACAATGATT >hg38_chr3:100733867-100733877(-) ttactatggca >hg38_chr3:100749223-100749233(+) ATATAATGGGG >hg38_chr3:100769797-100769807(+) AAACAAAGGCT >hg38_chr3:100796742-100796752(+) AAACAATGAGG >hg38_chr3:100801785-100801795(-) CTACAATGTTT >hg38_chr3:100810711-100810721(+) CAACAATGCTA >hg38_chr3:100814931-100814941(-) TTACAGTGGTC >hg38_chr3:100835674-100835684(+) ATACAATAAAC >hg38_chr3:100835681-100835691(+) AAACAATGGAG >hg38_chr3:100882886-100882896(+) AGACAATGTAT >hg38_chr3:100885063-100885073(-) AGACAATGTTT >hg38_chr3:100913144-100913154(-) ggacaatgggt >hg38_chr3:100914455-100914465(+) CAACAATGCAA >hg38_chr3:100926483-100926493(+) TAACAATGGGA >hg38_chr3:100953267-100953277(+) GTACAATACTA >hg38_chr3:100966156-100966166(-) gcactatggaa >hg38_chr3:100994168-100994178(+) tgACAATGCTA >hg38_chr3:101043753-101043763(-) gTACAATAGAG >hg38_chr3:101069429-101069439(+) GCACAATGAGC >hg38_chr3:101160915-101160925(-) TTACAATGGGG >hg38_chr3:101172868-101172878(-) GAACAATGGTT >hg38_chr3:101201456-101201466(+) agacaatgctg >hg38_chr3:101262956-101262966(-) atacaatgtcc >hg38_chr3:101271845-101271855(+) tgacaatgaac >hg38_chr3:101303272-101303282(+) aaacaatgaca >hg38_chr3:101433682-101433692(+) atataatgata >hg38_chr3:101445493-101445503(+) gaacaaaggat >hg38_chr3:101446835-101446845(+) ttacaatgaac >hg38_chr3:101512582-101512592(-) ATACAATGCCT >hg38_chr3:101530231-101530241(-) agacaatgcag >hg38_chr3:101573698-101573708(+) ggacaatcgcc >hg38_chr3:101578163-101578173(-) ACACAATGGAA >hg38_chr3:101578222-101578232(-) AAACAATAACT >hg38_chr3:101602345-101602355(-) atacaataata >hg38_chr3:101643661-101643671(+) ttacaaaggca >hg38_chr3:101649620-101649630(-) TTATAATGGCT >hg38_chr3:101649690-101649700(+) AAACAATGGGA >hg38_chr3:101661813-101661823(-) aaacaatataa >hg38_chr3:101682577-101682587(+) GTACAATGACC >hg38_chr3:101721654-101721664(+) AAACAATGTTT >hg38_chr3:101725996-101726006(+) ccacaatggtt >hg38_chr3:101727205-101727215(+) GAACAATAACA >hg38_chr3:101727246-101727256(+) AGACAATATAT >hg38_chr3:101784409-101784419(-) TGACAATGCCA >hg38_chr3:101784415-101784425(-) CCACAATGACA >hg38_chr3:101805023-101805033(-) aaacaataata >hg38_chr3:101809323-101809333(+) GGACTATGGAA >hg38_chr3:101827480-101827490(-) TTACAATACGG >hg38_chr3:101844396-101844406(+) atacaatgatt >hg38_chr3:101926849-101926859(-) CCACAATGGTC >hg38_chr3:101963583-101963593(-) tgacaatgggc >hg38_chr3:101986815-101986825(-) tcacaatgagc >hg38_chr3:101986832-101986842(-) taacaatggcc >hg38_chr3:101986877-101986887(-) ggacaatgaga >hg38_chr3:101993001-101993011(+) GAACAATAACC >hg38_chr3:101999740-101999750(+) GAACAAAGGCA >hg38_chr3:102021911-102021921(-) AAACAATGAAC >hg38_chr3:102035106-102035116(-) GAACAAAGGAC >hg38_chr3:102066711-102066721(+) tcacaatgccg >hg38_chr3:102078904-102078914(+) TTACAATGTGT >hg38_chr3:102082743-102082753(-) GAACAATGGAC >hg38_chr3:102086072-102086082(+) TTACAATGGca >hg38_chr3:102090749-102090759(-) TTACAAAGGGA >hg38_chr3:102090778-102090788(+) TGACAATAGAG >hg38_chr3:102120819-102120829(-) caacaatggat >hg38_chr3:102131970-102131980(-) CCACAATAGTT >hg38_chr3:102158556-102158566(-) Taacaatagtt >hg38_chr3:102183805-102183815(+) ggacaatagcc >hg38_chr3:102201324-102201334(+) aaacaatagtg >hg38_chr3:102204063-102204073(-) gtacaatgcta >hg38_chr3:102257933-102257943(-) CCACAATAGGC >hg38_chr3:102257953-102257963(-) AAACTATGGCC >hg38_chr3:102257963-102257973(-) GAACAAAGGGA >hg38_chr3:102259518-102259528(+) TTACTATGGTT >hg38_chr3:102263092-102263102(-) ggacaatgcct >hg38_chr3:102280921-102280931(-) agacaatataa >hg38_chr3:102280975-102280985(-) aaacaaaggac >hg38_chr3:102295561-102295571(+) GAACAATACGT >hg38_chr3:102299185-102299195(-) gcataatggac >hg38_chr3:102299222-102299232(-) taacaataagt >hg38_chr3:102299240-102299250(-) gaacaataaga >hg38_chr3:102336660-102336670(+) atacaattgaa >hg38_chr3:102350065-102350075(-) AAACTATGGCA >hg38_chr3:102358363-102358373(+) GAACAATAGAC >hg38_chr3:102361212-102361222(-) CCACAATGGCA >hg38_chr3:102384279-102384289(-) CCACAATGGAA >hg38_chr3:102401058-102401068(-) TAACAATGAGT >hg38_chr3:102408960-102408970(+) GTACAATGGAC >hg38_chr3:102409258-102409268(-) aaacgatggag >hg38_chr3:102488492-102488502(-) AAACAATGAGT >hg38_chr3:102500630-102500640(-) TGACAATGGGG >hg38_chr3:102508389-102508399(-) atacaattgaa >hg38_chr3:102513083-102513093(+) ATATAATGGAC >hg38_chr3:102513106-102513116(-) GAACAAAGGAC >hg38_chr3:102514531-102514541(+) AAACAATGAAT >hg38_chr3:102534782-102534792(-) ggataatggtg >hg38_chr3:102563166-102563176(-) taacaatgtag >hg38_chr3:102563842-102563852(-) gaataatggtg >hg38_chr3:102604973-102604983(-) atacagtggtg >hg38_chr3:102654323-102654333(+) gaacaatggac >hg38_chr3:102655309-102655319(+) tcacaatgaac >hg38_chr3:102704966-102704976(+) TAACAATGCTG >hg38_chr3:102734230-102734240(+) TAACAATATAC >hg38_chr3:102827610-102827620(-) ggacaataaga >hg38_chr3:102907502-102907512(+) aaacaataaaa >hg38_chr3:102907551-102907561(+) AAACAATGGAA >hg38_chr3:102953509-102953519(+) agacaatatac >hg38_chr3:102953524-102953534(+) ggacaatggcc >hg38_chr3:102953545-102953555(+) taacaatggta >hg38_chr3:102975732-102975742(-) gaacaaaggta >hg38_chr3:103010146-103010156(-) ttacaatatag >hg38_chr3:103076504-103076514(+) gtataatggat >hg38_chr3:103184721-103184731(-) gaacaataaac >hg38_chr3:103232517-103232527(+) ATACAATACAA >hg38_chr3:103232522-103232532(+) ATACAATTGTA >hg38_chr3:103232523-103232533(-) ATACAATTGTA >hg38_chr3:103232570-103232580(+) ATACAATGATA >hg38_chr3:103291816-103291826(-) AAACAATGTGA >hg38_chr3:103291863-103291873(+) GAACAATACAC >hg38_chr3:103342324-103342334(-) acacaataata >hg38_chr3:103428055-103428065(+) GGACAATGTGA >hg38_chr3:103428129-103428139(-) AAACAAAGGAG >hg38_chr3:103460044-103460054(-) agacaaaggag >hg38_chr3:103499228-103499238(-) GAACAATGCTG >hg38_chr3:103584853-103584863(-) ggacactggac >hg38_chr3:103814133-103814143(+) TGACAATGACC >hg38_chr3:103820143-103820153(-) aaacaataaat >hg38_chr3:103862601-103862611(+) agacaatggca >hg38_chr3:103935382-103935392(-) taacaaagggc >hg38_chr3:103970656-103970666(-) aaacaaaggtg >hg38_chr3:104143966-104143976(-) aaacaatgaag >hg38_chr3:104248737-104248747(+) TAACAAAGGGT >hg38_chr3:104259330-104259340(-) acacaatcggc >hg38_chr3:104291928-104291938(+) ggacaatgtcc >hg38_chr3:104359049-104359059(+) GGACAATGCCA >hg38_chr3:104394117-104394127(+) TAACACTGGTT >hg38_chr3:104497212-104497222(+) CTACAATGGCA >hg38_chr3:104498284-104498294(-) ATACAATACAA >hg38_chr3:104543219-104543229(+) aaacaaaggat >hg38_chr3:104549963-104549973(+) AAACAATAGAT >hg38_chr3:104592767-104592777(+) ACACAATAGGT >hg38_chr3:104616794-104616804(+) GCACAATGCTA >hg38_chr3:104616840-104616850(+) CTACAATAGAG >hg38_chr3:104632837-104632847(+) ACACAATATTA >hg38_chr3:104676450-104676460(-) tcacaatagcc >hg38_chr3:104685005-104685015(-) caataatggta >hg38_chr3:104688622-104688632(+) aaacaatggcg >hg38_chr3:104711504-104711514(-) TCACAATGCTG >hg38_chr3:104728489-104728499(-) TGACAATGATG >hg38_chr3:104739995-104740005(+) caacaatggct >hg38_chr3:104748988-104748998(-) gaacaatagtc >hg38_chr3:104749000-104749010(+) agacaatgaaa >hg38_chr3:104750114-104750124(-) AAACAATAGAA >hg38_chr3:104750142-104750152(-) GAACAATAAAA >hg38_chr3:104787475-104787485(+) GGACAATGCCA >hg38_chr3:104787485-104787495(-) AGACAATAGCT >hg38_chr3:104806159-104806169(+) agacaataata >hg38_chr3:104806178-104806188(-) ttacaatgtag >hg38_chr3:104824772-104824782(-) ctacaatagtg >hg38_chr3:104844547-104844557(-) GAACAGTGGAA >hg38_chr3:104844557-104844567(-) GGACAATAGGG >hg38_chr3:104851444-104851454(+) TTACAATGCTG >hg38_chr3:104893433-104893443(+) GTACAATTGAA >hg38_chr3:104909433-104909443(-) AGACAATGGCA >hg38_chr3:104917333-104917343(-) CCACAATGTAA >hg38_chr3:104921828-104921838(-) gaacaatggta >hg38_chr3:104948750-104948760(+) ATACAATGGTA >hg38_chr3:104961208-104961218(-) TAACAATGTGG >hg38_chr3:104973331-104973341(-) gtacaatagaa >hg38_chr3:104994452-104994462(+) CAACAATGCAA >hg38_chr3:105003440-105003450(-) TTACAAAGGAA >hg38_chr3:105006273-105006283(+) CTACAAAGGAT >hg38_chr3:105008076-105008086(-) taacattggta >hg38_chr3:105014560-105014570(+) TAATAATGGGA >hg38_chr3:105018783-105018793(+) AAACAATGAAA >hg38_chr3:105018824-105018834(+) TGACAATGGCA >hg38_chr3:105054523-105054533(+) ACACAATAAAT >hg38_chr3:105103931-105103941(+) GAATAATGGGA >hg38_chr3:105136779-105136789(+) ttacaatgtga >hg38_chr3:105136798-105136808(+) aaacagtggtc >hg38_chr3:105138085-105138095(+) agacaaaggac >hg38_chr3:105181400-105181410(-) TAACAATATAA >hg38_chr3:105194056-105194066(-) aaacaatgttt >hg38_chr3:105201623-105201633(+) TAATAATGGGA >hg38_chr3:105201660-105201670(-) TTACAATGTGT >hg38_chr3:105253793-105253803(+) AAACAATAGAG >hg38_chr3:105283003-105283013(+) ACACAAAGGAA >hg38_chr3:105284719-105284729(+) AGACAATGCCT >hg38_chr3:105334349-105334359(+) GTACACTGGCA >hg38_chr3:105348756-105348766(-) atacaataaaa >hg38_chr3:105348786-105348796(-) caacaatagac >hg38_chr3:105355855-105355865(-) tcacaatgaaa >hg38_chr3:105363410-105363420(+) ACACAATGGTA >hg38_chr3:105363420-105363430(+) ATACAGTGGTA >hg38_chr3:105368059-105368069(-) AGACAATGGAC >hg38_chr3:105371882-105371892(+) TCACAATGTGT >hg38_chr3:105371903-105371913(-) TAACAATGAAG >hg38_chr3:105386332-105386342(+) GCACAATGGTT >hg38_chr3:105428814-105428824(+) AAACAATGTGG >hg38_chr3:105511211-105511221(-) CCACAATGGTA >hg38_chr3:105511227-105511237(+) CTACAATGAGC >hg38_chr3:105531094-105531104(+) TGACAATGAGG >hg38_chr3:105570025-105570035(+) taacaaaggaa >hg38_chr3:105570723-105570733(-) gtataatggtg >hg38_chr3:105594380-105594390(+) gaacagtgggt >hg38_chr3:105664136-105664146(-) ATACAATAGCA >hg38_chr3:105667206-105667216(-) AAACAATGCTT >hg38_chr3:105667249-105667259(+) TAACAATATGA >hg38_chr3:105685341-105685351(+) TGACAATGAGA >hg38_chr3:105689570-105689580(-) TAATAATGGAG >hg38_chr3:105689610-105689620(-) ACACAATAGAT >hg38_chr3:105695016-105695026(-) TCACAATGAGC >hg38_chr3:105695082-105695092(+) ACACAATGTAA >hg38_chr3:105698331-105698341(+) TGACAATGCAA >hg38_chr3:105708325-105708335(-) GAACAGTGGAC >hg38_chr3:105708353-105708363(-) ACACAATGGAA >hg38_chr3:105714963-105714973(+) AGACAATAAGA >hg38_chr3:105730277-105730287(+) ACACAATAGCT >hg38_chr3:105730296-105730306(+) GAACAAAGGGA >hg38_chr3:105746176-105746186(-) TAACAAAGGTT >hg38_chr3:105746206-105746216(-) GAACAATAGAC >hg38_chr3:105764395-105764405(-) caacaatagat >hg38_chr3:105768035-105768045(+) GTACAATGGTT >hg38_chr3:105775230-105775240(+) GTACAATGTGG >hg38_chr3:105787831-105787841(+) TAACAATGAAA >hg38_chr3:105809541-105809551(+) AAACAATAACC >hg38_chr3:105813083-105813093(+) AAACTATGGCT >hg38_chr3:105813131-105813141(+) AAACAATGGCA >hg38_chr3:105813356-105813366(+) AAACAATAGTT >hg38_chr3:105826563-105826573(-) AAATAATGGAG >hg38_chr3:105835883-105835893(+) TTACAATAAAT >hg38_chr3:105845715-105845725(-) AAACAAAGGGA >hg38_chr3:105865138-105865148(+) GGACAATGTTG >hg38_chr3:105865943-105865953(-) GCACAATGCTG >hg38_chr3:105869189-105869199(+) ACACAATGGCC >hg38_chr3:105869979-105869989(-) TAACAATGCTG >hg38_chr3:105874670-105874680(-) aaacaatgact >hg38_chr3:105928569-105928579(+) taataatggcc >hg38_chr3:105945616-105945626(-) gaataatggga >hg38_chr3:105945684-105945694(-) gaataatggaa >hg38_chr3:105947232-105947242(-) AAACAATATAT >hg38_chr3:105963509-105963519(-) acactatggag >hg38_chr3:105964642-105964652(+) TAACAATGACA >hg38_chr3:106010619-106010629(+) gaacaatgctg >hg38_chr3:106015107-106015117(-) GGACAATGCTG >hg38_chr3:106034284-106034294(+) caacaatagca >hg38_chr3:106069449-106069459(+) ATACAAAGGGC >hg38_chr3:106085082-106085092(-) TGACAAAGGAT >hg38_chr3:106089976-106089986(-) TAACAATGGTC >hg38_chr3:106132689-106132699(+) AGACAATGACA >hg38_chr3:106163326-106163336(+) taacaataaca >hg38_chr3:106163332-106163342(+) taacaatgaaa >hg38_chr3:106163376-106163386(+) gcacaatgaat >hg38_chr3:106169675-106169685(-) CTACAATCGTT >hg38_chr3:106190110-106190120(+) TAACAATGTAG >hg38_chr3:106196896-106196906(-) acacaatggag >hg38_chr3:106245609-106245619(+) ggacaatgcct >hg38_chr3:106345960-106345970(-) caacaatggaa >hg38_chr3:106356269-106356279(-) taacaatagga >hg38_chr3:106364590-106364600(+) tcacaatgcag >hg38_chr3:106382166-106382176(+) CAACAATAGAA >hg38_chr3:106454446-106454456(-) GCACAATATAA >hg38_chr3:106503808-106503818(-) aaacaatgcaa >hg38_chr3:106515340-106515350(-) AAACAATGTTT >hg38_chr3:106515812-106515822(-) ctacaatgaat >hg38_chr3:106518120-106518130(-) gaacaatgctt >hg38_chr3:106548650-106548660(+) AAACAATGCCG >hg38_chr3:106548915-106548925(-) caacaatgtat >hg38_chr3:106559236-106559246(+) acacaatgaga >hg38_chr3:106571428-106571438(-) ccacaatagct >hg38_chr3:106589499-106589509(-) GAACAAAGGGG >hg38_chr3:106640926-106640936(-) ATACAATAGGA >hg38_chr3:106714520-106714530(+) gtacaataaaa >hg38_chr3:106726354-106726364(+) taacaataaca >hg38_chr3:106726369-106726379(+) taacaataata >hg38_chr3:106747052-106747062(+) TTACAATAGTG >hg38_chr3:106778009-106778019(-) GAATAATGGAA >hg38_chr3:106779796-106779806(+) ggacaaaggag >hg38_chr3:106811525-106811535(+) ACACAATGGGG >hg38_chr3:106815147-106815157(-) gcacaatgctg >hg38_chr3:106826347-106826357(+) gaacaaaggga >hg38_chr3:106843565-106843575(+) TTACAATAACA >hg38_chr3:106863672-106863682(+) gtacaatgtaa >hg38_chr3:106915194-106915204(+) AGACAATGACT >hg38_chr3:106917179-106917189(-) TTACAATGTGT >hg38_chr3:106919793-106919803(+) taactatggac >hg38_chr3:106954654-106954664(-) tgacaatggat >hg38_chr3:106961183-106961193(-) atacaatatca >hg38_chr3:106961222-106961232(-) taacaatgatt >hg38_chr3:106963546-106963556(-) AAACAATGAAG >hg38_chr3:106982711-106982721(+) ATACAATATCA >hg38_chr3:107011143-107011153(-) acacaatgtta >hg38_chr3:107023396-107023406(+) gtaccatggat >hg38_chr3:107069214-107069224(+) TTACAATAGAA >hg38_chr3:107109805-107109815(-) AAACAATAAAA >hg38_chr3:107123180-107123190(+) GAACAATAGTA >hg38_chr3:107124000-107124010(+) tgacaatagga >hg38_chr3:107221974-107221984(-) gaacaatagcc >hg38_chr3:107241368-107241378(-) AAACTATGGTC >hg38_chr3:107274025-107274035(+) TCACAATGACT >hg38_chr3:107302535-107302545(+) agacaataaaa >hg38_chr3:107345965-107345975(-) TGACAATGTTT >hg38_chr3:107361805-107361815(-) agacaaagggc >hg38_chr3:107361854-107361864(+) gaacagtggcg >hg38_chr3:107382242-107382252(-) GCACAATGACT >hg38_chr3:107382271-107382281(-) AAACAATGATT >hg38_chr3:107385068-107385078(+) AGACAATGAGC >hg38_chr3:107430095-107430105(+) GAACAATAACC >hg38_chr3:107430527-107430537(+) CGACAAAGGCT >hg38_chr3:107431923-107431933(+) GGACAATCGGG >hg38_chr3:107476618-107476628(+) caacaatgggc >hg38_chr3:107476625-107476635(-) ttacaatgccc >hg38_chr3:107486788-107486798(+) ccacaatggca >hg38_chr3:107488574-107488584(-) gcacaatgctt >hg38_chr3:107492203-107492213(-) AAACAAtaaac >hg38_chr3:107492226-107492236(-) CCACAATGAGC >hg38_chr3:107498798-107498808(-) GAACAAAGGAA >hg38_chr3:107503070-107503080(-) ATACAAAGGAT >hg38_chr3:107516479-107516489(+) atacaatacac >hg38_chr3:107516486-107516496(+) acacaatggag >hg38_chr3:107522574-107522584(+) ACACAATGCAG >hg38_chr3:107542954-107542964(-) TAACAATGCAG >hg38_chr3:107543634-107543644(+) AAACAATAACA >hg38_chr3:107543642-107543652(-) TAACAATGTGT >hg38_chr3:107564523-107564533(-) taacaataaat >hg38_chr3:107598928-107598938(+) CCACAATAGGA >hg38_chr3:107619845-107619855(-) aaacaataaga >hg38_chr3:107631176-107631186(+) TTACAATAGAG >hg38_chr3:107641774-107641784(-) TTACAATGACA >hg38_chr3:107660672-107660682(-) AGACAATAAGA >hg38_chr3:107663048-107663058(-) GTACAAAGGGT >hg38_chr3:107665669-107665679(-) GAACAATGCCT >hg38_chr3:107669020-107669030(+) GGACAATGTTA >hg38_chr3:107690357-107690367(-) ACACAATGGCA >hg38_chr3:107702667-107702677(-) GTACAATGTAT >hg38_chr3:107720196-107720206(+) atacagtggag >hg38_chr3:107722094-107722104(-) TAACACTGGAC >hg38_chr3:107734422-107734432(-) TGACAATGCTA >hg38_chr3:107744165-107744175(-) gcacaatgtag >hg38_chr3:107752496-107752506(+) TTACAATACCG >hg38_chr3:107784086-107784096(-) ctacaatggtg >hg38_chr3:107816561-107816571(+) GTACAATATAC >hg38_chr3:107816610-107816620(-) TGACAATGGAC >hg38_chr3:107816624-107816634(+) AAACAATGACC >hg38_chr3:107836973-107836983(-) gaataatggtt >hg38_chr3:107882362-107882372(-) taacaatgtgt >hg38_chr3:107897958-107897968(-) GGACAATAAAC >hg38_chr3:107963094-107963104(-) atacaatgaac >hg38_chr3:107970114-107970124(-) AAACAATGAGG >hg38_chr3:107979756-107979766(-) ACACAATGGTC >hg38_chr3:107999431-107999441(+) acacaatggtt >hg38_chr3:108115512-108115522(+) aaactatggag >hg38_chr3:108123497-108123507(-) AAACAATAAGA >hg38_chr3:108123561-108123571(-) ACACAATAGTG >hg38_chr3:108131806-108131816(-) AGACAAAGGAT >hg38_chr3:108180024-108180034(-) CTACAATGAGA >hg38_chr3:108218763-108218773(+) TTACAATAACT >hg38_chr3:108232096-108232106(-) gaacaatgtag >hg38_chr3:108323779-108323789(-) TTACAATAGAG >hg38_chr3:108323805-108323815(+) TCACAATGTTC >hg38_chr3:108344373-108344383(+) atacaataact >hg38_chr3:108346468-108346478(+) AAACAATGCAG >hg38_chr3:108376786-108376796(-) TAACAATAGGA >hg38_chr3:108376817-108376827(-) GCACAATGCCA >hg38_chr3:108388636-108388646(-) GAACAATGTGT >hg38_chr3:108430696-108430706(-) GAACAGTGGTT >hg38_chr3:108430945-108430955(-) GAACAATTGTA >hg38_chr3:108441057-108441067(-) AAACAATGTTG >hg38_chr3:108441943-108441953(-) aaacaatgggt >hg38_chr3:108453433-108453443(-) aaacaaaggga >hg38_chr3:108474880-108474890(-) aaacaatgccc >hg38_chr3:108489838-108489848(+) GGACAATGGGT >hg38_chr3:108545031-108545041(+) TAACAATGTAC >hg38_chr3:108545046-108545056(+) TCACAATGAAT >hg38_chr3:108565114-108565124(-) TCACAATAGTG >hg38_chr3:108579083-108579093(+) AGACAATGACC >hg38_chr3:108615795-108615805(-) ATACAAGGGTA >hg38_chr3:108682857-108682867(-) gtacaatgtga >hg38_chr3:108749011-108749021(-) CCACAATGAGT >hg38_chr3:108834268-108834278(+) ATACACTGGAA >hg38_chr3:108834327-108834337(-) GAACAAAGGAC >hg38_chr3:108834787-108834797(+) ATACAATATCT >hg38_chr3:108847518-108847528(+) GAACAATGAAC >hg38_chr3:108857707-108857717(+) TGACaatgata >hg38_chr3:108988031-108988041(-) CCACAATGAGC >hg38_chr3:109023827-109023837(+) AAACAATAGAA >hg38_chr3:109030064-109030074(-) GAACAATGTGT >hg38_chr3:109034134-109034144(+) CAACAATAGTC >hg38_chr3:109047088-109047098(-) TCACAATGCAT >hg38_chr3:109047955-109047965(+) tcacaatggaa >hg38_chr3:109059963-109059973(+) TAACAATAGCT >hg38_chr3:109115472-109115482(-) TAACAATAACA >hg38_chr3:109115510-109115520(-) TTATAATGGCT >hg38_chr3:109171472-109171482(+) GGACAAAGGCA >hg38_chr3:109195981-109195991(+) CCACAATGAAA >hg38_chr3:109196005-109196015(-) CTACAATGACT >hg38_chr3:109216957-109216967(-) GCACAATGGGA >hg38_chr3:109275972-109275982(-) gaacaatggcc >hg38_chr3:109276016-109276026(-) AAACAAAGGTC >hg38_chr3:109353046-109353056(+) GAACAATAGAG >hg38_chr3:109355871-109355881(-) CAACAATAGGT >hg38_chr3:109369620-109369630(-) GAACAATGAGA >hg38_chr3:109548773-109548783(-) acacaatgtgt >hg38_chr3:109559472-109559482(-) taacaaaggat >hg38_chr3:109559552-109559562(-) agacaatgaac >hg38_chr3:109587469-109587479(-) CAACAATGGCT >hg38_chr3:109807236-109807246(-) gaacaatgccc >hg38_chr3:109807250-109807260(-) gaacaatgaat >hg38_chr3:109821080-109821090(+) AGATAATGGCA >hg38_chr3:109864612-109864622(-) taacaaaggat >hg38_chr3:109880101-109880111(-) GGACACTGGTA >hg38_chr3:109880362-109880372(+) AAACAATGTTC >hg38_chr3:109880411-109880421(+) AGACAAAGACG >hg38_chr3:109880431-109880441(-) ATACAATATGC >hg38_chr3:109899460-109899470(-) GTATAATGAAA >hg38_chr3:109923126-109923136(-) GAACAATGACT >hg38_chr3:109932217-109932227(-) ccacaatagca >hg38_chr3:109938739-109938749(-) ATACAATGGTA >hg38_chr3:109961002-109961012(+) CCACAATGAGG >hg38_chr3:109961240-109961250(+) GTACAATGTGT >hg38_chr3:109968287-109968297(-) AGACAATGTAT >hg38_chr3:109986070-109986080(+) AGACAATGTGC >hg38_chr3:110020566-110020576(-) GAACAATGCTA >hg38_chr3:110021359-110021369(-) taataatgtaa >hg38_chr3:110034031-110034041(-) atacaataata >hg38_chr3:110035239-110035249(-) aaacaataaga >hg38_chr3:110035281-110035291(-) ccacaatgcac >hg38_chr3:110067545-110067555(-) CGACAATAGTC >hg38_chr3:110127459-110127469(-) ggacaatagcg >hg38_chr3:110127473-110127483(+) acacaatgaaa >hg38_chr3:110146388-110146398(-) caacaatggat >hg38_chr3:110146421-110146431(-) caacaatagca >hg38_chr3:110154063-110154073(-) ATACAATGCAT >hg38_chr3:110261263-110261273(+) taacaatgtga >hg38_chr3:110261297-110261307(+) atacaataatg >hg38_chr3:110277308-110277318(+) taacaatgcat >hg38_chr3:110290905-110290915(-) caacaatggtg >hg38_chr3:110311799-110311809(+) AAACAAAGGCA >hg38_chr3:110324470-110324480(+) TTACTATGGTG >hg38_chr3:110384501-110384511(+) GAACAATAACC >hg38_chr3:110415119-110415129(-) GAACAATAGCA >hg38_chr3:110437278-110437288(+) taacaatatac >hg38_chr3:110470399-110470409(+) TAacaataaaa >hg38_chr3:110494013-110494023(+) GTACAAAGGGA >hg38_chr3:110533015-110533025(+) CCACAATGTAC >hg38_chr3:110566628-110566638(-) CAACAATAGTA >hg38_chr3:110577085-110577095(+) AAACAATGAGG >hg38_chr3:110583814-110583824(-) ACACAATAGAC >hg38_chr3:110599459-110599469(-) atacaatgaca >hg38_chr3:110605805-110605815(+) gcacaatgaca >hg38_chr3:110618654-110618664(+) TAACAATGTCA >hg38_chr3:110640862-110640872(-) TGACAAAGGGA >hg38_chr3:110649776-110649786(-) GAATAATGGAA >hg38_chr3:110649853-110649863(-) aaacaatgtta >hg38_chr3:110653574-110653584(+) acacaatgagt >hg38_chr3:110685784-110685794(+) TGACAATAGCG >hg38_chr3:110691419-110691429(-) ccacaatgaaa >hg38_chr3:110691473-110691483(-) agacaatgcaa >hg38_chr3:110721725-110721735(-) ATACAATGGAC >hg38_chr3:110727374-110727384(-) ctacaatgccg >hg38_chr3:110743396-110743406(-) AAACAATAGCT >hg38_chr3:110754629-110754639(-) AGACAATGGAA >hg38_chr3:110810462-110810472(+) GGACAATGATT >hg38_chr3:110810480-110810490(+) GAACAATGGGA >hg38_chr3:110815267-110815277(-) ttacaatgttt >hg38_chr3:110816453-110816463(-) tgacaatgttg >hg38_chr3:110869451-110869461(-) agacaatgact >hg38_chr3:110904611-110904621(+) taacaataaca >hg38_chr3:110908811-110908821(+) gaacaatgaat >hg38_chr3:110919015-110919025(-) TGACAATAGGG >hg38_chr3:110925687-110925697(+) AAACAATGGAA >hg38_chr3:110939596-110939606(+) CTACAAAGGTA >hg38_chr3:110961913-110961923(+) gtacaatgaga >hg38_chr3:110961938-110961948(-) taacaatatac >hg38_chr3:110962626-110962636(+) agacaaaggat >hg38_chr3:110967626-110967636(-) agacaatggaa >hg38_chr3:110977807-110977817(+) gaacaatacac >hg38_chr3:110995867-110995877(+) ATACAATGAAT >hg38_chr3:111047214-111047224(-) CAACAATGAAA >hg38_chr3:111047670-111047680(-) TTACAATGTTG >hg38_chr3:111070040-111070050(+) TCACAATAGCT >hg38_chr3:111074942-111074952(-) ttacaaaggtc >hg38_chr3:111103868-111103878(-) atacaatgaaa >hg38_chr3:111106852-111106862(-) ACACAATGGGT >hg38_chr3:111110019-111110029(-) TTACAAAGGGT >hg38_chr3:111128810-111128820(+) ttacaataacc >hg38_chr3:111129445-111129455(+) ctacaatgatg >hg38_chr3:111131446-111131456(-) TGACAATGCGT >hg38_chr3:111133513-111133523(-) TAACAATAGTT >hg38_chr3:111158764-111158774(+) aaacaataggt >hg38_chr3:111190887-111190897(+) GAACAATAGGA >hg38_chr3:111193641-111193651(+) CTACAATGGCA >hg38_chr3:111193966-111193976(-) ACACAAAGGTT >hg38_chr3:111201186-111201196(-) TAACAATACAA >hg38_chr3:111201204-111201214(+) AAACAATGGGG >hg38_chr3:111261164-111261174(+) ggataatggat >hg38_chr3:111262259-111262269(+) acacaatagta >hg38_chr3:111294258-111294268(-) gcacaatggag >hg38_chr3:111358668-111358678(+) CTACAATAGGA >hg38_chr3:111387364-111387374(+) atacaataata >hg38_chr3:111408600-111408610(+) acacaatgaaa >hg38_chr3:111501802-111501812(-) tcacaatggct >hg38_chr3:111505720-111505730(-) gtacaatgctg >hg38_chr3:111505745-111505755(+) ttacaataagt >hg38_chr3:111510189-111510199(-) ttacaatgaag >hg38_chr3:111517991-111518001(-) TTACAAAGGGC >hg38_chr3:111541915-111541925(-) AAACAAAGGAT >hg38_chr3:111576387-111576397(+) ATACAAAGGCC >hg38_chr3:111576959-111576969(-) CTACAATATAA >hg38_chr3:111606475-111606485(+) acacaatgctt >hg38_chr3:111621765-111621775(+) GAACAATGGCG >hg38_chr3:111660260-111660270(+) ttacaatagct >hg38_chr3:111675719-111675729(-) AGACAATGCAC >hg38_chr3:111707111-111707121(-) TAACAAAGGGA >hg38_chr3:111707388-111707398(-) CAACAATGCCG >hg38_chr3:111735524-111735534(+) GAACAAAGGGT >hg38_chr3:111744880-111744890(-) Taacaatagtt >hg38_chr3:111750072-111750082(+) ttacaaaggat >hg38_chr3:111750257-111750267(-) GCACAATGCTT >hg38_chr3:111750443-111750453(+) GAACAATACAC >hg38_chr3:111761322-111761332(+) CCACAATGGGA >hg38_chr3:111764760-111764770(+) ACACAATAGGA >hg38_chr3:111768798-111768808(-) AGACAATGCAA >hg38_chr3:111768837-111768847(+) AGACAATGCTT >hg38_chr3:111799722-111799732(-) ccacaatagat >hg38_chr3:111799757-111799767(+) aaacaatgtta >hg38_chr3:111835516-111835526(+) AAATAATGGTA >hg38_chr3:111835536-111835546(-) cgacagtggga >hg38_chr3:111863565-111863575(+) TAACTATGGGG >hg38_chr3:111884551-111884561(+) TGACAATGTCT >hg38_chr3:111903284-111903294(+) AGACAATGGAT >hg38_chr3:111906814-111906824(+) ATACAAAGGCA >hg38_chr3:111917563-111917573(+) GGACAATGAGC >hg38_chr3:111946654-111946664(+) agacaatgtta >hg38_chr3:111947306-111947316(-) CAACAATAGTA >hg38_chr3:111947347-111947357(+) CAACAATGAGT >hg38_chr3:111954677-111954687(+) ACACAATAGTT >hg38_chr3:111956628-111956638(-) ATATAATGGTT >hg38_chr3:111957300-111957310(-) TGACAATGACC >hg38_chr3:111957527-111957537(-) AAACAATGCTT >hg38_chr3:111967810-111967820(+) AGACAAAGGGC >hg38_chr3:111979712-111979722(+) GCACAAAGGAA >hg38_chr3:111990767-111990777(+) TTACAATGTAA >hg38_chr3:111993895-111993905(+) gaacaatgACA >hg38_chr3:112020719-112020729(+) GGACAATGATT >hg38_chr3:112025135-112025145(-) TTACAAAGGGA >hg38_chr3:112025152-112025162(-) GTACAAAGGTC >hg38_chr3:112042956-112042966(+) ACACAATGACT >hg38_chr3:112043012-112043022(+) AGACAATAGTG >hg38_chr3:112048864-112048874(-) taataatagta >hg38_chr3:112126836-112126846(+) ggacaaaggac >hg38_chr3:112137061-112137071(-) CAACAATAGCC >hg38_chr3:112147317-112147327(-) tcacaatagga >hg38_chr3:112174697-112174707(-) gaacaaagggc >hg38_chr3:112178817-112178827(+) gcacaatggag >hg38_chr3:112192455-112192465(-) atacaatggaa >hg38_chr3:112192526-112192536(-) aaacaataaaa >hg38_chr3:112217953-112217963(-) taacattggta >hg38_chr3:112228854-112228864(+) atACAATGTTT >hg38_chr3:112293989-112293999(+) AAACAGTGGGA >hg38_chr3:112293999-112294009(-) GAACAAAGGCT >hg38_chr3:112303274-112303284(-) taacaatgcat >hg38_chr3:112344491-112344501(-) CTACAAAGGAA >hg38_chr3:112350588-112350598(+) AGACAATGCAT >hg38_chr3:112351268-112351278(-) TAACAATAGCT >hg38_chr3:112353226-112353236(+) AAACAATAAAA >hg38_chr3:112353515-112353525(+) TGACAATGTAT >hg38_chr3:112353528-112353538(+) TAACAATGGCA >hg38_chr3:112355104-112355114(-) taataatggca >hg38_chr3:112369442-112369452(-) gcacaataggt >hg38_chr3:112417409-112417419(+) gaacaatgctt >hg38_chr3:112451493-112451503(+) agacaatggaa >hg38_chr3:112531342-112531352(-) GAACAATAGAT >hg38_chr3:112531867-112531877(+) ATACAATAATT >hg38_chr3:112553136-112553146(-) AAACAATGCCC >hg38_chr3:112591954-112591964(-) caataatggat >hg38_chr3:112591990-112592000(-) ctacaataata >hg38_chr3:112594961-112594971(+) aaacaatcgca >hg38_chr3:112608520-112608530(+) TCACAATGTGA >hg38_chr3:112610332-112610342(+) TCACAATGCCT >hg38_chr3:112628397-112628407(-) CTATAATGGCA >hg38_chr3:112631853-112631863(+) TAACAAAGGTT >hg38_chr3:112635230-112635240(+) TTACAATAAAT >hg38_chr3:112655565-112655575(-) caacaatgttt >hg38_chr3:112666258-112666268(+) TCACAATGTCG >hg38_chr3:112672139-112672149(-) tgactatggaa >hg38_chr3:112703724-112703734(+) acacaatggac >hg38_chr3:112744998-112745008(-) TCACAATGAGA >hg38_chr3:112780282-112780292(+) AGACAATAGAC >hg38_chr3:112793829-112793839(-) ggacaatgagc >hg38_chr3:112793843-112793853(-) gaacaatgaaa >hg38_chr3:112795820-112795830(-) ATACAATGTAC >hg38_chr3:112795866-112795876(-) CCACAATGTTT >hg38_chr3:112801073-112801083(-) CAACAATGCAG >hg38_chr3:112824690-112824700(-) acacaatgctc >hg38_chr3:112824704-112824714(-) tcacaatgctt >hg38_chr3:112858040-112858050(-) gtacaatgtca >hg38_chr3:112859130-112859140(-) gaacaataaca >hg38_chr3:112875324-112875334(-) tgacaatagca >hg38_chr3:112883318-112883328(-) caacaatgatt >hg38_chr3:112923217-112923227(-) GAACAATACAC >hg38_chr3:112924009-112924019(+) AGATAATGGCT >hg38_chr3:112951230-112951240(+) taacaatatta >hg38_chr3:112991514-112991524(-) TAACAATGGGT >hg38_chr3:113035619-113035629(-) ggacaatggag >hg38_chr3:113086943-113086953(+) TCACAATGAGT >hg38_chr3:113092980-113092990(+) AGACAAAGGAA >hg38_chr3:113096345-113096355(-) ATACAATGGTA >hg38_chr3:113136661-113136671(+) GAACAAAGACG >hg38_chr3:113141694-113141704(-) acacaatagaa >hg38_chr3:113141708-113141718(-) gtataatggtt >hg38_chr3:113153010-113153020(-) GAACAATGGTA >hg38_chr3:113170233-113170243(-) AGACAATGGCT >hg38_chr3:113182026-113182036(+) taacaataccg >hg38_chr3:113193534-113193544(-) ACACAATGTCT >hg38_chr3:113202785-113202795(-) gtacaatatct >hg38_chr3:113202808-113202818(-) gcacaatagct >hg38_chr3:113202816-113202826(-) cgacaatagca >hg38_chr3:113207464-113207474(+) taacaatagaa >hg38_chr3:113218876-113218886(+) CAACAATGGGA >hg38_chr3:113223646-113223656(-) agacaatagta >hg38_chr3:113245808-113245818(-) TAACAAAGGTG >hg38_chr3:113289700-113289710(+) tgacaatagtg >hg38_chr3:113297927-113297937(-) gcataatggca >hg38_chr3:113312533-113312543(-) caacaatgggg >hg38_chr3:113312563-113312573(+) agacaatgggg >hg38_chr3:113385979-113385989(-) taataatggat >hg38_chr3:113403500-113403510(-) GAACAAAGGTG >hg38_chr3:113488190-113488200(-) gtacaatgatc >hg38_chr3:113498782-113498792(+) TCACAATGACT >hg38_chr3:113500355-113500365(+) AGACAATAACA >hg38_chr3:113501705-113501715(+) ccacaatgaga >hg38_chr3:113501976-113501986(+) taacaatgcaa >hg38_chr3:113501993-113502003(+) aaacaatgcaa >hg38_chr3:113574417-113574427(+) AAACAAAGGAG >hg38_chr3:113606764-113606774(+) TAACAAAGGAA >hg38_chr3:113645346-113645356(-) agacagtggaa >hg38_chr3:113645392-113645402(-) caacaatagga >hg38_chr3:113648479-113648489(+) TAACAATAAAA >hg38_chr3:113648537-113648547(+) ATACAATGCAT >hg38_chr3:113654107-113654117(-) TAACAATGGAT >hg38_chr3:113662263-113662273(+) CGACAATGGAA >hg38_chr3:113662293-113662303(+) GGACAATGCAG >hg38_chr3:113662323-113662333(-) TGACAATGCCC >hg38_chr3:113674123-113674133(-) GAACAATGTTA >hg38_chr3:113675293-113675303(+) AAACAATGGTT >hg38_chr3:113687854-113687864(+) gcacaatgtgt >hg38_chr3:113687885-113687895(+) gaaCAATGGTC >hg38_chr3:113712238-113712248(+) gaacaatagct >hg38_chr3:113724055-113724065(-) ACACAATATTA >hg38_chr3:113730793-113730803(-) acataatggaa >hg38_chr3:113748286-113748296(+) AAACAATGTGC >hg38_chr3:113753537-113753547(+) aaacaaaggga >hg38_chr3:113758554-113758564(-) aaactatggta >hg38_chr3:113772150-113772160(-) gtacaaaggcc >hg38_chr3:113772161-113772171(-) acacaatggag >hg38_chr3:113830728-113830738(-) taacaatgtgt >hg38_chr3:113830785-113830795(-) gtactatggtt >hg38_chr3:113838681-113838691(-) TCACAATGAGA >hg38_chr3:113888577-113888587(+) ccacaatgtat >hg38_chr3:113892721-113892731(+) GGACAATGGCA >hg38_chr3:113929854-113929864(+) gtataatgggg >hg38_chr3:113932233-113932243(+) AAACAATAGTT >hg38_chr3:113945887-113945897(+) GGACAATATAA >hg38_chr3:113966426-113966436(+) atacagtggga >hg38_chr3:113985787-113985797(+) caacaatggtg >hg38_chr3:113986249-113986259(+) tgacaataggg >hg38_chr3:113993340-113993350(+) tcacaatgcct >hg38_chr3:114001286-114001296(+) gcacaatgata >hg38_chr3:114035811-114035821(+) AGACAATGACT >hg38_chr3:114122125-114122135(+) CAATAATGGAG >hg38_chr3:114128898-114128908(+) AGACAATGAAG >hg38_chr3:114154663-114154673(-) GCACAATAGGT >hg38_chr3:114155150-114155160(-) ACACAATGCCA >hg38_chr3:114177205-114177215(-) TAACAATGTAA >hg38_chr3:114235711-114235721(-) GTACAAAGGCC >hg38_chr3:114327618-114327628(-) GAACAATGAAA >hg38_chr3:114344788-114344798(-) TAACAATGTTG >hg38_chr3:114344802-114344812(-) TAACAATAGTA >hg38_chr3:114346534-114346544(+) GTACAATCGTC >hg38_chr3:114355524-114355534(+) ACACAATGCTG >hg38_chr3:114359613-114359623(-) TTACAATAGGG >hg38_chr3:114359628-114359638(-) GAACAAAGGAA >hg38_chr3:114369710-114369720(+) TGACAATGAAG >hg38_chr3:114376273-114376283(+) AAACAATGTCC >hg38_chr3:114445452-114445462(-) CTACAATGAAA >hg38_chr3:114454872-114454882(+) ACACAATGCAC >hg38_chr3:114464757-114464767(-) CTACAATGGCC >hg38_chr3:114498898-114498908(+) TCACAATAGCT >hg38_chr3:114529981-114529991(+) ctacaatggac >hg38_chr3:114530232-114530242(-) ttacaatgaat >hg38_chr3:114549327-114549337(+) GTACAGTGGGA >hg38_chr3:114553802-114553812(+) GAACAATAAAA >hg38_chr3:114570744-114570754(+) gtacaatgaaa >hg38_chr3:114578389-114578399(+) tgacaaaggaa >hg38_chr3:114578397-114578407(+) gaacaataaag >hg38_chr3:114583200-114583210(-) CCACAATGTGA >hg38_chr3:114632397-114632407(+) AGACAAAGGAA >hg38_chr3:114639399-114639409(+) AAACAAAGGGC >hg38_chr3:114647693-114647703(+) ATACAATGTGT >hg38_chr3:114649454-114649464(+) TAACAATGTTG >hg38_chr3:114649489-114649499(+) GCATAATGGAC >hg38_chr3:114656178-114656188(-) aaacaatagat >hg38_chr3:114660462-114660472(+) CCACAATGGAA >hg38_chr3:114661110-114661120(-) GTACAATGTGA >hg38_chr3:114666863-114666873(+) GAACAATGCTT >hg38_chr3:114670963-114670973(-) AGACAATAAAC >hg38_chr3:114673672-114673682(-) TTACAATGCAG >hg38_chr3:114674301-114674311(+) TAACAATCGGG >hg38_chr3:114675232-114675242(-) AGACAATGCTG >hg38_chr3:114675655-114675665(+) ATACAATGGAA >hg38_chr3:114687454-114687464(+) AGACAAAGGCA >hg38_chr3:114744957-114744967(+) AGACAATAGTA >hg38_chr3:114800463-114800473(+) GAACAATGGGG >hg38_chr3:114804288-114804298(+) GAACAAAGGGC >hg38_chr3:114823295-114823305(+) GTACAATGAGA >hg38_chr3:114824003-114824013(-) TAACAATGAAT >hg38_chr3:114874368-114874378(+) ATACAAAGGGC >hg38_chr3:114877043-114877053(-) TAACAAAGGTG >hg38_chr3:114877258-114877268(-) gcacaatgcct >hg38_chr3:114878320-114878330(+) GAACAATGCAT >hg38_chr3:114878534-114878544(-) TTACAAAGGGA >hg38_chr3:114903549-114903559(+) CAACAATAGTA >hg38_chr3:114958022-114958032(-) GTACAATGTGT >hg38_chr3:114973823-114973833(+) ACACAATGCAG >hg38_chr3:114973842-114973852(-) TTACAATAGAC >hg38_chr3:114976084-114976094(+) CTACAATGCAT >hg38_chr3:114976139-114976149(+) agacaataaac >hg38_chr3:115004618-115004628(-) GAACAATGAGA >hg38_chr3:115007477-115007487(+) TAACAATCGCT >hg38_chr3:115020725-115020735(-) GTACAATATGT >hg38_chr3:115022406-115022416(+) gcacaatgtgc >hg38_chr3:115022417-115022427(+) gaacaatgttg >hg38_chr3:115022986-115022996(+) aaacaatgaga >hg38_chr3:115038328-115038338(-) ATACAAAGGGA >hg38_chr3:115062201-115062211(+) CCACAATGCAC >hg38_chr3:115071813-115071823(+) TAACAATGCCT >hg38_chr3:115071873-115071883(+) ACACAAAGGGA >hg38_chr3:115072809-115072819(+) ACACAATAGAC >hg38_chr3:115088835-115088845(+) TAACAATGGAT >hg38_chr3:115100665-115100675(-) TCATAATGGAG >hg38_chr3:115101099-115101109(+) TCACAATGCAA >hg38_chr3:115113576-115113586(+) GAACAATGCAA >hg38_chr3:115148873-115148883(-) aaacaatgtca >hg38_chr3:115152096-115152106(+) TAACAAAGGCC >hg38_chr3:115186844-115186854(-) aaacaataact >hg38_chr3:115212362-115212372(-) TTACAAAGGTA >hg38_chr3:115227292-115227302(+) GTACAATGCTG >hg38_chr3:115239149-115239159(-) CAACAATGGAG >hg38_chr3:115239195-115239205(-) GAACTATGGTA >hg38_chr3:115239330-115239340(-) ATACAATAACA >hg38_chr3:115239893-115239903(+) TAACAATGTCA >hg38_chr3:115240101-115240111(+) AAACAATGTTC >hg38_chr3:115247379-115247389(+) GAACAATAAAG >hg38_chr3:115247400-115247410(+) GTACAAAGGAC >hg38_chr3:115321790-115321800(-) CTACAATAGAC >hg38_chr3:115323925-115323935(-) GCACAATGGAA >hg38_chr3:115328148-115328158(-) TCACAATGAAT >hg38_chr3:115330809-115330819(+) GTACAATGAAG >hg38_chr3:115352759-115352769(+) atacaatagat >hg38_chr3:115353856-115353866(-) gaacaatgtcc >hg38_chr3:115386727-115386737(+) ACATAATGGAA >hg38_chr3:115391008-115391018(+) tgacaatgtat >hg38_chr3:115391044-115391054(-) gtacaataaaa >hg38_chr3:115402199-115402209(-) acacaatgata >hg38_chr3:115442038-115442048(-) GCACAATGCCA >hg38_chr3:115498651-115498661(-) caacaatgctt >hg38_chr3:115552178-115552188(-) CGACAATAGCA >hg38_chr3:115552178-115552188(-) CGACAATAGCA >hg38_chr3:115552232-115552242(+) GAACAATAAAT >hg38_chr3:115552239-115552249(+) AAATAATGGCT >hg38_chr3:115580521-115580531(+) AAACAAAGGCA >hg38_chr3:115586842-115586852(+) tcacaatgaca >hg38_chr3:115596071-115596081(+) ttacaatggca >hg38_chr3:115598455-115598465(+) taacaatagac >hg38_chr3:115617738-115617748(+) CAACAATGACC >hg38_chr3:115627257-115627267(+) ACACAATGAGC >hg38_chr3:115630479-115630489(-) GCACAATAGGA >hg38_chr3:115639013-115639023(+) acactatggtt >hg38_chr3:115693121-115693131(+) AAACAATGGTG >hg38_chr3:115719217-115719227(+) TAACAATAGCT >hg38_chr3:115723740-115723750(+) AAACAATGTGA >hg38_chr3:115767287-115767297(+) AGACAATGGCC >hg38_chr3:115784435-115784445(-) AAACAAAGGAG >hg38_chr3:115787015-115787025(-) TTACTATGGAG >hg38_chr3:115789802-115789812(-) GAATAATGGAA >hg38_chr3:115789830-115789840(-) TCACAATAGTT >hg38_chr3:115803365-115803375(+) AGACAATAAAA >hg38_chr3:115803924-115803934(+) GCACAATGTCC >hg38_chr3:115819981-115819991(-) GGACAAAGGTG >hg38_chr3:115846737-115846747(+) GAACAATGAAT >hg38_chr3:115859161-115859171(+) TGACAATGTTG >hg38_chr3:115859635-115859645(+) GAACAATGCCA >hg38_chr3:115862331-115862341(+) GCACTATGGAA >hg38_chr3:115863205-115863215(+) AAACAAAGGGA >hg38_chr3:115875684-115875694(-) gaacaatgagg >hg38_chr3:115902320-115902330(+) aaacaatataa >hg38_chr3:115912792-115912802(-) agacaaaggaa >hg38_chr3:115920769-115920779(-) aaataatggat >hg38_chr3:115921337-115921347(-) acacaaaggaa >hg38_chr3:115938092-115938102(+) taacaatagat >hg38_chr3:115941316-115941326(+) gcacaatgtgg >hg38_chr3:115972986-115972996(+) AAACAGTGGAA >hg38_chr3:115981021-115981031(+) TCACAATGCCA >hg38_chr3:115989696-115989706(-) AGACAATGGTT >hg38_chr3:116001221-116001231(-) TGACAAAGGAA >hg38_chr3:116056965-116056975(+) ATACAAAGGAA >hg38_chr3:116104330-116104340(-) ACACAATGGAG >hg38_chr3:116116408-116116418(+) taacAAAggct >hg38_chr3:116169536-116169546(+) GAACAATACAC >hg38_chr3:116200749-116200759(-) gaacaaagggt >hg38_chr3:116278252-116278262(-) tgacaatagct >hg38_chr3:116278313-116278323(-) agacaatatat >hg38_chr3:116278608-116278618(-) TCACAATGGAA >hg38_chr3:116300404-116300414(+) TGACAATGGGC >hg38_chr3:116342121-116342131(+) TAACAATAGTC >hg38_chr3:116358564-116358574(+) AAACAATGAAA >hg38_chr3:116390511-116390521(+) agataatggaa >hg38_chr3:116423625-116423635(+) ATACACTGGGA >hg38_chr3:116476396-116476406(+) GCACAATAGAG >hg38_chr3:116515942-116515952(+) GTACAAAGGAT >hg38_chr3:116515954-116515964(+) CAATAATGGTC >hg38_chr3:116528961-116528971(-) AAACAATGAGA >hg38_chr3:116529401-116529411(+) AGACAATGGAG >hg38_chr3:116630672-116630682(+) TCACAATGCCC >hg38_chr3:116635624-116635634(-) agacaatagca >hg38_chr3:116674755-116674765(+) CCACAATGTCA >hg38_chr3:116722464-116722474(-) AAACAATGGAC >hg38_chr3:116722524-116722534(-) GAACAATAACA >hg38_chr3:116756155-116756165(-) AAACAATAGAA >hg38_chr3:116757455-116757465(+) GTATAATGGGG >hg38_chr3:116758111-116758121(+) CAACAATGGCC >hg38_chr3:116758155-116758165(+) ATACAATGAAT >hg38_chr3:116795976-116795986(-) AAACAATGTGT >hg38_chr3:116837639-116837649(+) TAACAACGGAT >hg38_chr3:116864221-116864231(-) GAACAATGAAA >hg38_chr3:116870627-116870637(-) ATACAGTGGGG >hg38_chr3:116887239-116887249(+) GAACAAAGGTA >hg38_chr3:116896366-116896376(-) AGACAAAGGAT >hg38_chr3:116975059-116975069(+) CCACAATGAAG >hg38_chr3:116976445-116976455(-) atacaatataa >hg38_chr3:116976455-116976465(-) ccacaatggaa >hg38_chr3:117005032-117005042(+) ATACAATAGTG >hg38_chr3:117005071-117005081(-) CTACAATGCAA >hg38_chr3:117010573-117010583(+) GTACAATAGAA >hg38_chr3:117035645-117035655(-) AAACAATGTAC >hg38_chr3:117068406-117068416(-) GAACAATGCTT >hg38_chr3:117108123-117108133(-) acacaaaggaa >hg38_chr3:117108311-117108321(+) ATACTATGGAA >hg38_chr3:117110025-117110035(+) GAACAATATAT >hg38_chr3:117110362-117110372(+) ACACAATGGTT >hg38_chr3:117135017-117135027(-) agacaatgtct >hg38_chr3:117135050-117135060(-) ccacaatgtct >hg38_chr3:117135099-117135109(+) TATCAATGGTA >hg38_chr3:117138884-117138894(+) atacagtggaa >hg38_chr3:117160372-117160382(+) gaacaaaggag >hg38_chr3:117172422-117172432(-) GAACAATGTTT >hg38_chr3:117172482-117172492(-) ACACAATGAAT >hg38_chr3:117239108-117239118(-) ccacaatagga >hg38_chr3:117239154-117239164(-) acacaatgtca >hg38_chr3:117273644-117273654(+) TAACAATATCA >hg38_chr3:117273712-117273722(+) AAACAATGCAT >hg38_chr3:117286582-117286592(-) GAACAATGCTA >hg38_chr3:117303615-117303625(-) GCACAATACGC >hg38_chr3:117312030-117312040(+) CTACAATGTAA >hg38_chr3:117358246-117358256(-) GGACAATGAAA >hg38_chr3:117386965-117386975(-) TTACAATATTA >hg38_chr3:117398387-117398397(+) ACACAATAGCT >hg38_chr3:117398403-117398413(-) GCACAAAGGAA >hg38_chr3:117405589-117405599(+) GGACAATGAGA >hg38_chr3:117407568-117407578(-) GAACAATGGCT >hg38_chr3:117407910-117407920(+) ATACAATGAAT >hg38_chr3:117434402-117434412(+) ATACAAAGGGT >hg38_chr3:117473375-117473385(-) AGACAATGCTA >hg38_chr3:117520412-117520422(-) ATACAATAACT >hg38_chr3:117520494-117520504(-) TAACAAAGGCA >hg38_chr3:117589577-117589587(-) TGACAATGCTG >hg38_chr3:117622520-117622530(-) CAACAATGGGT >hg38_chr3:117655767-117655777(+) gaacaatggga >hg38_chr3:117661808-117661818(-) CTATAATGGGC >hg38_chr3:117662764-117662774(+) acacaatgact >hg38_chr3:117670076-117670086(-) ACACAATGGAA >hg38_chr3:117697229-117697239(+) AAACAAAGGGT >hg38_chr3:117701858-117701868(+) GGACAATGCCC >hg38_chr3:117701897-117701907(-) AGACAAAGGAA >hg38_chr3:117735526-117735536(+) GCACAATCGAG >hg38_chr3:117758934-117758944(-) GAACAATGCCT >hg38_chr3:117788353-117788363(-) GGACAATAAAA >hg38_chr3:117790213-117790223(-) AGACAATGCAT >hg38_chr3:117846822-117846832(-) GCACAATGCCA >hg38_chr3:117911172-117911182(-) ttacaatgaaa >hg38_chr3:117934649-117934659(+) GAACAATGCAT >hg38_chr3:117953099-117953109(-) CCACAATGAGG >hg38_chr3:117955561-117955571(-) AGACAATAGAT >hg38_chr3:117962068-117962078(-) AGACAATATAG >hg38_chr3:118067476-118067486(+) ccacaatgtta >hg38_chr3:118080949-118080959(+) TTACAATGAGA >hg38_chr3:118088077-118088087(-) AAACAATAGAC >hg38_chr3:118088091-118088101(+) TGACAATGTTA >hg38_chr3:118088573-118088583(+) AGACAATAGAA >hg38_chr3:118088619-118088629(+) ACACAAAGGAT >hg38_chr3:118102830-118102840(+) caacaatgtac >hg38_chr3:118266963-118266973(+) gtacaatggga >hg38_chr3:118280745-118280755(+) AAACAATAACA >hg38_chr3:118469457-118469467(-) TAACAAAGGCC >hg38_chr3:118480427-118480437(-) TCACAATGGGA >hg38_chr3:118497493-118497503(+) TCACAATAGCC >hg38_chr3:118525054-118525064(-) ctacaatggca >hg38_chr3:118525091-118525101(+) aaataatgggt >hg38_chr3:118527708-118527718(+) GTATAATAGTA >hg38_chr3:118541087-118541097(+) gtacaatgata >hg38_chr3:118626538-118626548(+) TGACAATGGCC >hg38_chr3:118629236-118629246(-) ATACAGTGGCA >hg38_chr3:118629271-118629281(+) GAACAATGCAA >hg38_chr3:118641218-118641228(-) TAACAAAGACG >hg38_chr3:118764056-118764066(+) GGACAATAGTT >hg38_chr3:118792795-118792805(+) AGACGATGGAA >hg38_chr3:118793538-118793548(+) tgacaatagaa >hg38_chr3:118808843-118808853(+) AAACAATGATA >hg38_chr3:118926568-118926578(-) ATACAATGGAT >hg38_chr3:118942684-118942694(+) AAACAATAACA >hg38_chr3:118942987-118942997(+) ggacaaaggaa >hg38_chr3:118942996-118943006(+) aaataatggtg >hg38_chr3:118968352-118968362(+) AGACAATAGCC >hg38_chr3:118991942-118991952(+) GTACAATGCAC >hg38_chr3:119019745-119019755(-) caacaatggaa >hg38_chr3:119025711-119025721(+) CGACAATGCTT >hg38_chr3:119032172-119032182(-) ctacaATGAAA >hg38_chr3:119080497-119080507(+) TAACAGTGGGA >hg38_chr3:119085235-119085245(-) agacaatgggc >hg38_chr3:119085276-119085286(+) ctacaatgtca >hg38_chr3:119087964-119087974(+) atacaataatc >hg38_chr3:119149721-119149731(+) agacaatagga >hg38_chr3:119195542-119195552(-) AGACAATGTTG >hg38_chr3:119195552-119195562(-) AAACAATGCCA >hg38_chr3:119279497-119279507(+) ACACAATGAAC >hg38_chr3:119310162-119310172(+) GAACAATAGAT >hg38_chr3:119329632-119329642(+) AAACAAAGGCC >hg38_chr3:119329864-119329874(-) ACACAATGAGG >hg38_chr3:119349671-119349681(+) TAACAATGGGC >hg38_chr3:119350185-119350195(-) AAACAACGGCA >hg38_chr3:119350277-119350287(+) AAACAATGGCT >hg38_chr3:119357847-119357857(+) TAACAATAAGA >hg38_chr3:119359963-119359973(-) GAATAATGATA >hg38_chr3:119424289-119424299(-) aaacaatgaac >hg38_chr3:119454039-119454049(+) ggacaaagggc >hg38_chr3:119500423-119500433(-) ttacaatagtt >hg38_chr3:119500670-119500680(-) AGACAATGTTG >hg38_chr3:119504291-119504301(+) GAACAATGGGA >hg38_chr3:119602526-119602536(-) gaacaaaggga >hg38_chr3:119605040-119605050(+) GAACAATAGAG >hg38_chr3:119608711-119608721(+) TGACAATGAAT >hg38_chr3:119663812-119663822(+) caacaatgaaa >hg38_chr3:119670283-119670293(+) GAACAATGCTA >hg38_chr3:119676001-119676011(-) TCACAATGATC >hg38_chr3:119731686-119731696(+) GCACAATGCAG >hg38_chr3:119763355-119763365(-) caacaatgtgc >hg38_chr3:119773015-119773025(+) ccacaatgcta >hg38_chr3:119795346-119795356(-) GTACAATGCCT >hg38_chr3:119831820-119831830(-) TGATAATGGGC >hg38_chr3:119831842-119831852(+) CTACTATGGAA >hg38_chr3:119832078-119832088(+) aaataatggtg >hg38_chr3:119839331-119839341(+) tgataatggtt >hg38_chr3:119873452-119873462(+) CAACAAAGGTA >hg38_chr3:119877018-119877028(+) agacaaaggca >hg38_chr3:119892501-119892511(-) atacaaaggca >hg38_chr3:119901688-119901698(+) GGACAATGGTT >hg38_chr3:119913169-119913179(+) TGACAATGGAT >hg38_chr3:119943151-119943161(+) ATACAATAAAA >hg38_chr3:119946154-119946164(-) ACACAATACGT >hg38_chr3:119976103-119976113(-) caacaatgtat >hg38_chr3:119996380-119996390(-) ATACTATGGTT >hg38_chr3:120003379-120003389(-) GAACAATGTAA >hg38_chr3:120028103-120028113(-) TAACAATGCCT >hg38_chr3:120030514-120030524(-) gaataatggca >hg38_chr3:120040521-120040531(+) atacaaaggat >hg38_chr3:120086275-120086285(+) GGACAATGGAA >hg38_chr3:120088802-120088812(-) CTATAATGGAG >hg38_chr3:120094813-120094823(+) CGACAATGGCA >hg38_chr3:120173080-120173090(-) gaacaatgaac >hg38_chr3:120173095-120173105(+) gcacaatgcct >hg38_chr3:120188409-120188419(-) ttacaatagta >hg38_chr3:120215242-120215252(+) AAACAAAGGTC >hg38_chr3:120231973-120231983(-) gtacaaaggca >hg38_chr3:120231981-120231991(-) caacaaaggta >hg38_chr3:120421441-120421451(+) GGACAAAGGAA >hg38_chr3:120433066-120433076(+) AGACAAAGGTG >hg38_chr3:120474555-120474565(+) TAACAATGCTG >hg38_chr3:120476155-120476165(-) agataatggcT >hg38_chr3:120524751-120524761(+) gaataatggag >hg38_chr3:120558998-120559008(-) AAACAATGCAG >hg38_chr3:120560674-120560684(-) AAACAATGGGC >hg38_chr3:120587644-120587654(-) GAACAATAAGT >hg38_chr3:120588016-120588026(+) tcacaatggca >hg38_chr3:120591900-120591910(+) ATACAATGAAA >hg38_chr3:120596308-120596318(+) AGACAAAGGGC >hg38_chr3:120599938-120599948(+) AAACAATGCTG >hg38_chr3:120616445-120616455(+) ggacaatgctg >hg38_chr3:120668686-120668696(-) atacaatgcct >hg38_chr3:120668729-120668739(+) tcacaatagca >hg38_chr3:120673357-120673367(+) GAATAATGGGG >hg38_chr3:120688323-120688333(-) ACACAAAGGAA >hg38_chr3:120689303-120689313(+) TGACAATGGTG >hg38_chr3:120712295-120712305(+) GGATAATGGAA >hg38_chr3:120728053-120728063(-) gtacaatgttg >hg38_chr3:120742136-120742146(+) ATACAATACTC >hg38_chr3:120785954-120785964(-) aaacaatagag >hg38_chr3:120787805-120787815(+) GCATAATGGCC >hg38_chr3:120795157-120795167(+) ctacaatgatt >hg38_chr3:120824789-120824799(-) TAACAATAGGG >hg38_chr3:120829932-120829942(-) CAACAATAGCC >hg38_chr3:120831142-120831152(-) aaacaatagcc >hg38_chr3:120832144-120832154(-) TAACAATATAA >hg38_chr3:120833700-120833710(-) AGACAATAGCA >hg38_chr3:120857684-120857694(-) TCACAATGCTT >hg38_chr3:120891081-120891091(+) gaacactggta >hg38_chr3:120891108-120891118(-) ctacaatgcaa >hg38_chr3:121020889-121020899(+) gcacaatgagt >hg38_chr3:121020898-121020908(+) gtacaatagta >hg38_chr3:121177129-121177139(+) CCACAATGAAG >hg38_chr3:121237834-121237844(+) caacaatgcag >hg38_chr3:121237865-121237875(+) caacaatgggg >hg38_chr3:121366279-121366289(-) atacaataaac >hg38_chr3:121367170-121367180(-) gaacaatggtt >hg38_chr3:121410936-121410946(+) AGACAATGTAG >hg38_chr3:121480926-121480936(+) TCACAATGAAG >hg38_chr3:121481363-121481373(+) ctacaatggca >hg38_chr3:121497288-121497298(+) TGACAATATAA >hg38_chr3:121505524-121505534(+) acacaatgaca >hg38_chr3:121534894-121534904(-) taacaatagtg >hg38_chr3:121535190-121535200(+) gcacaatgatt >hg38_chr3:121541381-121541391(+) AAACAAAGGGA >hg38_chr3:121550682-121550692(+) GAACAAAGGAG >hg38_chr3:121605430-121605440(+) ggactatggta >hg38_chr3:121652034-121652044(+) atacaatggga >hg38_chr3:121652052-121652062(+) tgacaatataa >hg38_chr3:121661179-121661189(-) ACACAATGCTG >hg38_chr3:121703538-121703548(+) gaacaatggca >hg38_chr3:121703546-121703556(+) gcacaatgtat >hg38_chr3:121709310-121709320(+) gaacaatacta >hg38_chr3:121726815-121726825(-) GCACAATGGGC >hg38_chr3:121731108-121731118(+) GCACAATGATG >hg38_chr3:121733327-121733337(+) ttacaatgaac >hg38_chr3:121734938-121734948(+) aaacaatggaa >hg38_chr3:121749850-121749860(+) CAACAATGACG >hg38_chr3:121810065-121810075(-) CAACAATGAGA >hg38_chr3:121849146-121849156(-) AAACAATAGAA >hg38_chr3:121870855-121870865(-) gtacaatggta >hg38_chr3:121873549-121873559(-) acataatggat >hg38_chr3:121879008-121879018(-) acacaaaggga >hg38_chr3:121883292-121883302(-) taacaatagtt >hg38_chr3:121892960-121892970(+) GAACAATGGTT >hg38_chr3:122006063-122006073(+) AAACAATGCCT >hg38_chr3:122006097-122006107(+) GGACAATGGCA >hg38_chr3:122100783-122100793(-) TTACAATGGGT >hg38_chr3:122101731-122101741(+) AGACAATGCTA >hg38_chr3:122117605-122117615(-) ACACAATGTTG >hg38_chr3:122122027-122122037(+) tcacaatgacc >hg38_chr3:122122064-122122074(-) gcataatgggc >hg38_chr3:122124601-122124611(-) CCACAATGATG >hg38_chr3:122205341-122205351(-) atacaatgggg >hg38_chr3:122205422-122205432(-) gaacaatggaa >hg38_chr3:122207187-122207197(+) atacaatggta >hg38_chr3:122213198-122213208(-) caacaatggaa >hg38_chr3:122213215-122213225(-) caacaatgagg >hg38_chr3:122258576-122258586(-) TAACAATAACT >hg38_chr3:122261229-122261239(-) CAACAATAGTG >hg38_chr3:122261273-122261283(-) TCACAATGCTA >hg38_chr3:122262816-122262826(-) ACACAATAATA >hg38_chr3:122396438-122396448(+) ctacaatgaga >hg38_chr3:122416186-122416196(-) GAACATTGGCG >hg38_chr3:122437480-122437490(-) GTACAATGAAA >hg38_chr3:122437523-122437533(+) ATACAATAATG >hg38_chr3:122437535-122437545(-) TTACAATAGTA >hg38_chr3:122456634-122456644(+) TGACAATGACT >hg38_chr3:122469182-122469192(+) atacaaaggct >hg38_chr3:122479806-122479816(-) atacaaaggag >hg38_chr3:122487552-122487562(+) atacaatggaa >hg38_chr3:122496065-122496075(+) TTACAATGAAC >hg38_chr3:122497632-122497642(-) ccacaatgagt >hg38_chr3:122525736-122525746(-) caacaatgaaa >hg38_chr3:122562873-122562883(-) ttacaatagca >hg38_chr3:122564959-122564969(+) AAATAATGGTT >hg38_chr3:122564968-122564978(-) GAACAATGGAA >hg38_chr3:122579119-122579129(-) TCATAATGGAG >hg38_chr3:122579163-122579173(+) AAACAAAGGAT >hg38_chr3:122579178-122579188(-) AAACAATGAAA >hg38_chr3:122587822-122587832(-) caacaatgaag >hg38_chr3:122587856-122587866(-) gcacaatgtca >hg38_chr3:122590773-122590783(-) GGACAATAGAG >hg38_chr3:122590786-122590796(-) TCACAAAGGAA >hg38_chr3:122704318-122704328(-) TCACAATGAAG >hg38_chr3:122726022-122726032(+) agacaatgcaa >hg38_chr3:122825226-122825236(-) CAACAATGAGA >hg38_chr3:122959886-122959896(-) AAACAATAAAA >hg38_chr3:122979101-122979111(+) GAACAATGGGA >hg38_chr3:123004543-123004553(+) acacaatggct >hg38_chr3:123004553-123004563(+) taacaatgtaa >hg38_chr3:123021576-123021586(+) GGACAATGGCT >hg38_chr3:123030905-123030915(-) TGACAATGACT >hg38_chr3:123030976-123030986(-) GGACAATGGCA >hg38_chr3:123032595-123032605(-) gtacaatgtca >hg38_chr3:123032608-123032618(-) agacaataaat >hg38_chr3:123066444-123066454(-) ttacaataacc >hg38_chr3:123083597-123083607(+) GAACAATGCCG >hg38_chr3:123090224-123090234(-) CAACAATGCAC >hg38_chr3:123106013-123106023(-) GTACAATGACT >hg38_chr3:123106027-123106037(+) TAACAAAGGCC >hg38_chr3:123169635-123169645(+) AGACAATGTCT >hg38_chr3:123201934-123201944(+) CCACAATGCAC >hg38_chr3:123223323-123223333(-) ACACAATACGG >hg38_chr3:123240497-123240507(-) atacaatggaa >hg38_chr3:123243478-123243488(-) gtacaaagggg >hg38_chr3:123244016-123244026(-) TAACAATAACA >hg38_chr3:123259406-123259416(-) ACACACTGGTA >hg38_chr3:123315248-123315258(-) GAACAAAGACG >hg38_chr3:123320097-123320107(+) TAACAATGTGA >hg38_chr3:123460008-123460018(-) AAACAATGCTC >hg38_chr3:123492116-123492126(+) GGATAATGGTT >hg38_chr3:123500633-123500643(-) TCACAATATAT >hg38_chr3:123508549-123508559(-) CTACAATAGTG >hg38_chr3:123540652-123540662(-) AAACAATAAAA >hg38_chr3:123540669-123540679(-) AAACACTGGTA >hg38_chr3:123549259-123549269(-) AAATAATGGCA >hg38_chr3:123559256-123559266(-) TTACTATGGTC >hg38_chr3:123567258-123567268(-) ATACAATATGT >hg38_chr3:123582987-123582997(+) ACACAATGTTT >hg38_chr3:123645115-123645125(+) taacaataact >hg38_chr3:123649609-123649619(-) CAACAATGTTC >hg38_chr3:123716485-123716495(+) GAACAATGACG >hg38_chr3:123732507-123732517(+) GTACAATAAAC >hg38_chr3:123766874-123766884(-) TCACAATGGGT >hg38_chr3:123783027-123783037(+) CCACAATGACA >hg38_chr3:123791602-123791612(-) GAACAATGCCT >hg38_chr3:123808005-123808015(+) AAACAATGGCT >hg38_chr3:123872141-123872151(-) agacaatagat >hg38_chr3:123874360-123874370(+) caacaaaggta >hg38_chr3:123874402-123874412(-) ccacaatgaaa >hg38_chr3:123938625-123938635(-) taacaatgtgc >hg38_chr3:123942298-123942308(+) ggacaatagtc >hg38_chr3:124098087-124098097(-) AAACAAAGGAA >hg38_chr3:124148105-124148115(+) caacaatggga >hg38_chr3:124148114-124148124(+) gaacaatgcag >hg38_chr3:124151046-124151056(-) atacaatatag >hg38_chr3:124200648-124200658(+) GAACAATGCAT >hg38_chr3:124224078-124224088(-) GGACAAAGGCA >hg38_chr3:124231292-124231302(-) GTACAAAGGAC >hg38_chr3:124231320-124231330(-) GGACAATGGGT >hg38_chr3:124231366-124231376(-) AGACAATAGGA >hg38_chr3:124243614-124243624(+) GAACAATAGCA >hg38_chr3:124261385-124261395(+) acacaatgaaa >hg38_chr3:124263299-124263309(+) TTACAATGGAA >hg38_chr3:124266709-124266719(+) GCACAATGACC >hg38_chr3:124267624-124267634(-) GAAcaatggtt >hg38_chr3:124297778-124297788(+) agacaatgctc >hg38_chr3:124322958-124322968(+) GAACAATGAGC >hg38_chr3:124322981-124322991(-) ATACAATGTTG >hg38_chr3:124350346-124350356(-) ATATAATGGAA >hg38_chr3:124373039-124373049(-) GGACAATGCAG >hg38_chr3:124378305-124378315(+) ttacaatagta >hg38_chr3:124390695-124390705(-) TAACAATAATG >hg38_chr3:124396724-124396734(+) GCACAATGCCT >hg38_chr3:124402212-124402222(+) TAATAATGGTA >hg38_chr3:124410992-124411002(+) gtacaatgata >hg38_chr3:124428390-124428400(-) caacaatGTGT >hg38_chr3:124439044-124439054(-) TGACAATGTGC >hg38_chr3:124476679-124476689(-) AAACAATGGTT >hg38_chr3:124515432-124515442(+) GGACAATGTGT >hg38_chr3:124587191-124587201(+) ATACAATGGAA >hg38_chr3:124648681-124648691(+) GAACAAAGGCT >hg38_chr3:124648698-124648708(+) GGACAATGGGG >hg38_chr3:124707734-124707744(+) tgacaatgcag >hg38_chr3:124730467-124730477(+) CGACAATGGCG >hg38_chr3:124783861-124783871(+) AAACAATGACA >hg38_chr3:124818848-124818858(+) AGACAAAGGTT >hg38_chr3:124818892-124818902(+) GAACTATGGCC >hg38_chr3:124885821-124885831(-) AAACAATAACT >hg38_chr3:124889229-124889239(-) aaacaataggc >hg38_chr3:124964816-124964826(+) atacaataatt >hg38_chr3:124977349-124977359(+) agacaatgtgc >hg38_chr3:125003603-125003613(+) caacaatgtga >hg38_chr3:125006726-125006736(+) GAACAATGAAG >hg38_chr3:125023814-125023824(+) ttataatggga >hg38_chr3:125032593-125032603(-) gaacaaaggga >hg38_chr3:125052440-125052450(-) AAACAATAATC >hg38_chr3:125052777-125052787(+) TAACAATGGCT >hg38_chr3:125052844-125052854(+) ACATAATGGAA >hg38_chr3:125054816-125054826(+) tcataATGGTA >hg38_chr3:125064714-125064724(-) agacaatgaag >hg38_chr3:125064737-125064747(-) ccacaatggcc >hg38_chr3:125121020-125121030(+) GGACAATGCCC >hg38_chr3:125121046-125121056(+) TTACAAAGGTC >hg38_chr3:125129836-125129846(-) ACACAATAGGC >hg38_chr3:125154458-125154468(+) ggacaataaca >hg38_chr3:125155977-125155987(-) AAACAATAGTC >hg38_chr3:125224861-125224871(-) AAACAATGAGT >hg38_chr3:125226536-125226546(+) TGACAATAGTG >hg38_chr3:125227758-125227768(-) GTACACTGGTA >hg38_chr3:125275184-125275194(+) GAACAATAGGG >hg38_chr3:125281149-125281159(+) CAACAATGCTT >hg38_chr3:125281647-125281657(-) AAACAATGACC >hg38_chr3:125290899-125290909(+) AGACAATGACA >hg38_chr3:125308131-125308141(+) atacaatagtg >hg38_chr3:125308377-125308387(+) gaacaatgctg >hg38_chr3:125352115-125352125(+) tcacaatggcc >hg38_chr3:125375370-125375380(-) CCACAATGCAC >hg38_chr3:125404031-125404041(+) gtacaataagg >hg38_chr3:125529129-125529139(+) CTACAATGGCT >hg38_chr3:125554466-125554476(+) ggacaatgaaa >hg38_chr3:125554836-125554846(+) gaacaataaaa >hg38_chr3:125594126-125594136(-) GGACAAAGGTA >hg38_chr3:125595783-125595793(+) AAACAAAGGAG >hg38_chr3:125663592-125663602(+) tcacaatgctc >hg38_chr3:126014577-126014587(+) GCACAATGTGA >hg38_chr3:126021919-126021929(-) gaacaataata >hg38_chr3:126021926-126021936(-) atacaatgaac >hg38_chr3:126080550-126080560(+) gaataatggac >hg38_chr3:126080557-126080567(+) ggacactggta >hg38_chr3:126140180-126140190(+) atacaatgggt >hg38_chr3:126140509-126140519(-) atacaataata >hg38_chr3:126163270-126163280(+) atacaattgat >hg38_chr3:126163284-126163294(+) gtacactgacg >hg38_chr3:126173239-126173249(+) caacaatgtat >hg38_chr3:126235833-126235843(+) acacaatggtt >hg38_chr3:126241722-126241732(-) gaataatggtg >hg38_chr3:126241732-126241742(-) agacaatgttg >hg38_chr3:126250411-126250421(+) gtacaatggat >hg38_chr3:126261151-126261161(+) GCACAATGCAG >hg38_chr3:126281400-126281410(-) agacaataata >hg38_chr3:126281956-126281966(-) aaacaatggaa >hg38_chr3:126284700-126284710(-) gcacaatgcat >hg38_chr3:126287479-126287489(-) AGATAATGGAG >hg38_chr3:126291380-126291390(+) GAACAATGTAG >hg38_chr3:126420598-126420608(+) ggacagtggat >hg38_chr3:126455412-126455422(+) taacaataagt >hg38_chr3:126485313-126485323(+) aaacaaaggca >hg38_chr3:126613402-126613412(-) aaacactggta >hg38_chr3:126619061-126619071(+) taacaaaggct >hg38_chr3:126679445-126679455(+) AGACAATGCGA >hg38_chr3:126698040-126698050(-) atacaatgagg >hg38_chr3:126698062-126698072(-) gcacaatgtcc >hg38_chr3:126746720-126746730(-) ACACAATAGGC >hg38_chr3:126763076-126763086(-) ggacaataaaa >hg38_chr3:126784371-126784381(-) AGACAAAGGAA >hg38_chr3:126784408-126784418(-) CCACAATGGCT >hg38_chr3:126785459-126785469(-) tgactatgggA >hg38_chr3:126793630-126793640(-) caacaatgcct >hg38_chr3:126793651-126793661(-) gaacaatggaa >hg38_chr3:126817132-126817142(+) AAACAATCGCC >hg38_chr3:126850906-126850916(-) AAACAGTGGGA >hg38_chr3:126911602-126911612(+) AAACAATAGAG >hg38_chr3:126960385-126960395(-) AAACAAAGGCA >hg38_chr3:127025691-127025701(-) aaacaatgcat >hg38_chr3:127116442-127116452(-) tgacaatgatg >hg38_chr3:127130230-127130240(-) ATACAATAAAA >hg38_chr3:127158843-127158853(+) gaacaaaggcc >hg38_chr3:127214949-127214959(+) caacaatggag >hg38_chr3:127227512-127227522(+) CAACAAAGGTA >hg38_chr3:127294517-127294527(-) gaataatgggt >hg38_chr3:127304525-127304535(+) GTACAATGCAC >hg38_chr3:127304561-127304571(+) ATACAATACAC >hg38_chr3:127368372-127368382(+) gaacaatgagg >hg38_chr3:127396510-127396520(+) GAACAATAGAC >hg38_chr3:127450179-127450189(-) CAACAATGGTG >hg38_chr3:127494490-127494500(-) agacaatggga >hg38_chr3:127524322-127524332(-) ggacaaaggat >hg38_chr3:127525785-127525795(-) gaacaataaca >hg38_chr3:127525793-127525803(-) atacaatagaa >hg38_chr3:127582086-127582096(+) GAACAATAGCA >hg38_chr3:127588801-127588811(+) taacaatggca >hg38_chr3:127592107-127592117(+) CCACAATGAGC >hg38_chr3:127751334-127751344(+) acacaatgcag >hg38_chr3:127781557-127781567(-) AAACAAAGTCG >hg38_chr3:127814565-127814575(+) AGACAATGGTG >hg38_chr3:127814575-127814585(+) GGACAAAGGTT >hg38_chr3:127829726-127829736(-) ggacaatagaa >hg38_chr3:127830009-127830019(-) taacactggat >hg38_chr3:127855694-127855704(+) TGacaaaggaa >hg38_chr3:127878808-127878818(-) gaataatgata >hg38_chr3:127933950-127933960(+) aaacaataaaa >hg38_chr3:127940059-127940069(+) taacaatacta >hg38_chr3:127953356-127953366(-) TTACAATAGGA >hg38_chr3:127987590-127987600(-) gaacaatgcca >hg38_chr3:128007755-128007765(-) ggacaatgtga >hg38_chr3:128007779-128007789(+) aaacaatgcag >hg38_chr3:128053364-128053374(+) TCACAATAGGG >hg38_chr3:128097960-128097970(+) CAACAATGCTT >hg38_chr3:128100425-128100435(+) GAACAAAGGAG >hg38_chr3:128108015-128108025(+) GGACAATGAAA >hg38_chr3:128139628-128139638(+) tcacaatagcc >hg38_chr3:128167991-128168001(-) TGACAATGCAG >hg38_chr3:128168029-128168039(-) AGACAATGCTC >hg38_chr3:128178843-128178853(-) TTACAATAAAA >hg38_chr3:128188431-128188441(-) AAACAAAGGCA >hg38_chr3:128196879-128196889(-) taataatggca >hg38_chr3:128197898-128197908(+) AAACAATGGAC >hg38_chr3:128200739-128200749(+) GAACAATCGTG >hg38_chr3:128200766-128200776(-) GAACAAAGGCT >hg38_chr3:128216042-128216052(+) TAACAATGGGG >hg38_chr3:128237796-128237806(-) GAACAATGAGA >hg38_chr3:128238402-128238412(+) agacaatgccc >hg38_chr3:128280918-128280928(-) AAACAATGCGG >hg38_chr3:128340555-128340565(+) acacaataggc >hg38_chr3:128351875-128351885(-) CAACAATGGCG >hg38_chr3:128400861-128400871(-) GCACAATGGGC >hg38_chr3:128433147-128433157(-) TGACAAAGGCG >hg38_chr3:128497739-128497749(+) CGACAAAGGCT >hg38_chr3:128543208-128543218(+) caacaatgggg >hg38_chr3:128555714-128555724(+) CCACAATGCAT >hg38_chr3:128568729-128568739(+) aaacaatggta >hg38_chr3:128649637-128649647(+) CAACAATAGTA >hg38_chr3:128663441-128663451(-) agacaatggca >hg38_chr3:128677389-128677399(-) TAACAATGTAG >hg38_chr3:128681230-128681240(-) ACACAAAGGCA >hg38_chr3:128681962-128681972(-) TCACAATGATT >hg38_chr3:128888938-128888948(+) TTACAATAAAT >hg38_chr3:128889003-128889013(+) atacagtggtg >hg38_chr3:128994341-128994351(+) GTACGATGGGA >hg38_chr3:128995900-128995910(-) tcacaatgcct >hg38_chr3:129002850-129002860(+) AGACAATGTGG >hg38_chr3:129100180-129100190(+) gaataatggaa >hg38_chr3:129100197-129100207(+) agacaatgctg >hg38_chr3:129143818-129143828(+) aaacaacggaa >hg38_chr3:129157105-129157115(-) ATACAATGCAA >hg38_chr3:129173164-129173174(-) gaataatggca >hg38_chr3:129173183-129173193(+) atacaatgtta >hg38_chr3:129185937-129185947(-) TTACAAAGGGG >hg38_chr3:129210208-129210218(+) caacaatggat >hg38_chr3:129210258-129210268(+) acacaatgaga >hg38_chr3:129276191-129276201(+) ggacaatgata >hg38_chr3:129314849-129314859(-) AAACAATCGCT >hg38_chr3:129435722-129435732(-) GTATAATGAAT >hg38_chr3:129450204-129450214(+) TCACAATGCCT >hg38_chr3:129461228-129461238(+) GCACAATGATG >hg38_chr3:129461241-129461251(+) ATACAATGTGT >hg38_chr3:129507683-129507693(+) ACACAATGCTT >hg38_chr3:129537069-129537079(+) GTACAATGGCA >hg38_chr3:129596369-129596379(-) AAACAATGGCT >hg38_chr3:129599746-129599756(+) TCACAATGGGC >hg38_chr3:129612171-129612181(+) GGATAATGGGC >hg38_chr3:129626335-129626345(+) atacaatggaa >hg38_chr3:129626906-129626916(-) GGACAATGGGC >hg38_chr3:129650455-129650465(+) AAACAAAGGCG >hg38_chr3:129665203-129665213(+) CTACAATGGCT >hg38_chr3:129687694-129687704(+) TGACAATGAAC >hg38_chr3:129699511-129699521(+) taacaatacat >hg38_chr3:129700849-129700859(+) ATACAATGGTA >hg38_chr3:129700867-129700877(-) GAATAATGGGG >hg38_chr3:129723839-129723849(-) AGACAAAGGAA >hg38_chr3:129724841-129724851(+) AGACAATGGAG >hg38_chr3:129732648-129732658(-) aaacaaaggca >hg38_chr3:129768482-129768492(-) TTACAATAAAT >hg38_chr3:129768524-129768534(-) GGACAATAGAG >hg38_chr3:129768895-129768905(+) CAACAATGACT >hg38_chr3:129794508-129794518(-) GAACAATGAAG >hg38_chr3:129795790-129795800(-) ggacaaaggga >hg38_chr3:129804097-129804107(+) GAACACTGGTA >hg38_chr3:129806908-129806918(+) gaacaaaggaa >hg38_chr3:129809518-129809528(-) TGACAAAGGAA >hg38_chr3:129816305-129816315(+) tcacaatagcc >hg38_chr3:129820923-129820933(-) CCACAATGAAA >hg38_chr3:129835230-129835240(-) AAACAGTGGTC >hg38_chr3:130276468-130276478(-) AAACAATAGAC >hg38_chr3:130288117-130288127(+) TAAtaatggct >hg38_chr3:130332339-130332349(-) ttacaatagct >hg38_chr3:130371602-130371612(+) ggacaacggta >hg38_chr3:130465683-130465693(+) tcacaatgtct >hg38_chr3:130465886-130465896(-) acacaatggtt >hg38_chr3:130465904-130465914(+) gaacaatgcac >hg38_chr3:130465921-130465931(+) ggataatggca >hg38_chr3:130514903-130514913(+) GCACAATGGGA >hg38_chr3:130529988-130529998(-) aaacaatgttc >hg38_chr3:130601946-130601956(-) GGACTATGGCA >hg38_chr3:130626284-130626294(+) AAACAATAAAT >hg38_chr3:130659498-130659508(-) GCACAATAGTT >hg38_chr3:130664582-130664592(-) CAACAATAGCA >hg38_chr3:130666246-130666256(+) gaacaataggg >hg38_chr3:130693455-130693465(+) taacactggtc >hg38_chr3:130693483-130693493(-) ctacaatggct >hg38_chr3:130695852-130695862(+) acataatggag >hg38_chr3:130695863-130695873(-) ttacaatagtt >hg38_chr3:130699918-130699928(-) ttacaatatat >hg38_chr3:130723495-130723505(+) TCACAATGACA >hg38_chr3:130724525-130724535(-) acacaatgaag >hg38_chr3:130774462-130774472(-) gaacaatgcct >hg38_chr3:130797849-130797859(-) aaacaatgcta >hg38_chr3:130803317-130803327(-) aaacaaaggaa >hg38_chr3:130820062-130820072(+) GTACAATGTAC >hg38_chr3:130839965-130839975(+) AAACAAAGGAA >hg38_chr3:130850118-130850128(-) GAACAATAGCT >hg38_chr3:130871618-130871628(-) TGACAATGACT >hg38_chr3:130898986-130898996(+) TAATAATGGTT >hg38_chr3:130908350-130908360(+) atacaatgaaa >hg38_chr3:130931941-130931951(-) atataatgtat >hg38_chr3:130934206-130934216(-) GTACAATGGTG >hg38_chr3:130935675-130935685(+) aaacaatggca >hg38_chr3:130935743-130935753(-) aaacaatgact >hg38_chr3:130937436-130937446(-) TAACAACGATA >hg38_chr3:130937451-130937461(-) GAACAAAGGCA >hg38_chr3:130938025-130938035(-) CAACAATGAGT >hg38_chr3:130947881-130947891(+) ttacaatgaac >hg38_chr3:130951375-130951385(+) taataatagta >hg38_chr3:130961759-130961769(+) ctataatggca >hg38_chr3:130964058-130964068(-) TCACAATGGCC >hg38_chr3:130964076-130964086(-) CAACAATAGGC >hg38_chr3:130968442-130968452(+) GAACAATGCAA >hg38_chr3:130983193-130983203(+) TGACAATAGCA >hg38_chr3:130992580-130992590(+) gaacaaaggga >hg38_chr3:130998325-130998335(+) CAACAATGACC >hg38_chr3:131002898-131002908(-) GAACAATGTAC >hg38_chr3:131032605-131032615(-) TAACAATGACA >hg38_chr3:131033369-131033379(+) CTATAATGGTA >hg38_chr3:131053649-131053659(-) GTACAAAGGCG >hg38_chr3:131070845-131070855(-) ttacaatggag >hg38_chr3:131082872-131082882(-) ggacaaaggag >hg38_chr3:131111343-131111353(-) TCACAAAGGAT >hg38_chr3:131203927-131203937(+) ctacaatagaa >hg38_chr3:131225511-131225521(-) gtataatggat >hg38_chr3:131261474-131261484(-) AGACAATGCCT >hg38_chr3:131318648-131318658(-) taacaatatac >hg38_chr3:131318679-131318689(+) gaacaataggc >hg38_chr3:131347472-131347482(+) CCACAATACGT >hg38_chr3:131347527-131347537(+) GAACAATAAAA >hg38_chr3:131402746-131402756(+) ACACAATGCAC >hg38_chr3:131433520-131433530(+) atacaaaggag >hg38_chr3:131433540-131433550(+) atacaatagaa >hg38_chr3:131433554-131433564(+) atacaatagaa >hg38_chr3:131465387-131465397(-) ATACAATGACA >hg38_chr3:131469601-131469611(+) GAACAATGGTA >hg38_chr3:131483190-131483200(+) TTACAATGGAA >hg38_chr3:131484691-131484701(+) ATACAATGCTG >hg38_chr3:131485336-131485346(+) TAACAAAGGCC >hg38_chr3:131494941-131494951(+) CCACAATGAAA >hg38_chr3:131524475-131524485(-) gaacaaaggaa >hg38_chr3:131552587-131552597(-) ATATAATGGGC >hg38_chr3:131561699-131561709(+) GAACAATGCCT >hg38_chr3:131564961-131564971(-) TCACAATGCGA >hg38_chr3:131592866-131592876(-) GGACAATGCAC >hg38_chr3:131616997-131617007(+) GGACAATGTGC >hg38_chr3:131669845-131669855(-) TCACAATGGTT >hg38_chr3:131669868-131669878(+) GAACAATAGCC >hg38_chr3:131686364-131686374(+) ctacaatgtct >hg38_chr3:131747853-131747863(-) gaacaatagtg >hg38_chr3:131755705-131755715(-) ACACAAAGGAA >hg38_chr3:131755738-131755748(+) ATACAAAGGCC >hg38_chr3:131796922-131796932(+) TAACAATGCTT >hg38_chr3:131819757-131819767(+) AAACAATAGGC >hg38_chr3:131915586-131915596(+) TAACAATATGA >hg38_chr3:131929906-131929916(-) ACACAATAGAA >hg38_chr3:131949900-131949910(+) acacaatgtat >hg38_chr3:131958033-131958043(+) AAATAATGGGA >hg38_chr3:132007921-132007931(+) tcacaatggat >hg38_chr3:132007978-132007988(-) GCACAATGTAT >hg38_chr3:132009478-132009488(-) AAACAATGTGC >hg38_chr3:132029184-132029194(+) AAACAAAGGGC >hg38_chr3:132037194-132037204(+) ACACAATGCCA >hg38_chr3:132042611-132042621(+) atacaatgatg >hg38_chr3:132063405-132063415(+) TCACAAAGGAC >hg38_chr3:132063412-132063422(+) GGACAATGGGG >hg38_chr3:132111244-132111254(-) atacaatgaaa >hg38_chr3:132168060-132168070(+) GGACAATGACC >hg38_chr3:132182366-132182376(-) AGATAATGGAC >hg38_chr3:132182402-132182412(+) TCACAATGACT >hg38_chr3:132183238-132183248(+) CCACAATGCGT >hg38_chr3:132198633-132198643(+) TTACAAAGGAG >hg38_chr3:132222970-132222980(-) caacaatgact >hg38_chr3:132247678-132247688(-) GGATAATGGCA >hg38_chr3:132247728-132247738(+) ATACAATGACT >hg38_chr3:132256892-132256902(+) acacaatggtg >hg38_chr3:132331535-132331545(-) GAACAATGGTG >hg38_chr3:132347220-132347230(-) TAACAATGGCG >hg38_chr3:132352761-132352771(+) TTACAACGGAC >hg38_chr3:132356102-132356112(+) acacaatggaa >hg38_chr3:132382055-132382065(-) agacaatggag >hg38_chr3:132385260-132385270(-) GAACAATAATT >hg38_chr3:132385493-132385503(+) TTACAAAGGCT >hg38_chr3:132430666-132430676(-) gaacaatggga >hg38_chr3:132445105-132445115(-) ATACAATAAAA >hg38_chr3:132451074-132451084(+) acacaatggat >hg38_chr3:132459614-132459624(+) AAACAATGCTA >hg38_chr3:132463133-132463143(+) ATACAATTGAA >hg38_chr3:132468183-132468193(+) CTATAATGGGT >hg38_chr3:132479309-132479319(-) TAACAATGTAT >hg38_chr3:132499216-132499226(-) CAACAATGGTG >hg38_chr3:132543008-132543018(-) GGACAATAGCT >hg38_chr3:132622954-132622964(-) ACATAATGGTA >hg38_chr3:132627116-132627126(+) ccacaatggac >hg38_chr3:132642335-132642345(-) GAACAATAAAT >hg38_chr3:132656234-132656244(-) acacaatgtct >hg38_chr3:132656274-132656284(+) gtacaatgaac >hg38_chr3:132663691-132663701(-) taacaatatag >hg38_chr3:132663735-132663745(+) gaacaaaggat >hg38_chr3:132672479-132672489(+) ATACAATGGTA >hg38_chr3:132679793-132679803(-) AGACAATGATT >hg38_chr3:132691135-132691145(+) ACACAAAGGTA >hg38_chr3:132692232-132692242(+) ctacaatgctc >hg38_chr3:132692243-132692253(+) acacaatgata >hg38_chr3:132692287-132692297(-) taacaatgggg >hg38_chr3:132791601-132791611(+) TCACAATGCCA >hg38_chr3:132821245-132821255(-) atacaatatgt >hg38_chr3:132853227-132853237(-) taacactggag >hg38_chr3:132853288-132853298(-) atataatgata >hg38_chr3:132870102-132870112(+) GGACAATGCAC >hg38_chr3:132879909-132879919(-) aaacaaaggag >hg38_chr3:132887712-132887722(+) aaacAATGATT >hg38_chr3:132887743-132887753(+) TTACAATGTGC >hg38_chr3:132892470-132892480(-) aaacaatgtcc >hg38_chr3:132940612-132940622(-) CGACAATAACt >hg38_chr3:132997088-132997098(-) taagaatggta >hg38_chr3:133030761-133030771(-) AGACAATGGGA >hg38_chr3:133052851-133052861(-) acacaatgact >hg38_chr3:133085631-133085641(-) ggacaaaggaa >hg38_chr3:133097395-133097405(-) CCACAATGAAT >hg38_chr3:133121720-133121730(+) GAACAATAGAC >hg38_chr3:133137961-133137971(+) TTACAATAAGA >hg38_chr3:133156455-133156465(+) CCACAATAGCC >hg38_chr3:133196236-133196246(-) CTACAATGATT >hg38_chr3:133237430-133237440(-) GGACAATAAAC >hg38_chr3:133284514-133284524(+) ACACAATGGCT >hg38_chr3:133293046-133293056(+) GAACAATAGGG >hg38_chr3:133317050-133317060(-) GCACAAAGGTA >hg38_chr3:133349296-133349306(+) GCACAATATAA >hg38_chr3:133374158-133374168(-) atacaatgagg >hg38_chr3:133374181-133374191(+) taacaaaggcc >hg38_chr3:133374910-133374920(+) GAACAATAAAT >hg38_chr3:133464775-133464785(-) CCACAATGAAT >hg38_chr3:133478865-133478875(-) AAACAATGAGG >hg38_chr3:133636534-133636544(+) AAACAATAAAA >hg38_chr3:133648175-133648185(+) tgacaatgaaa >hg38_chr3:133654441-133654451(+) CAACAATGAAC >hg38_chr3:133746153-133746163(+) TGACAATGGCT >hg38_chr3:133746162-133746172(-) GCACAATGCAG >hg38_chr3:133782187-133782197(-) caacaatgcac >hg38_chr3:133782210-133782220(-) ccacaatggct >hg38_chr3:133927192-133927202(+) GAATAATGGTT >hg38_chr3:133996546-133996556(+) CGACACTGGCA >hg38_chr3:134125074-134125084(+) aaacaataaaa >hg38_chr3:134125522-134125532(-) ctacaatagat >hg38_chr3:134186708-134186718(-) ACACAATGAGT >hg38_chr3:134197903-134197913(+) ACACAAAGGCG >hg38_chr3:134206774-134206784(+) gaacaatggca >hg38_chr3:134207699-134207709(-) TAACAGTGGTA >hg38_chr3:134226997-134227007(-) gaacaatgaca >hg38_chr3:134233887-134233897(+) TAACAatgtct >hg38_chr3:134299672-134299682(-) ACACAATAGTG >hg38_chr3:134299707-134299717(+) GAACAATACAC >hg38_chr3:134308471-134308481(-) GTATAATGGCG >hg38_chr3:134315310-134315320(-) GAACAATGCTG >hg38_chr3:134322477-134322487(-) acacaatgtta >hg38_chr3:134333742-134333752(+) AGATAATGGGA >hg38_chr3:134333758-134333768(+) GAACAATTGTA >hg38_chr3:134399981-134399991(-) taacaatatta >hg38_chr3:134400005-134400015(+) acacaaaggga >hg38_chr3:134406328-134406338(+) GGACAATGGGT >hg38_chr3:134406859-134406869(+) AAACAATAAGT >hg38_chr3:134406929-134406939(-) GCACAATGGTC >hg38_chr3:134407700-134407710(-) CAACAATGTGT >hg38_chr3:134409250-134409260(+) taacaataatt >hg38_chr3:134409269-134409279(-) ggacaatggtg >hg38_chr3:134437590-134437600(+) GAACAATGCAG >hg38_chr3:134486091-134486101(-) AAACAATGCCT >hg38_chr3:134497228-134497238(-) aaacaatagag >hg38_chr3:134501102-134501112(-) aaacaatggag >hg38_chr3:134501139-134501149(-) ttacaatgaac >hg38_chr3:134588958-134588968(+) acacaatggat >hg38_chr3:134597739-134597749(-) agacaatggtg >hg38_chr3:134601231-134601241(+) TTACAAAGGGG >hg38_chr3:134637087-134637097(+) atacaatgtgg >hg38_chr3:134670964-134670974(+) aaacaatatat >hg38_chr3:134670971-134670981(-) agacaatatat >hg38_chr3:134694789-134694799(+) AGACAATAAAC >hg38_chr3:134732937-134732947(+) caacaatagaa >hg38_chr3:134732987-134732997(-) gaacactggga >hg38_chr3:134736822-134736832(+) agataatggga >hg38_chr3:134784462-134784472(-) aaacaatggca >hg38_chr3:134795125-134795135(+) TAACAATGCCA >hg38_chr3:134806333-134806343(-) tgacaatgtgg >hg38_chr3:134810474-134810484(+) GGACAATGAGA >hg38_chr3:134850958-134850968(+) TCACAATGGAA >hg38_chr3:134936525-134936535(-) GGACAAAGGAG >hg38_chr3:134936537-134936547(-) GGACAATGGAT >hg38_chr3:134938044-134938054(-) GTATAATGGGC >hg38_chr3:135079697-135079707(+) AAATAATGGTT >hg38_chr3:135168410-135168420(-) TGACAATGGAG >hg38_chr3:135192421-135192431(+) AAACAATATAG >hg38_chr3:135192432-135192442(+) TTACAATGAGA >hg38_chr3:135216816-135216826(-) TAACAATGGAA >hg38_chr3:135260333-135260343(-) GAACAATGAGG >hg38_chr3:135292645-135292655(+) tgacaaaggga >hg38_chr3:135292653-135292663(+) ggacaaaggag >hg38_chr3:135299963-135299973(+) TTACAATGACA >hg38_chr3:135350948-135350958(+) AGACAATAGTC >hg38_chr3:135387967-135387977(+) ctacaatgaag >hg38_chr3:135394049-135394059(+) AGACAATAAAC >hg38_chr3:135430775-135430785(+) caacaataggc >hg38_chr3:135503892-135503902(+) GAACAATGCAC >hg38_chr3:135503952-135503962(+) ACACAATGTTA >hg38_chr3:135567367-135567377(-) ATACTATGGCA >hg38_chr3:135580768-135580778(-) TAACAATGTCT >hg38_chr3:135688226-135688236(-) TGACAATGACT >hg38_chr3:135740355-135740365(+) AGACAATAAAC >hg38_chr3:135851526-135851536(-) GGACAATGACG >hg38_chr3:135853095-135853105(+) TAATAATGTAA >hg38_chr3:135874583-135874593(-) ccacaatgtac >hg38_chr3:135900899-135900909(-) taacaatgctg >hg38_chr3:135900920-135900930(-) aaacaatgcgg >hg38_chr3:135900937-135900947(-) ttacaatagaa >hg38_chr3:135930071-135930081(-) AAACAATAAAA >hg38_chr3:135972331-135972341(-) atacaatggag >hg38_chr3:135977217-135977227(-) GGAcaaaggga >hg38_chr3:135977456-135977466(-) aaacaatgatt >hg38_chr3:135977463-135977473(-) agacaataaac >hg38_chr3:136026944-136026954(-) GGACAATGGCG >hg38_chr3:136038270-136038280(+) GAACAATAGGC >hg38_chr3:136044084-136044094(-) TCACAATAGAA >hg38_chr3:136073670-136073680(-) tcacaatggca >hg38_chr3:136147471-136147481(-) GTACAATAAAA >hg38_chr3:136148051-136148061(+) TGACAATATAC >hg38_chr3:136165870-136165880(+) gtataatggga >hg38_chr3:136165892-136165902(+) gtataatggaa >hg38_chr3:136165912-136165922(+) acacaaaggat >hg38_chr3:136174101-136174111(-) tgacaatataa >hg38_chr3:136174107-136174117(-) gaacaatgaca >hg38_chr3:136194951-136194961(+) GAACAATAAAA >hg38_chr3:136198152-136198162(+) AGACAATAAAT >hg38_chr3:136206006-136206016(-) atataatgggg >hg38_chr3:136206341-136206351(-) ATACAATAAAT >hg38_chr3:136206369-136206379(+) AGACAATGAAG >hg38_chr3:136269352-136269362(+) atacaattgat >hg38_chr3:136344191-136344201(+) atacaatgggg >hg38_chr3:136375112-136375122(+) atacaatgatg >hg38_chr3:136387542-136387552(-) CTACAATGGTG >hg38_chr3:136404099-136404109(-) GAACAATGATT >hg38_chr3:136431740-136431750(-) agacagtggaa >hg38_chr3:136505632-136505642(-) gaacaatgctc >hg38_chr3:136516765-136516775(-) ggacaatgctt >hg38_chr3:136517834-136517844(+) CTACAATGTAA >hg38_chr3:136534545-136534555(+) caacaatgaaa >hg38_chr3:136558070-136558080(+) taacaaaggag >hg38_chr3:136728543-136728553(-) ctacaatagcc >hg38_chr3:136733614-136733624(+) AGACAATGGAC >hg38_chr3:136733621-136733631(+) GGACAATAgat >hg38_chr3:136734568-136734578(-) taacaatagat >hg38_chr3:136793747-136793757(-) taacaatataa >hg38_chr3:136810789-136810799(-) caacaatgtgc >hg38_chr3:136818819-136818829(+) AAACAATGGGT >hg38_chr3:136855709-136855719(-) AGACAATAATA >hg38_chr3:136862114-136862124(-) CGACAACGGTC >hg38_chr3:136873999-136874009(-) acacaatgtta >hg38_chr3:136874653-136874663(-) agacaaaggga >hg38_chr3:136882513-136882523(+) ATACAATGAAA >hg38_chr3:136933475-136933485(-) GAACAATGCAT >hg38_chr3:136937739-136937749(-) taacaatgaac >hg38_chr3:136950923-136950933(-) ACACAATGGCA >hg38_chr3:136958081-136958091(+) AAACAATGTTC >hg38_chr3:136958124-136958134(+) TCACAATGGTT >hg38_chr3:136994922-136994932(-) CTACAATATAT >hg38_chr3:137041425-137041435(-) GGACAAAGGTG >hg38_chr3:137046943-137046953(+) agacaaaggga >hg38_chr3:137065629-137065639(+) AGACAAAGGCA >hg38_chr3:137106764-137106774(+) agacaatgaac >hg38_chr3:137107428-137107438(-) AGACAGTGGTA >hg38_chr3:137111720-137111730(-) cgacaatgtca >hg38_chr3:137111749-137111759(-) ctacaatagct >hg38_chr3:137111762-137111772(+) gcacaatgcat >hg38_chr3:137136822-137136832(+) GTACAATGGGA >hg38_chr3:137136839-137136849(-) ACACAATGAAT >hg38_chr3:137519839-137519849(+) GAATAATGCGA >hg38_chr3:137582712-137582722(+) GGACAATAGAG >hg38_chr3:137694282-137694292(+) GGACAATAGCC >hg38_chr3:137767759-137767769(+) AAACAAAGGCG >hg38_chr3:137782002-137782012(+) GAACAATGAAT >hg38_chr3:137782031-137782041(-) AAACAATGGAC >hg38_chr3:137797357-137797367(-) taacaataatt >hg38_chr3:137797996-137798006(-) agacaatagga >hg38_chr3:137798057-137798067(-) gaactatggct >hg38_chr3:137811433-137811443(-) TTACAAAGGCC >hg38_chr3:137820421-137820431(+) AGACAATAGTG >hg38_chr3:137834372-137834382(+) gaataatgata >hg38_chr3:137834378-137834388(+) tgataatggat >hg38_chr3:137834412-137834422(+) caacaatgtct >hg38_chr3:137867144-137867154(-) agacaatgcaa >hg38_chr3:137892807-137892817(+) GTACAATGACT >hg38_chr3:137958145-137958155(-) ggacaatacga >hg38_chr3:137958201-137958211(-) taacaatagga >hg38_chr3:137958849-137958859(-) taacaatggac >hg38_chr3:138047619-138047629(-) AAACAATGGTG >hg38_chr3:138057271-138057281(+) atacaataagc >hg38_chr3:138079499-138079509(+) taacaatataa >hg38_chr3:138079519-138079529(+) gaacaatgtgt >hg38_chr3:138135642-138135652(+) CAACAATGCCA >hg38_chr3:138156974-138156984(-) acacaatgagg >hg38_chr3:138185506-138185516(+) caataatggac >hg38_chr3:138202133-138202143(+) TCACAATGCTG >hg38_chr3:138210707-138210717(-) TGACAATGCTT >hg38_chr3:138218724-138218734(-) ACACAATGGGA >hg38_chr3:138218763-138218773(+) GCACAATGCTG >hg38_chr3:138219743-138219753(-) GAACAATGTCT >hg38_chr3:138219751-138219761(-) ATACAATGGAA >hg38_chr3:138221433-138221443(+) TAACAAAGGAG >hg38_chr3:138336673-138336683(-) agactatggca >hg38_chr3:138382180-138382190(-) GGACAAAGGGT >hg38_chr3:138491397-138491407(-) atataatgata >hg38_chr3:138496389-138496399(+) AAACAATATAA >hg38_chr3:138504011-138504021(-) agacaaaggga >hg38_chr3:138526579-138526589(+) CAACAATGTTC >hg38_chr3:138594824-138594834(-) tgacaatggtg >hg38_chr3:138594830-138594840(-) atacaatgaca >hg38_chr3:138626120-138626130(-) GAACAATAGCT >hg38_chr3:138636758-138636768(+) aaacaatagat >hg38_chr3:138670128-138670138(-) AGACAATGGGA >hg38_chr3:138670143-138670153(+) TCACAATGAAC >hg38_chr3:138672999-138673009(-) CAACAATGTTT >hg38_chr3:138673306-138673316(+) gcacaatgaaa >hg38_chr3:138861997-138862007(+) tcacaatgtgg >hg38_chr3:138862768-138862778(+) TAACAATGGAA >hg38_chr3:138921639-138921649(-) aaacaatagga >hg38_chr3:138921675-138921685(+) atacaatgctc >hg38_chr3:138923214-138923224(-) TGACAATAGGC >hg38_chr3:139053140-139053150(-) taacaatatga >hg38_chr3:139065655-139065665(-) taacaatggcc >hg38_chr3:139173844-139173854(+) GAACAATAGCA >hg38_chr3:139214151-139214161(+) GAACAATGAGC >hg38_chr3:139242739-139242749(-) taacaataaaa >hg38_chr3:139244382-139244392(-) ctacaatagaa >hg38_chr3:139310056-139310066(-) agacaaaggga >hg38_chr3:139320017-139320027(-) acacaaaggag >hg38_chr3:139365350-139365360(+) gaacaatgcct >hg38_chr3:139365431-139365441(+) gaacaatggca >hg38_chr3:139365567-139365577(+) aaacaatggat >hg38_chr3:139369239-139369249(+) CAACAATGGAG >hg38_chr3:139373816-139373826(+) GAACAATGTAA >hg38_chr3:139373851-139373861(+) CGACAATAAAC >hg38_chr3:139378111-139378121(+) ACATAATGGGT >hg38_chr3:139393988-139393998(-) CTACAAAGGTC >hg38_chr3:139440951-139440961(-) tcacaATGGGC >hg38_chr3:139460281-139460291(-) GGATAATGGAT >hg38_chr3:139532948-139532958(-) GAACAAAGGAA >hg38_chr3:139539713-139539723(-) CTACAATGGAT >hg38_chr3:139559745-139559755(-) AAACAAAGGGC >hg38_chr3:139560447-139560457(+) AGACAATGGTT >hg38_chr3:139560469-139560479(-) TTACACTGGAT >hg38_chr3:139560626-139560636(-) CCACAATGTGT >hg38_chr3:139563651-139563661(+) CGACAATACCA >hg38_chr3:139588725-139588735(-) ccacaatggga >hg38_chr3:139597539-139597549(-) TAACAATATAA >hg38_chr3:139695916-139695926(+) AGACAAAGGTG >hg38_chr3:139838935-139838945(-) AGACAATAGGA >hg38_chr3:139847458-139847468(-) gaacaatgtac >hg38_chr3:139865177-139865187(-) atacaatggaa >hg38_chr3:139907918-139907928(+) taacaatggat >hg38_chr3:139907927-139907937(-) gaacaatggat >hg38_chr3:139909921-139909931(+) GAATAATGGAG >hg38_chr3:139924699-139924709(-) caacaatagtt >hg38_chr3:139925150-139925160(-) taacaatagag >hg38_chr3:139925166-139925176(-) aaacaataaac >hg38_chr3:140031264-140031274(-) AAACAATGCTC >hg38_chr3:140050895-140050905(-) TAACAATAAAA >hg38_chr3:140064533-140064543(+) ccacaatgacc >hg38_chr3:140091796-140091806(-) TCATAATGGAA >hg38_chr3:140091811-140091821(+) GAACAATAGCC >hg38_chr3:140091851-140091861(+) caacaatgcaa >hg38_chr3:140093041-140093051(-) TAACAAAGGGC >hg38_chr3:140114531-140114541(+) GAACAAAGGGG >hg38_chr3:140114549-140114559(+) AGACAAAGGGA >hg38_chr3:140114567-140114577(-) TTACAATAAGA >hg38_chr3:140135671-140135681(+) GAACAATAGCA >hg38_chr3:140167913-140167923(-) agataatggac >hg38_chr3:140168145-140168155(+) caacaatgtct >hg38_chr3:140203721-140203731(+) AGACAATGGCT >hg38_chr3:140295788-140295798(+) ggacaaaggaa >hg38_chr3:140298715-140298725(-) GGACAATGGGC >hg38_chr3:140352587-140352597(+) cgacaatggat >hg38_chr3:140381584-140381594(-) GAACAATGAGA >hg38_chr3:140383881-140383891(+) TCACAATAGGC >hg38_chr3:140394081-140394091(-) GCACAAAGGGA >hg38_chr3:140394557-140394567(-) AGACAATGATG >hg38_chr3:140409675-140409685(+) acacaatatac >hg38_chr3:140443306-140443316(+) GAACAATGGAC >hg38_chr3:140470266-140470276(+) tgataatgggt >hg38_chr3:140486395-140486405(+) atataatgtat >hg38_chr3:140486418-140486428(+) gaacaatagaa >hg38_chr3:140675556-140675566(+) aaacaatagtc >hg38_chr3:140702116-140702126(+) GCACAATGAAG >hg38_chr3:140737259-140737269(+) GAACAATGGCA >hg38_chr3:140749194-140749204(-) GAACAATGGTG >hg38_chr3:140762299-140762309(-) taacagtggat >hg38_chr3:140763093-140763103(-) atacaatgata >hg38_chr3:140792784-140792794(+) acacaatagca >hg38_chr3:140807281-140807291(-) aaacaatggat >hg38_chr3:140835265-140835275(+) agataatagcg >hg38_chr3:140836121-140836131(+) atacaaagggc >hg38_chr3:140860226-140860236(-) AGACAATGTGC >hg38_chr3:140894885-140894895(-) aaacaatggac >hg38_chr3:140906650-140906660(-) TAACAATGTTC >hg38_chr3:140925602-140925612(-) gaacaatacta >hg38_chr3:140944383-140944393(-) GCATAATGGGA >hg38_chr3:140944574-140944584(-) AGACAATGTAC >hg38_chr3:140944585-140944595(-) ATACAATAACT >hg38_chr3:140950968-140950978(-) tgacaaaggta >hg38_chr3:140950968-140950978(-) tgacaaaggta >hg38_chr3:140952564-140952574(-) TGATAATGGTA >hg38_chr3:140955575-140955585(-) GAACAATAATA >hg38_chr3:140955633-140955643(-) GTACAATGTAG >hg38_chr3:140975842-140975852(-) AAACAATAGCA >hg38_chr3:140978705-140978715(-) AAACAATGTCC >hg38_chr3:141019418-141019428(+) GAACAATGCCG >hg38_chr3:141027871-141027881(+) AAACAATGCCC >hg38_chr3:141041728-141041738(+) atacactggaa >hg38_chr3:141073805-141073815(-) ACACAATGAGA >hg38_chr3:141084465-141084475(+) CAACAATGCTT >hg38_chr3:141091332-141091342(-) tgacaatgctc >hg38_chr3:141130541-141130551(-) AGACAATGGTC >hg38_chr3:141147631-141147641(-) ACACAATAGAA >hg38_chr3:141228618-141228628(-) AAACAATGAGC >hg38_chr3:141228647-141228657(+) GGACAAAGGAG >hg38_chr3:141228895-141228905(+) GGACAAAGGAG >hg38_chr3:141279441-141279451(-) ATACAGTGGGT >hg38_chr3:141333111-141333121(-) TTACAAAGGAG >hg38_chr3:141333170-141333180(-) AAACAATGAGT >hg38_chr3:141361049-141361059(+) ACACAAAGGAC >hg38_chr3:141367478-141367488(-) aaacaatgaaa >hg38_chr3:141393672-141393682(+) GAACAAAGGAA >hg38_chr3:141412869-141412879(-) CTACTATGGAA >hg38_chr3:141447842-141447852(-) ATACAATAGTG >hg38_chr3:141448554-141448564(+) ATATAATGTAA >hg38_chr3:141473616-141473626(+) tcacaatagtc >hg38_chr3:141494241-141494251(+) agacaatgcta >hg38_chr3:141495051-141495061(+) agacaatagat >hg38_chr3:141495091-141495101(+) agacaaaggaA >hg38_chr3:141519552-141519562(-) TAACAATAAAA >hg38_chr3:141527045-141527055(-) taacaatgctg >hg38_chr3:141527106-141527116(+) acacaatgcca >hg38_chr3:141527112-141527122(-) tcacaatggca >hg38_chr3:141529368-141529378(-) GTACAATGGTA >hg38_chr3:141529681-141529691(-) AAACAATATAA >hg38_chr3:141530058-141530068(+) TCACAATAGCA >hg38_chr3:141533887-141533897(-) acacaatgtca >hg38_chr3:141543757-141543767(-) tgacaatgaac >hg38_chr3:141560137-141560147(-) CAACAATGAAT >hg38_chr3:141566403-141566413(-) ccacaatgtca >hg38_chr3:141582386-141582396(-) CAACAATGTCT >hg38_chr3:141592508-141592518(-) aaacaatgtta >hg38_chr3:141611131-141611141(+) aaacaataaaa >hg38_chr3:141619103-141619113(+) TGACAATGGAC >hg38_chr3:141625020-141625030(+) tcacaacggtc >hg38_chr3:141681182-141681192(-) TAACAATGGAT >hg38_chr3:141795342-141795352(+) ttacaatgaat >hg38_chr3:141830692-141830702(+) AGACAATGGAG >hg38_chr3:141840883-141840893(+) AAACAATGAAA >hg38_chr3:141840904-141840914(+) TAACAATGAGG >hg38_chr3:141841344-141841354(-) GAACAAAGGAA >hg38_chr3:141851545-141851555(-) taacaatggct >hg38_chr3:141851553-141851563(+) ttacaaaggag >hg38_chr3:141883785-141883795(-) ATACTATGGGT >hg38_chr3:141894974-141894984(-) acacaatgcat >hg38_chr3:141895037-141895047(-) caacaatagtc >hg38_chr3:141898862-141898872(-) atacaatattt >hg38_chr3:141898879-141898889(+) atacagtggga >hg38_chr3:141913339-141913349(-) atacaatggag >hg38_chr3:141915171-141915181(+) TGATAATGGCC >hg38_chr3:141986519-141986529(-) atacaatgaaa >hg38_chr3:141994114-141994124(+) TGATAATGGAA >hg38_chr3:142004952-142004962(+) ACACAATGTTT >hg38_chr3:142106784-142106794(+) AGACAATGCAA >hg38_chr3:142117254-142117264(+) gaacaatggag >hg38_chr3:142126034-142126044(-) TTACAATATAA >hg38_chr3:142146774-142146784(+) aaacaatgaca >hg38_chr3:142222154-142222164(+) TAACAATGAGG >hg38_chr3:142260026-142260036(+) caacaatagca >hg38_chr3:142316959-142316969(-) atacaaaggag >hg38_chr3:142318719-142318729(+) AGACAATGCAA >hg38_chr3:142319176-142319186(+) AAACTATGGAG >hg38_chr3:142319625-142319635(-) gtacaatgtat >hg38_chr3:142365856-142365866(+) ATACAATGGCC >hg38_chr3:142396132-142396142(+) TTACAATGCAT >hg38_chr3:142402615-142402625(+) ttacaatgaat >hg38_chr3:142404150-142404160(-) TAACAATAGAG >hg38_chr3:142405747-142405757(+) TGACAATGTCC >hg38_chr3:142445556-142445566(+) atacagtggtt >hg38_chr3:142456997-142457007(+) gcacaatgttc >hg38_chr3:142515127-142515137(+) TTACAATATAA >hg38_chr3:142515170-142515180(-) TTACTATGGTC >hg38_chr3:142546708-142546718(+) agacaatgtgg >hg38_chr3:142577375-142577385(+) TCACAATGAGC >hg38_chr3:142613729-142613739(-) GTACAATGAGC >hg38_chr3:142624899-142624909(+) TTACAATGAAT >hg38_chr3:142690168-142690178(-) GAACAATACTA >hg38_chr3:142690426-142690436(-) GAACAATGCAA >hg38_chr3:142705381-142705391(+) TCATAATGGCA >hg38_chr3:142713254-142713264(-) TAACAGTGGAA >hg38_chr3:142725562-142725572(-) CTACAAAGGAT >hg38_chr3:142798546-142798556(+) ggacaaaggga >hg38_chr3:142804088-142804098(-) CAACAATGACA >hg38_chr3:142850488-142850498(+) ccacaatagag >hg38_chr3:142852106-142852116(-) ttacactggta >hg38_chr3:142863280-142863290(+) ATACAAAGGCA >hg38_chr3:142864861-142864871(-) atacaatggaa >hg38_chr3:142866954-142866964(-) ccacaatgtta >hg38_chr3:142884992-142885002(+) GAACAATGCAG >hg38_chr3:142948401-142948411(+) CCACAATAGCG >hg38_chr3:142964176-142964186(-) TGACAATGACA >hg38_chr3:143008061-143008071(-) GGACAATGCAC >hg38_chr3:143008079-143008089(-) GCACAATGCAC >hg38_chr3:143023780-143023790(-) GAACAATAAAC >hg38_chr3:143024289-143024299(+) AGACAATAGAC >hg38_chr3:143024297-143024307(-) TGACAATAGTC >hg38_chr3:143024494-143024504(-) TGACAATAATA >hg38_chr3:143024506-143024516(+) GAACACTGGAC >hg38_chr3:143024541-143024551(+) TAACAAAGGTA >hg38_chr3:143025370-143025380(-) AAACAAAGGAT >hg38_chr3:143025563-143025573(-) CGATAATGTAA >hg38_chr3:143037054-143037064(-) GTACAATGTAA >hg38_chr3:143039349-143039359(-) ACACAATGGCC >hg38_chr3:143082389-143082399(+) ccacaatgtca >hg38_chr3:143098637-143098647(+) TCACAATAGCT >hg38_chr3:143150851-143150861(+) TAACAATGGGC >hg38_chr3:143264291-143264301(+) TTACAATAGGA >hg38_chr3:143274900-143274910(-) agacaatataa >hg38_chr3:143287545-143287555(-) GAACAATGGAC >hg38_chr3:143287589-143287599(+) ACACAATGAAG >hg38_chr3:143296120-143296130(-) taacaatacta >hg38_chr3:143296138-143296148(+) acacaatgatg >hg38_chr3:143336011-143336021(+) tgataatggag >hg38_chr3:143337844-143337854(-) CAACAAAGGAC >hg38_chr3:143343817-143343827(+) CAACAATGTAC >hg38_chr3:143362799-143362809(+) TCACAATGGCA >hg38_chr3:143420679-143420689(-) gcacaatgcct >hg38_chr3:143471894-143471904(+) TAACAATAGAA >hg38_chr3:143485260-143485270(-) caacaatggtg >hg38_chr3:143490232-143490242(-) gaacaatgctg >hg38_chr3:143490241-143490251(+) tcacaatagct >hg38_chr3:143533716-143533726(-) CAACAATGGCC >hg38_chr3:143540219-143540229(-) GAACAATGTTA >hg38_chr3:143591003-143591013(+) AGACAATGGGC >hg38_chr3:143598887-143598897(+) GAACAATGGTA >hg38_chr3:143619637-143619647(+) GGACAATAGCT >hg38_chr3:143697417-143697427(+) GAACAATGTAC >hg38_chr3:143697448-143697458(+) ACACAATAGTT >hg38_chr3:143697459-143697469(-) aCACAATGAAT >hg38_chr3:143697894-143697904(-) TGATAATGGCC >hg38_chr3:143697940-143697950(+) ctacaatgtgc >hg38_chr3:143697971-143697981(-) TAACAATAGCT >hg38_chr3:143710404-143710414(-) GAATAATGGGT >hg38_chr3:143726345-143726355(+) gcataatggga >hg38_chr3:143756787-143756797(+) TGACAATAGGA >hg38_chr3:143847883-143847893(+) TGACAATGGAC >hg38_chr3:143851545-143851555(+) CCACAATGAAA >hg38_chr3:143876318-143876328(+) CTACAATGTCA >hg38_chr3:143907710-143907720(-) aaacaaaggaa >hg38_chr3:143951518-143951528(-) ACACAAAGGGT >hg38_chr3:143956479-143956489(+) GAACAATAGGG >hg38_chr3:143971567-143971577(+) CCACAATAGTG >hg38_chr3:143977978-143977988(-) ATACAAAGGAC >hg38_chr3:144008037-144008047(-) AAACAATAATC >hg38_chr3:144060367-144060377(+) AGACAATGGAT >hg38_chr3:144097315-144097325(+) TTACAAAGGAG >hg38_chr3:144098006-144098016(+) TAACAATGCAT >hg38_chr3:144119554-144119564(+) ACACAATAGTC >hg38_chr3:144146739-144146749(+) GAACAATAGCA >hg38_chr3:144146745-144146755(-) TGACAATGCTA >hg38_chr3:144161593-144161603(-) TAACAGTGGAA >hg38_chr3:144282518-144282528(-) ggacaatgaat >hg38_chr3:144324235-144324245(+) aaacaaaggga >hg38_chr3:144350357-144350367(-) GGACAATGGAG >hg38_chr3:144369310-144369320(+) acacaatgctg >hg38_chr3:144384493-144384503(+) aAACAAAGGAA >hg38_chr3:144395286-144395296(+) GAACAATGAGC >hg38_chr3:144419941-144419951(+) GGACAAAGGAG >hg38_chr3:144420004-144420014(+) TAACAATGTAA >hg38_chr3:144461612-144461622(+) cgacaatagca >hg38_chr3:144464507-144464517(-) acacaatagaa >hg38_chr3:144474732-144474742(+) AAACAATAGCC >hg38_chr3:144474993-144475003(-) TGACAATAGAC >hg38_chr3:144499115-144499125(+) taacaatatga >hg38_chr3:144572711-144572721(+) gaacaatgcag >hg38_chr3:144595425-144595435(-) AAACAATGAGA >hg38_chr3:144626167-144626177(+) atacaatgtat >hg38_chr3:144645513-144645523(-) taacaatgagt >hg38_chr3:144677352-144677362(+) gaacaatgatg >hg38_chr3:144709041-144709051(-) TAACAATACAT >hg38_chr3:144709089-144709099(+) ATACAATATCA >hg38_chr3:144768362-144768372(-) TCACAATGCTC >hg38_chr3:144796626-144796636(-) AAACAATAACT >hg38_chr3:144816539-144816549(-) AAACAATGTGT >hg38_chr3:144823732-144823742(+) AGACAATAGTA >hg38_chr3:144823742-144823752(-) TGACAATAGCT >hg38_chr3:144823777-144823787(+) AGACAATGAGA >hg38_chr3:144846413-144846423(-) AGACAATGCCA >hg38_chr3:144856176-144856186(-) AAACAATGGCA >hg38_chr3:144878899-144878909(-) AAACAATAGGT >hg38_chr3:144911294-144911304(+) TCATAATGGCA >hg38_chr3:144986001-144986011(+) AGACAATGGAA >hg38_chr3:144986030-144986040(+) AGACAATGGAA >hg38_chr3:145107978-145107988(+) TGACAATAGGT >hg38_chr3:145125577-145125587(+) taacaatgagc >hg38_chr3:145144182-145144192(+) aaacaatggtt >hg38_chr3:145144239-145144249(+) ccacaatggag >hg38_chr3:145169678-145169688(+) GAACAATGAAA >hg38_chr3:145169711-145169721(+) GTACAATGAAC >hg38_chr3:145181111-145181121(+) gcacaatggtg >hg38_chr3:145190071-145190081(-) taacaatgtga >hg38_chr3:145197695-145197705(+) ttacaatggtg >hg38_chr3:145208431-145208441(+) tcacaatgagt >hg38_chr3:145309621-145309631(-) TGACAATGACG >hg38_chr3:145333019-145333029(+) ataaaatggcg >hg38_chr3:145362701-145362711(-) CTACAATGGAC >hg38_chr3:145394305-145394315(+) TAACAATATTA >hg38_chr3:145492796-145492806(+) gaacaatggaa >hg38_chr3:145611420-145611430(-) agactatggac >hg38_chr3:145662985-145662995(-) gaacaatgggt >hg38_chr3:145681507-145681517(+) TAACAATGAAA >hg38_chr3:145681542-145681552(+) GTACAATGATG >hg38_chr3:145696299-145696309(+) TAACAATAGCA >hg38_chr3:145733183-145733193(+) AAACAATAGTA >hg38_chr3:145750064-145750074(+) AAACAATGCCC >hg38_chr3:145751434-145751444(-) caacaatagcc >hg38_chr3:145775982-145775992(+) ATACAATGTGC >hg38_chr3:145776010-145776020(+) TAACAATGATC >hg38_chr3:145782841-145782851(+) aaacaatggac >hg38_chr3:145799004-145799014(+) TAACAATGAAA >hg38_chr3:145809590-145809600(-) CAACAATGTTC >hg38_chr3:145809603-145809613(-) TCACAATGTCA >hg38_chr3:145835509-145835519(-) acacaatagcc >hg38_chr3:145835517-145835527(-) tcacaatgaca >hg38_chr3:145853364-145853374(-) TAACAATAGTA >hg38_chr3:145853372-145853382(+) TTACAATAGAA >hg38_chr3:145887277-145887287(+) GAACAATGTTT >hg38_chr3:145889716-145889726(+) aaacaaaggga >hg38_chr3:145893962-145893972(-) GGACAATAGGC >hg38_chr3:145902042-145902052(-) gcataatggct >hg38_chr3:145926072-145926082(+) gaacaatagga >hg38_chr3:145926095-145926105(+) AAACAATAGGG >hg38_chr3:145951513-145951523(-) AAACAATGCTG >hg38_chr3:145975474-145975484(+) ATACAATAGTA >hg38_chr3:146005839-146005849(+) agacaacggga >hg38_chr3:146048785-146048795(+) tcacaatgaaa >hg38_chr3:146054261-146054271(-) gtacaataatt >hg38_chr3:146058833-146058843(-) ACACAATGGGT >hg38_chr3:146059086-146059096(+) CAACAATGTAT >hg38_chr3:146059109-146059119(+) GAATAATGGGG >hg38_chr3:146065182-146065192(-) CAACAATGAGG >hg38_chr3:146076808-146076818(-) TAACAATGACC >hg38_chr3:146076816-146076826(+) TTATAATGGGA >hg38_chr3:146080849-146080859(-) ATACAATAAAG >hg38_chr3:146090724-146090734(+) AGACAATGATA >hg38_chr3:146091844-146091854(-) GGATAATGGCT >hg38_chr3:146104205-146104215(+) TAACAAAGGAA >hg38_chr3:146105835-146105845(+) GTACAAAGGGC >hg38_chr3:146168372-146168382(+) TCACAATGCAC >hg38_chr3:146171192-146171202(-) aaacaatgaat >hg38_chr3:146187546-146187556(-) CTACAATAGGG >hg38_chr3:146206148-146206158(-) ATACAGTGGGT >hg38_chr3:146211216-146211226(-) agacaataaca >hg38_chr3:146211483-146211493(-) acacaatgaga >hg38_chr3:146238927-146238937(-) gtataatggtc >hg38_chr3:146238942-146238952(-) atacaattgat >hg38_chr3:146238970-146238980(+) gaacaatgcag >hg38_chr3:146246688-146246698(-) ACACAATGAGG >hg38_chr3:146248035-146248045(+) GCACAATGCTG >hg38_chr3:146257258-146257268(-) agacaatgagg >hg38_chr3:146280132-146280142(+) TAACAATGATC >hg38_chr3:146285867-146285877(+) ttacaaaggtt >hg38_chr3:146286072-146286082(-) gcacaatagaa >hg38_chr3:146327352-146327362(-) acacagtggcg >hg38_chr3:146349294-146349304(+) taacaatgagc >hg38_chr3:146386101-146386111(+) gaacaaaggcc >hg38_chr3:146386384-146386394(+) tgacaAAGGTA >hg38_chr3:146386417-146386427(-) atacaattgat >hg38_chr3:146419467-146419477(+) aaacaatgtcc >hg38_chr3:146442679-146442689(+) tgacaaaggta >hg38_chr3:146442698-146442708(+) acacaatgagg >hg38_chr3:146496605-146496615(+) ATACTATGGTA >hg38_chr3:146505076-146505086(+) tcacaatagaa >hg38_chr3:146550935-146550945(+) gcacaaaggat >hg38_chr3:146569749-146569759(+) GAACAAAGGGA >hg38_chr3:146590125-146590135(-) ATACAATTGAA >hg38_chr3:146590755-146590765(-) ATACAATGCAA >hg38_chr3:146641468-146641478(-) TTATAATGGGG >hg38_chr3:146641477-146641487(+) AAACAATAGGG >hg38_chr3:146643140-146643150(+) tgacaatagca >hg38_chr3:146646415-146646425(+) taacagtggaa >hg38_chr3:146654223-146654233(+) gcactatggta >hg38_chr3:146680748-146680758(-) ACACAATAAAC >hg38_chr3:146681693-146681703(+) GAACAATAATA >hg38_chr3:146719323-146719333(+) GAACAATGGGT >hg38_chr3:146719377-146719387(+) TGATAATGGAC >hg38_chr3:146747103-146747113(-) TTACAATGCAC >hg38_chr3:146747949-146747959(+) TTACAATGGTG >hg38_chr3:146751140-146751150(+) atacaatatct >hg38_chr3:146817959-146817969(+) AAATAATGGGA >hg38_chr3:146885267-146885277(-) GGACAATAGTA >hg38_chr3:146919967-146919977(-) TTACAATATAT >hg38_chr3:146919976-146919986(-) TTACAATGCTT >hg38_chr3:146957423-146957433(+) ctacaatcggt >hg38_chr3:146957943-146957953(+) AAACAATAAAA >hg38_chr3:146973421-146973431(+) GAATAATGGGT >hg38_chr3:147004220-147004230(-) gtacaataatt >hg38_chr3:147006376-147006386(-) gaacaataata >hg38_chr3:147015480-147015490(+) agacaatgggc >hg38_chr3:147086770-147086780(-) GAACAATAGCC >hg38_chr3:147090701-147090711(-) ATACAATGCTT >hg38_chr3:147090992-147091002(+) TAACATTGGTA >hg38_chr3:147130500-147130510(-) agacaatggtg >hg38_chr3:147157427-147157437(+) GGACAATGCAA >hg38_chr3:147157726-147157736(+) GAACAAAGGCA >hg38_chr3:147162876-147162886(-) CTACAATGAGA >hg38_chr3:147173369-147173379(-) CTACAATAGTC >hg38_chr3:147182553-147182563(-) GAACAAAGGCA >hg38_chr3:147182568-147182578(+) CTACAATGAGT >hg38_chr3:147188896-147188906(-) AAACAATGCCA >hg38_chr3:147190196-147190206(+) caacaatgtac >hg38_chr3:147253313-147253323(-) TGACAATGCTA >hg38_chr3:147294897-147294907(-) TAACAATAGAG >hg38_chr3:147305736-147305746(+) GCACAATGTTG >hg38_chr3:147306373-147306383(+) ACACAATAGTC >hg38_chr3:147323052-147323062(-) ATACAATTGAC >hg38_chr3:147335230-147335240(+) TGACAATAGAG >hg38_chr3:147335274-147335284(-) GAACAATAAAG >hg38_chr3:147341796-147341806(-) aaacaatagaa >hg38_chr3:147354872-147354882(+) TCACAATGGAG >hg38_chr3:147359422-147359432(+) GGACAATAAAT >hg38_chr3:147360990-147361000(+) GGACAATGCCA >hg38_chr3:147368902-147368912(-) ACACAATCGGT >hg38_chr3:147375125-147375135(-) AAACAAAGGGC >hg38_chr3:147381735-147381745(-) AGACAATAGTT >hg38_chr3:147388447-147388457(+) AAACAAAGGGG >hg38_chr3:147389136-147389146(-) ATACAATAGGG >hg38_chr3:147390038-147390048(-) AGACAAAGGAA >hg38_chr3:147390088-147390098(+) ATACAATGTAT >hg38_chr3:147393856-147393866(-) GAACAATGGTG >hg38_chr3:147395065-147395075(+) AAACAATGGCT >hg38_chr3:147397249-147397259(+) GAACAATCGCC >hg38_chr3:147398127-147398137(+) AAACAAAGGAG >hg38_chr3:147407593-147407603(+) AAACAAAGGGT >hg38_chr3:147408323-147408333(+) GAACAATAGCG >hg38_chr3:147433843-147433853(+) GTACAATAGGA >hg38_chr3:147441290-147441300(+) agacaatagga >hg38_chr3:147481079-147481089(-) GAACAATGCAA >hg38_chr3:147481094-147481104(+) ACACAATGGAT >hg38_chr3:147483926-147483936(+) GTACAATGTGT >hg38_chr3:147503358-147503368(-) taacactggaa >hg38_chr3:147503384-147503394(-) gaacaatgtaa >hg38_chr3:147513793-147513803(+) ATACAAAGGAC >hg38_chr3:147513828-147513838(-) CCACAATAGCT >hg38_chr3:147581214-147581224(-) TTACAATAAAT >hg38_chr3:147581224-147581234(-) AAACAATGTGT >hg38_chr3:147589987-147589997(+) AAacaataata >hg38_chr3:147615245-147615255(-) AAACAATGGCT >hg38_chr3:147617203-147617213(+) GAACAATAGCG >hg38_chr3:147620854-147620864(-) AAACAAAGGCA >hg38_chr3:147629736-147629746(+) GTACAATAAAG >hg38_chr3:147651497-147651507(-) atacaatgtat >hg38_chr3:147653711-147653721(-) TAACAATAGCA >hg38_chr3:147669226-147669236(+) gaacaaaggaa >hg38_chr3:147687480-147687490(+) GAACAATATAT >hg38_chr3:147700354-147700364(+) TAACAATGTAT >hg38_chr3:147730500-147730510(-) TAACAATACAC >hg38_chr3:147732656-147732666(+) aaacaatgcat >hg38_chr3:147740046-147740056(-) AAACAATAAAA >hg38_chr3:147740961-147740971(-) taacaataaaa >hg38_chr3:147740997-147741007(+) acacaatgcta >hg38_chr3:147765280-147765290(+) TTACAATAACC >hg38_chr3:147765307-147765317(-) GGACAAAGGAG >hg38_chr3:147797280-147797290(-) AAACAATGCTC >hg38_chr3:147832481-147832491(-) atacaatagtc >hg38_chr3:147890023-147890033(-) AAACAATGTAG >hg38_chr3:147890048-147890058(+) TAACAATGAAA >hg38_chr3:147930227-147930237(+) ATATAATGGGT >hg38_chr3:147934515-147934525(+) ACACAATGTAC >hg38_chr3:147934994-147935004(-) TAACAATGCAG >hg38_chr3:147937252-147937262(+) TGACaatgata >hg38_chr3:147937262-147937272(-) agacaatggtt >hg38_chr3:147939137-147939147(+) GAACAATGCAA >hg38_chr3:147939151-147939161(+) GAACAATATGA >hg38_chr3:147998045-147998055(+) agacaatgcta >hg38_chr3:148004577-148004587(-) TAACAATGTCC >hg38_chr3:148005693-148005703(-) tgacaaaggaa >hg38_chr3:148036536-148036546(-) GAACAATGGTT >hg38_chr3:148036553-148036563(+) GCACAAAGGTA >hg38_chr3:148042980-148042990(+) TAACAATGAAG >hg38_chr3:148085010-148085020(-) TGATAATGGTT >hg38_chr3:148085217-148085227(-) AAACAATATAG >hg38_chr3:148087416-148087426(+) AGACAATAGTA >hg38_chr3:148104902-148104912(-) aaacaataaca >hg38_chr3:148104929-148104939(-) aaacaaaggaa >hg38_chr3:148128311-148128321(+) ggacaatgagc >hg38_chr3:148136958-148136968(+) AAACAATGGAG >hg38_chr3:148149746-148149756(-) TCACAATGATG >hg38_chr3:148158405-148158415(-) agacaaaggga >hg38_chr3:148169476-148169486(+) atacaatgata >hg38_chr3:148178688-148178698(+) GAACAAAGGAA >hg38_chr3:148179779-148179789(+) ATACAATATGC >hg38_chr3:148192157-148192167(+) TCACAATGAAC >hg38_chr3:148233875-148233885(-) taacaatgtag >hg38_chr3:148249921-148249931(+) GAACAATGAGT >hg38_chr3:148249952-148249962(-) AGATAATGGTG >hg38_chr3:148269968-148269978(+) tgacaaaggac >hg38_chr3:148270002-148270012(-) gtacaaaggac >hg38_chr3:148273145-148273155(+) CGACAAAGTAC >hg38_chr3:148274930-148274940(+) AAACAGTGGAA >hg38_chr3:148287508-148287518(+) GTACAATTGTA >hg38_chr3:148287509-148287519(-) ATACAATTGTA >hg38_chr3:148308841-148308851(-) tcacaatggaa >hg38_chr3:148309618-148309628(-) agacaataggg >hg38_chr3:148368771-148368781(+) ATACAATGTGA >hg38_chr3:148445859-148445869(-) GGACAATGGAA >hg38_chr3:148447090-148447100(-) ACACAGTGGAA >hg38_chr3:148447127-148447137(+) TGACAAAGGTA >hg38_chr3:148466274-148466284(-) TAACAATGACA >hg38_chr3:148466317-148466327(-) CCACAATGAGG >hg38_chr3:148498404-148498414(+) AAACAATAGAG >hg38_chr3:148546339-148546349(+) acacaatatat >hg38_chr3:148546344-148546354(-) taacaatatat >hg38_chr3:148546560-148546570(-) taacaataata >hg38_chr3:148546622-148546632(-) aaacaatgcat >hg38_chr3:148584441-148584451(+) gcacaatgagc >hg38_chr3:148591114-148591124(+) ctacaataata >hg38_chr3:148674959-148674969(-) gaacaatgcct >hg38_chr3:148674985-148674995(+) tcacaatgCCC >hg38_chr3:148681309-148681319(+) TCACAATGCat >hg38_chr3:148735828-148735838(+) GAACAATAAAG >hg38_chr3:148742348-148742358(+) GAACAATGTCA >hg38_chr3:148772499-148772509(+) AAACAATGCCA >hg38_chr3:148879676-148879686(+) CAACAATAGAC >hg38_chr3:148898306-148898316(-) GAATAATGGAT >hg38_chr3:148930822-148930832(-) ACACAATAGGG >hg38_chr3:148955615-148955625(-) TAACAATGCTG >hg38_chr3:148957797-148957807(+) caacaATAGGC >hg38_chr3:148964936-148964946(+) TCACAATAATA >hg38_chr3:148964963-148964973(+) AAATAATGGAT >hg38_chr3:148973197-148973207(-) ATACAATAGCC >hg38_chr3:148973206-148973216(+) ATACAATGTTT >hg38_chr3:149081144-149081154(-) taacaatgaag >hg38_chr3:149147108-149147118(+) ggataatgggg >hg38_chr3:149147166-149147176(+) aaacaatggag >hg38_chr3:149186313-149186323(-) GGACAATGTGG >hg38_chr3:149192590-149192600(+) CAATAATGGAA >hg38_chr3:149193490-149193500(+) aaacaatatat >hg38_chr3:149195385-149195395(-) aaacaatgtac >hg38_chr3:149196668-149196678(+) gaataatggca >hg38_chr3:149198917-149198927(+) gaacaatgccg >hg38_chr3:149199805-149199815(-) TAACAAAGGAG >hg38_chr3:149211489-149211499(-) TAACAATGATG >hg38_chr3:149215675-149215685(+) GGACAAAGGGC >hg38_chr3:149222068-149222078(-) acacaatgaat >hg38_chr3:149222113-149222123(-) gaacaaaggga >hg38_chr3:149229651-149229661(-) AGACAAAGGAG >hg38_chr3:149256478-149256488(-) TAACAATGCTT >hg38_chr3:149261606-149261616(+) agacaaaggac >hg38_chr3:149319909-149319919(+) agacaataggc >hg38_chr3:149333619-149333629(+) GAACAATAGAC >hg38_chr3:149333626-149333636(+) AGACAATGATC >hg38_chr3:149352883-149352893(-) AAACAGTGGAA >hg38_chr3:149352905-149352915(-) AAACAATGGGA >hg38_chr3:149366210-149366220(+) GAACAATGGTT >hg38_chr3:149369266-149369276(+) CAACAATGAGC >hg38_chr3:149369276-149369286(-) TTACAATGCTG >hg38_chr3:149373134-149373144(-) atacaatgatg >hg38_chr3:149373171-149373181(+) acacaatagcc >hg38_chr3:149373216-149373226(+) tAACAATATGA >hg38_chr3:149373494-149373504(+) GAACAAAGGGG >hg38_chr3:149374673-149374683(-) gtacaATGCCC >hg38_chr3:149374878-149374888(+) GTATAATGTAT >hg38_chr3:149387183-149387193(-) ACACAATGAAG >hg38_chr3:149393619-149393629(+) gtacaatgagc >hg38_chr3:149424718-149424728(-) CTACAATAGCT >hg38_chr3:149439162-149439172(+) ttacaatagtt >hg38_chr3:149439171-149439181(+) ttacaatagag >hg38_chr3:149450021-149450031(+) TGACAATGCTC >hg38_chr3:149468987-149468997(+) AAACAATAGGT >hg38_chr3:149487831-149487841(+) ACACAATGCCA >hg38_chr3:149509588-149509598(+) ACACAAAGACG >hg38_chr3:149528001-149528011(-) TGACAATGAGT >hg38_chr3:149535519-149535529(+) GAACAATCGAA >hg38_chr3:149540189-149540199(+) ATACAATGCAA >hg38_chr3:149540197-149540207(+) CAACAATGCAA >hg38_chr3:149553925-149553935(+) ATACAATGAAG >hg38_chr3:149553994-149554004(+) CAACAATGAGC >hg38_chr3:149559731-149559741(+) AAACAATGCTT >hg38_chr3:149574530-149574540(+) TAACAATGGCC >hg38_chr3:149576285-149576295(-) GAACAATAGGA >hg38_chr3:149593604-149593614(-) TAACAAAGGAG >hg38_chr3:149606992-149607002(-) CAACAATAGCG >hg38_chr3:149610007-149610017(+) TTACAATGAAG >hg38_chr3:149619056-149619066(+) AAACAATGGCA >hg38_chr3:149630696-149630706(+) TCACAATGCCT >hg38_chr3:149641155-149641165(-) AAACAATGTCT >hg38_chr3:149656179-149656189(+) GAACAATGTGA >hg38_chr3:149660713-149660723(-) ttacaaaggag >hg38_chr3:149660729-149660739(+) ggacaataaca >hg38_chr3:149702281-149702291(+) AAACAATGAAC >hg38_chr3:149736790-149736800(-) GAACAAAGGAT >hg38_chr3:149739538-149739548(+) GAACAGTGGAC >hg38_chr3:149739577-149739587(+) AGACAAAGGGC >hg38_chr3:149739585-149739595(-) AAACAATAGCC >hg38_chr3:149755688-149755698(+) GGACAATAACG >hg38_chr3:149763047-149763057(-) ACACTATGGTA >hg38_chr3:149787626-149787636(+) ATACAATGTTC >hg38_chr3:149801986-149801996(-) TTACAACGGTA >hg38_chr3:149806004-149806014(-) acacagtggaa >hg38_chr3:149806038-149806048(-) caacaatggat >hg38_chr3:149809892-149809902(-) taacaaaggaa >hg38_chr3:149810929-149810939(-) TAACAATAGGT >hg38_chr3:149814211-149814221(+) CAACAATGATG >hg38_chr3:149814257-149814267(+) ATACAATATAA >hg38_chr3:149867703-149867713(-) atacaatgctg >hg38_chr3:149870052-149870062(+) ttacaaaggag >hg38_chr3:149875238-149875248(+) agacaaaggag >hg38_chr3:149875608-149875618(-) AAACAATGGCT >hg38_chr3:149876101-149876111(-) TGACAATGGAC >hg38_chr3:149876141-149876151(+) GTATAATGGTA >hg38_chr3:149888405-149888415(-) AGATAATGGGC >hg38_chr3:149898696-149898706(-) tcacaataata >hg38_chr3:149907480-149907490(+) TAACAATAGTC >hg38_chr3:149907488-149907498(-) AAACAATGGAC >hg38_chr3:149947103-149947113(-) gcacaatgaaa >hg38_chr3:149966007-149966017(+) ATACAGTGGTT >hg38_chr3:149968422-149968432(-) GCACAATGGAC >hg38_chr3:149970787-149970797(+) CGACAATGGCG >hg38_chr3:149971754-149971764(-) CAACAATGAAA >hg38_chr3:149980198-149980208(+) ggacgatggta >hg38_chr3:149980433-149980443(+) gtacaataatt >hg38_chr3:149980685-149980695(+) acataatggta >hg38_chr3:150033896-150033906(+) TCACAATGAGC >hg38_chr3:150068900-150068910(-) tgacaatagat >hg38_chr3:150075129-150075139(+) AGACAATGGAA >hg38_chr3:150090067-150090077(-) AAACAATGACC >hg38_chr3:150096438-150096448(+) ATACAAAGGAG >hg38_chr3:150107176-150107186(-) TCACAATGAGA >hg38_chr3:150152653-150152663(-) caacaatggct >hg38_chr3:150152674-150152684(-) caacaatgctt >hg38_chr3:150165961-150165971(-) TGAcaatgcta >hg38_chr3:150171406-150171416(+) GAACAAAGGCT >hg38_chr3:150185531-150185541(-) gaacaatggaa >hg38_chr3:150216217-150216227(-) AGACAATGAAG >hg38_chr3:150228011-150228021(-) aaacaaaggtc >hg38_chr3:150233667-150233677(-) ccacaatagtt >hg38_chr3:150255668-150255678(+) CAACAATGAGG >hg38_chr3:150262087-150262097(+) caacaatgcag >hg38_chr3:150297205-150297215(-) ctacaaaggaa >hg38_chr3:150318892-150318902(-) gtacaataatt >hg38_chr3:150319885-150319895(-) AGACAATGTCT >hg38_chr3:150319900-150319910(+) GTACAATAATA >hg38_chr3:150320162-150320172(+) GAACACTGGAT >hg38_chr3:150349966-150349976(-) GCACAATGCAA >hg38_chr3:150360112-150360122(-) aaacaataaca >hg38_chr3:150370969-150370979(+) taataatggca >hg38_chr3:150379898-150379908(+) tcacaatgtac >hg38_chr3:150398924-150398934(-) taacaataacc >hg38_chr3:150398965-150398975(+) aaacaatgaat >hg38_chr3:150406858-150406868(+) CCACAATAGCA >hg38_chr3:150407980-150407990(-) AGACAGTGGAA >hg38_chr3:150408023-150408033(-) TTACAATGGAA >hg38_chr3:150411534-150411544(-) AAACAATGTTG >hg38_chr3:150411777-150411787(-) ATACACTGGAG >hg38_chr3:150411803-150411813(+) ACATAATGGTG >hg38_chr3:150418522-150418532(-) taataatgggt >hg38_chr3:150436354-150436364(+) TAACAAAGGGT >hg38_chr3:150436934-150436944(+) TTACAATGCTG >hg38_chr3:150449332-150449342(-) GAACAATGAAA >hg38_chr3:150449537-150449547(-) gaacaatgtat >hg38_chr3:150456866-150456876(+) ctacaaaggac >hg38_chr3:150459729-150459739(-) TTACAATAAGA >hg38_chr3:150477758-150477768(-) aaacaaaggaa >hg38_chr3:150519296-150519306(+) AAACAATGTGA >hg38_chr3:150519344-150519354(+) AAACAATCGCT >hg38_chr3:150528235-150528245(+) AGACAATAACA >hg38_chr3:150542036-150542046(+) TCACAATGCAT >hg38_chr3:150542051-150542061(+) TTACAATGGAA >hg38_chr3:150542632-150542642(+) ATACAAAGGGA >hg38_chr3:150547099-150547109(+) AAACAATGTGC >hg38_chr3:150552643-150552653(+) gaacaatacta >hg38_chr3:150559183-150559193(-) GAACAATGTTT >hg38_chr3:150559195-150559205(+) TTACAATGGTA >hg38_chr3:150560272-150560282(+) CCACAATGGTA >hg38_chr3:150560282-150560292(-) GAACAATAAGT >hg38_chr3:150568023-150568033(+) TTACAATGCTG >hg38_chr3:150568070-150568080(-) AAACAAAGGGA >hg38_chr3:150610870-150610880(-) gtacaatgagt >hg38_chr3:150610919-150610929(-) agacaatggtc >hg38_chr3:150649445-150649455(-) AAACAAAGGAC >hg38_chr3:150721364-150721374(-) aaacaatagcc >hg38_chr3:150727309-150727319(-) CAACACTGGCG >hg38_chr3:150727335-150727345(+) ttacaatagag >hg38_chr3:150749798-150749808(+) AGACAATGCAT >hg38_chr3:150749810-150749820(-) GGACAATGGAG >hg38_chr3:150796141-150796151(+) TAACAATAAGA >hg38_chr3:150806554-150806564(-) GAACAATGAAA >hg38_chr3:150844311-150844321(+) GAACAAAGGAT >hg38_chr3:150849144-150849154(-) aaataatggta >hg38_chr3:150861543-150861553(+) gaacaatggaa >hg38_chr3:150865548-150865558(-) CAACAATgcgt >hg38_chr3:150904762-150904772(-) TAACACTGGAT >hg38_chr3:150920023-150920033(-) gaacaatgcct >hg38_chr3:150970957-150970967(-) AAACaataata >hg38_chr3:150976585-150976595(+) TTACAAAGGGA >hg38_chr3:150992398-150992408(-) TGATAATGGGC >hg38_chr3:150992444-150992454(-) AAACAATAGTG >hg38_chr3:150995383-150995393(-) ACACAATGATA >hg38_chr3:151035252-151035262(-) aaacaataggc >hg38_chr3:151048136-151048146(-) TGATAATGGCC >hg38_chr3:151107722-151107732(+) taataatggac >hg38_chr3:151120669-151120679(+) aaacaatggaa >hg38_chr3:151155164-151155174(+) GAATAATGGGA >hg38_chr3:151155178-151155188(-) ACACAATGCTG >hg38_chr3:151283081-151283091(+) TTACAATGGAG >hg38_chr3:151293178-151293188(+) TAACAATGATA >hg38_chr3:151293188-151293198(-) CAACAATGACT >hg38_chr3:151302082-151302092(-) atacaatagct >hg38_chr3:151305132-151305142(-) agacaatgcag >hg38_chr3:151310350-151310360(-) ACATAATGGGG >hg38_chr3:151316132-151316142(+) GTACAATGAAA >hg38_chr3:151316587-151316597(-) CCACAATGAAA >hg38_chr3:151318232-151318242(+) TAATAATGGCC >hg38_chr3:151350230-151350240(-) ACACAATGGGA >hg38_chr3:151401062-151401072(-) gtacaatgttt >hg38_chr3:151436443-151436453(-) TAACAATAAAC >hg38_chr3:151437093-151437103(-) TGACAATGGCA >hg38_chr3:151445441-151445451(-) GCACAATGCTA >hg38_chr3:151568368-151568378(+) AAACAATGACC >hg38_chr3:151580763-151580773(-) TTACAAAGGCA >hg38_chr3:151707583-151707593(-) ATACAATACAT >hg38_chr3:151770282-151770292(-) ATACAAAGGCG >hg38_chr3:151770339-151770349(+) GAACAGTGGAA >hg38_chr3:151779198-151779208(+) ggacagtggaa >hg38_chr3:151798409-151798419(-) aaactatggtt >hg38_chr3:151816103-151816113(+) ctacaatagag >hg38_chr3:151837167-151837177(+) ACACAATGTCA >hg38_chr3:151863783-151863793(+) CAACAATGCAA >hg38_chr3:151880247-151880257(-) aaacaatgaat >hg38_chr3:151896912-151896922(-) CTACAATAGGA >hg38_chr3:151946764-151946774(-) tgacaatagat >hg38_chr3:152006146-152006156(-) CCACAATGACT >hg38_chr3:152051619-152051629(-) TGACAATGTTC >hg38_chr3:152056507-152056517(+) gaacaataatc >hg38_chr3:152085333-152085343(+) CTACAATGCTT >hg38_chr3:152087366-152087376(+) AAATAATggcc >hg38_chr3:152089266-152089276(+) CCACAATGTGA >hg38_chr3:152122130-152122140(-) tgacaatgcat >hg38_chr3:152146721-152146731(-) gaacaaaggcc >hg38_chr3:152204631-152204641(+) AAACAATGACT >hg38_chr3:152225473-152225483(+) TTACAATAGAT >hg38_chr3:152262444-152262454(+) ACACAATGGAA >hg38_chr3:152262509-152262519(+) ATACAATGAGT >hg38_chr3:152269056-152269066(+) CGACAGTGGGG >hg38_chr3:152272192-152272202(+) AAACAATAAAA >hg38_chr3:152272244-152272254(-) TTACAATGGAT >hg38_chr3:152273145-152273155(-) AAACAATATAC >hg38_chr3:152305203-152305213(+) AGACAATGCAG >hg38_chr3:152318632-152318642(-) GGACAATGAAT >hg38_chr3:152319265-152319275(-) TGACAAAGGAA >hg38_chr3:152319894-152319904(-) GTACAATGAGG >hg38_chr3:152321761-152321771(-) ACACAAAGGCA >hg38_chr3:152346203-152346213(+) AAACAATGTGA >hg38_chr3:152364302-152364312(+) TTACAATAACT >hg38_chr3:152364359-152364369(-) GTACAAAGGGT >hg38_chr3:152382534-152382544(+) GAACAATGTGC >hg38_chr3:152383427-152383437(-) GTACAATGCAT >hg38_chr3:152399327-152399337(-) TAATAATGGAT >hg38_chr3:152399359-152399369(+) AAACAATGAAT >hg38_chr3:152400140-152400150(-) tcacaataata >hg38_chr3:152404031-152404041(-) AAACAATGACA >hg38_chr3:152444014-152444024(-) ATACAATGATT >hg38_chr3:152452635-152452645(-) TCACAATAGCC >hg38_chr3:152455378-152455388(-) ATACAATAGCA >hg38_chr3:152461031-152461041(+) GGACAATGAAG >hg38_chr3:152461348-152461358(+) GAACAATAGCC >hg38_chr3:152463197-152463207(+) TAACAATAGAC >hg38_chr3:152466411-152466421(-) ATACAATGTGC >hg38_chr3:152495898-152495908(+) caacaatagca >hg38_chr3:152495928-152495938(-) tgacaatacgc >hg38_chr3:152497278-152497288(-) AAACAAAGGCA >hg38_chr3:152519668-152519678(+) ccacaatgaga >hg38_chr3:152571646-152571656(-) GAACAATAGTA >hg38_chr3:152572070-152572080(-) TGACAATAGCT >hg38_chr3:152574617-152574627(-) GAACAATGAGG >hg38_chr3:152603195-152603205(+) CAACAATAGAT >hg38_chr3:152610188-152610198(+) acacaatagtg >hg38_chr3:152650374-152650384(+) GAACTATGGGG >hg38_chr3:152650435-152650445(+) TGACAATGATT >hg38_chr3:152650444-152650454(-) TCACAATGGAA >hg38_chr3:152677207-152677217(+) ATACAAAGGAG >hg38_chr3:152721231-152721241(+) gaacaaaggaa >hg38_chr3:152780870-152780880(+) ATACAATGAGT >hg38_chr3:152782989-152782999(+) caacaatgtca >hg38_chr3:152797998-152798008(-) aaacaatgccc >hg38_chr3:152805475-152805485(+) gaacaatgcac >hg38_chr3:152805513-152805523(+) ggacaatgata >hg38_chr3:152810805-152810815(-) GTACAATAACA >hg38_chr3:152843857-152843867(-) GAACAATATTA >hg38_chr3:152852090-152852100(+) ggacaatgaaa >hg38_chr3:152852812-152852822(+) agacaatgaca >hg38_chr3:152868216-152868226(+) gaacaataaaa >hg38_chr3:152886595-152886605(+) GTACAATGCCA >hg38_chr3:152944915-152944925(+) ACACAATGAAC >hg38_chr3:152954074-152954084(-) TGACAATGCCT >hg38_chr3:152957995-152958005(-) GGACAATGCTT >hg38_chr3:152958003-152958013(-) AAACAGTGGGA >hg38_chr3:152970116-152970126(+) ggacaatgcct >hg38_chr3:152998134-152998144(+) TGACAATGAAA >hg38_chr3:153001571-153001581(+) ATACAATGGGA >hg38_chr3:153001884-153001894(-) aaacaaaggat >hg38_chr3:153003301-153003311(+) TAACTATGGAC >hg38_chr3:153026154-153026164(-) AAACAATGGTC >hg38_chr3:153054079-153054089(+) GTACAATAAAT >hg38_chr3:153059301-153059311(-) GCACAATGTAA >hg38_chr3:153059340-153059350(+) TCATAATGGTT >hg38_chr3:153097298-153097308(-) ttacaatagag >hg38_chr3:153138916-153138926(-) TGACAAAGGAC >hg38_chr3:153139156-153139166(-) ACACAATGGCC >hg38_chr3:153140881-153140891(-) atacaaagggc >hg38_chr3:153162412-153162422(-) GGACAATGCCC >hg38_chr3:153174345-153174355(-) TTACAATGCAA >hg38_chr3:153209814-153209824(-) TGACAATGGGC >hg38_chr3:153255454-153255464(-) GCATAATGGAA >hg38_chr3:153255494-153255504(+) AAATAATGGAT >hg38_chr3:153271396-153271406(+) ATACAATACAA >hg38_chr3:153286294-153286304(+) GTACAATAATG >hg38_chr3:153296107-153296117(+) gcacaatagtt >hg38_chr3:153296127-153296137(+) atacaatgttt >hg38_chr3:153333247-153333257(+) ATACAATAACA >hg38_chr3:153399489-153399499(+) aaacaatagta >hg38_chr3:153412854-153412864(+) gtacaatgatt >hg38_chr3:153452823-153452833(-) ggacaatgccc >hg38_chr3:153524085-153524095(-) agacaatataa >hg38_chr3:153529721-153529731(-) ATACAATAACC >hg38_chr3:153530338-153530348(+) TATCAATGGAC >hg38_chr3:153546780-153546790(+) AAACAATGATA >hg38_chr3:153550477-153550487(+) acacaataggt >hg38_chr3:153551101-153551111(-) GAACAAAGGGA >hg38_chr3:153605628-153605638(+) GCACAATGACA >hg38_chr3:153614772-153614782(+) ACACAATGGAG >hg38_chr3:153615263-153615273(-) TCATAATGGTT >hg38_chr3:153630516-153630526(+) gaacaatagag >hg38_chr3:153637493-153637503(+) AAACAATGGAA >hg38_chr3:153642770-153642780(+) ttacaatacta >hg38_chr3:153653027-153653037(-) TCACAATAATA >hg38_chr3:153653093-153653103(+) AAACAAAGGTC >hg38_chr3:153693472-153693482(+) CCACAATGCGT >hg38_chr3:153743463-153743473(+) CTACAATGGTT >hg38_chr3:153743516-153743526(+) CAACAATAGGA >hg38_chr3:153754288-153754298(+) TGACAAAGGGA >hg38_chr3:153765003-153765013(+) AAACAATGGGA >hg38_chr3:153765047-153765057(+) ATACAATATGA >hg38_chr3:153769015-153769025(-) tgacaatagaa >hg38_chr3:153787393-153787403(-) TAACAAAGGCT >hg38_chr3:153848910-153848920(+) CTACAATGCAG >hg38_chr3:153897953-153897963(+) GAACAAAGGGG >hg38_chr3:153901286-153901296(+) ATACAATGAGC >hg38_chr3:153910257-153910267(-) GGACAATGAAA >hg38_chr3:153951412-153951422(+) ctacaatgtac >hg38_chr3:153980506-153980516(+) agacaaagggc >hg38_chr3:154008442-154008452(+) tgacaatataa >hg38_chr3:154048686-154048696(-) agacaataata >hg38_chr3:154102455-154102465(+) AGACAATgatt >hg38_chr3:154311889-154311899(+) AGACAATGCTT >hg38_chr3:154398527-154398537(-) CCACAATGGTT >hg38_chr3:154427193-154427203(+) GAACTATGGTG >hg38_chr3:154443648-154443658(+) TTACTATGGTG >hg38_chr3:154443687-154443697(-) CCACAATGAGA >hg38_chr3:154443872-154443882(+) TTACAATGAAA >hg38_chr3:154464875-154464885(+) AAACAATGAAA >hg38_chr3:154556477-154556487(-) AAACAATATTA >hg38_chr3:154584302-154584312(+) GAACAAAGGAC >hg38_chr3:154591736-154591746(+) TAATAATGGAA >hg38_chr3:154668159-154668169(-) AAACAATGTGG >hg38_chr3:154673058-154673068(-) aaacaatgaca >hg38_chr3:154677494-154677504(+) gtataatggca >hg38_chr3:154701848-154701858(+) tgacaatatat >hg38_chr3:154703611-154703621(-) ggacaatgttg >hg38_chr3:154737429-154737439(-) GGACAATAGCT >hg38_chr3:154752827-154752837(+) aaacaataaaa >hg38_chr3:154776423-154776433(+) GGACAATGCAG >hg38_chr3:154795378-154795388(+) CAACAATGTCA >hg38_chr3:154809299-154809309(+) aaacaatgtaa >hg38_chr3:154828539-154828549(-) gtacaattgac >hg38_chr3:154849223-154849233(-) tcacaatgctt >hg38_chr3:154891441-154891451(+) atacaaTGATA >hg38_chr3:154891456-154891466(+) TAATAATGGTG >hg38_chr3:154926434-154926444(-) AAACAATAGGG >hg38_chr3:154933315-154933325(+) ACACAAAGGAG >hg38_chr3:154933978-154933988(-) Taacaataacc >hg38_chr3:154933986-154933996(+) ttACAATACGA >hg38_chr3:154934296-154934306(-) ACACAGTGGAA >hg38_chr3:154980748-154980758(+) GGATAATGGAG >hg38_chr3:154995348-154995358(-) agacaatgggg >hg38_chr3:155015995-155016005(+) GTACAATGGTT >hg38_chr3:155016188-155016198(+) AAACAAAGGTT >hg38_chr3:155027765-155027775(+) TGACAATGAGA >hg38_chr3:155033446-155033456(+) AAACTATGGTG >hg38_chr3:155033478-155033488(+) TTATAATGGAA >hg38_chr3:155033511-155033521(-) TAACAATAGGC >hg38_chr3:155047247-155047257(-) aaacaatggaa >hg38_chr3:155047258-155047268(-) agacagtggta >hg38_chr3:155047290-155047300(-) agacagtggta >hg38_chr3:155067749-155067759(+) ttacaatggct >hg38_chr3:155117043-155117053(-) CAACAATGTAT >hg38_chr3:155163126-155163136(-) TTATAATGGAC >hg38_chr3:155174649-155174659(-) ATACTATGGCT >hg38_chr3:155174724-155174734(-) CAACAATAGGT >hg38_chr3:155180079-155180089(-) GCACAATAGAA >hg38_chr3:155182275-155182285(-) ccacaatagaa >hg38_chr3:155182306-155182316(-) caacaatagga >hg38_chr3:155183095-155183105(+) CGACAATACCC >hg38_chr3:155198174-155198184(-) TAACAATGCCC >hg38_chr3:155233375-155233385(+) GCACAATGTCC >hg38_chr3:155270100-155270110(-) AGACAATGATC >hg38_chr3:155291635-155291645(-) AAACAATAGAA >hg38_chr3:155342633-155342643(+) AAACAAAGGTG >hg38_chr3:155373481-155373491(-) agacaatagag >hg38_chr3:155407137-155407147(+) acacaatgagg >hg38_chr3:155407505-155407515(+) ATACACTGGAA >hg38_chr3:155407513-155407523(+) GAATAATGGCA >hg38_chr3:155416931-155416941(+) taacaatggga >hg38_chr3:155421306-155421316(-) TTACAAAGGCT >hg38_chr3:155421343-155421353(+) TGACAAAGGGA >hg38_chr3:155441956-155441966(-) CAACAATAGTA >hg38_chr3:155441965-155441975(+) TGACTATGGGA >hg38_chr3:155480186-155480196(-) GAACAACGGCT >hg38_chr3:155480562-155480572(-) CGACAAAGGGA >hg38_chr3:155498411-155498421(-) TTACAATAGTC >hg38_chr3:155512307-155512317(-) ggacaatgtct >hg38_chr3:155552650-155552660(+) gaataatggtg >hg38_chr3:155560324-155560334(+) AAACAAAGGGC >hg38_chr3:155560336-155560346(+) GAATAATGGCT >hg38_chr3:155560692-155560702(-) GGACAATGAGA >hg38_chr3:155600360-155600370(-) GGACAATGATT >hg38_chr3:155647758-155647768(+) GTACAATGGTA >hg38_chr3:155648208-155648218(+) GAACAATAGTA >hg38_chr3:155648621-155648631(+) atacaataatg >hg38_chr3:155676329-155676339(-) CCACAATAGCG >hg38_chr3:155705015-155705025(-) GAACAAAGGAG >hg38_chr3:155712305-155712315(-) GGACAAAGGGC >hg38_chr3:155714526-155714536(+) ACACAAAGGGA >hg38_chr3:155726400-155726410(-) agacaatagaa >hg38_chr3:155726417-155726427(-) taacaatagaa >hg38_chr3:155726425-155726435(-) tgacaatgtaa >hg38_chr3:155733458-155733468(+) acacaatgggg >hg38_chr3:155741311-155741321(-) GAACAATGCCT >hg38_chr3:155746070-155746080(-) TAACAATAGGG >hg38_chr3:155791460-155791470(-) taacaatgtcc >hg38_chr3:155791493-155791503(+) ccacaatgggg >hg38_chr3:155834926-155834936(+) ggacaatgaaa >hg38_chr3:155854534-155854544(+) AAACAATGCTT >hg38_chr3:155880389-155880399(-) CCACAATGAGC >hg38_chr3:155887555-155887565(+) agacaatgtat >hg38_chr3:155887565-155887575(+) tgacaatgtgt >hg38_chr3:155985730-155985740(+) AAACAATGCCT >hg38_chr3:156024990-156025000(-) gcacaatgaaa >hg38_chr3:156025268-156025278(+) agacaatgctg >hg38_chr3:156029812-156029822(-) CTACAGTGGTA >hg38_chr3:156036682-156036692(+) GAACAATGTGG >hg38_chr3:156152050-156152060(-) TAACAATATCC >hg38_chr3:156156268-156156278(+) gcacaATAGGC >hg38_chr3:156156277-156156287(-) GTACAATGTGC >hg38_chr3:156227134-156227144(+) TCACAATGTTG >hg38_chr3:156259929-156259939(-) TAACACTGGGT >hg38_chr3:156303034-156303044(-) TGACAATGTGA >hg38_chr3:156317475-156317485(-) CCACAATGAAC >hg38_chr3:156317841-156317851(+) AAACAATGACT >hg38_chr3:156317863-156317873(-) CTACAATGTTA >hg38_chr3:156322120-156322130(+) tcacaaaggac >hg38_chr3:156404404-156404414(-) GAACAATAGCC >hg38_chr3:156409701-156409711(+) GCACAATGCCT >hg38_chr3:156409749-156409759(+) GCACAATGAGA >hg38_chr3:156412370-156412380(-) gtacaataagg >hg38_chr3:156441308-156441318(+) GCACAAAGGTA >hg38_chr3:156456944-156456954(+) CTACAATGGCG >hg38_chr3:156463282-156463292(-) CAACAATGAAC >hg38_chr3:156468683-156468693(-) GGACAATGATG >hg38_chr3:156477372-156477382(+) AAACAATGGAG >hg38_chr3:156485351-156485361(-) aaacaaaggca >hg38_chr3:156485379-156485389(-) TCACAATGGCA >hg38_chr3:156501143-156501153(-) TGACTATGGAA >hg38_chr3:156503041-156503051(-) GTACAATGCTA >hg38_chr3:156503057-156503067(-) CCACAATGAGT >hg38_chr3:156519686-156519696(-) TGACAATGTAC >hg38_chr3:156531165-156531175(-) GGACAATGGAT >hg38_chr3:156535369-156535379(-) GGACAAAGGCA >hg38_chr3:156537529-156537539(+) TGACAATGCAG >hg38_chr3:156547709-156547719(-) gaacaatgtct >hg38_chr3:156572301-156572311(-) GGACAATAGAG >hg38_chr3:156583800-156583810(+) TTACAATAGCC >hg38_chr3:156599651-156599661(-) TGACAATGACA >hg38_chr3:156605462-156605472(-) AGACAATGAAA >hg38_chr3:156609697-156609707(-) acacaatgcct >hg38_chr3:156643113-156643123(+) aaacaatgata >hg38_chr3:156676540-156676550(-) ccacaatgcac >hg38_chr3:156693188-156693198(-) GCATAATGGtc >hg38_chr3:156693209-156693219(+) AAATAATGGTT >hg38_chr3:156720991-156721001(-) agacaatggca >hg38_chr3:156722208-156722218(-) GGACAATGCAC >hg38_chr3:156749411-156749421(-) GAACAAAGGGC >hg38_chr3:156750309-156750319(-) GAACAATAGCC >hg38_chr3:156758998-156759008(+) aaacaatgata >hg38_chr3:156759480-156759490(-) ttactatgggg >hg38_chr3:156759995-156760005(-) ACACAATGCAG >hg38_chr3:156760009-156760019(+) AAACAATAGTG >hg38_chr3:156811940-156811950(+) gaacaatatat >hg38_chr3:156870337-156870347(+) atacaatgtct >hg38_chr3:156900193-156900203(-) aaacaatggac >hg38_chr3:156910409-156910419(+) ggataatggtt >hg38_chr3:156949060-156949070(-) agacaaaggtc >hg38_chr3:156975803-156975813(-) AAACAATAAAA >hg38_chr3:156978512-156978522(+) GGACAATGGAA >hg38_chr3:156987050-156987060(-) atacaatacaa >hg38_chr3:156987055-156987065(-) atacaatacaa >hg38_chr3:156987060-156987070(-) atacaatacaa >hg38_chr3:156987065-156987075(-) atacaatacaa >hg38_chr3:156987070-156987080(-) atacaatacaa >hg38_chr3:156987075-156987085(-) atacaatacaa >hg38_chr3:156987080-156987090(-) atacaatacaa >hg38_chr3:157002530-157002540(+) GAACAATGAGT >hg38_chr3:157051775-157051785(+) TAACAATGTCT >hg38_chr3:157051830-157051840(+) ACACAATGAGG >hg38_chr3:157075881-157075891(+) CAACAATGGCT >hg38_chr3:157076094-157076104(+) TGACAAAGGAC >hg38_chr3:157079990-157080000(+) CAACAATGAAC >hg38_chr3:157087507-157087517(-) CAACAATGGGG >hg38_chr3:157129939-157129949(-) GAACAATAGGC >hg38_chr3:157130738-157130748(-) AAACAGTGGGA >hg38_chr3:157147639-157147649(+) TTACAATAGCT >hg38_chr3:157147649-157147659(+) TCACAATGCAG >hg38_chr3:157176663-157176673(-) AGACAATGAAC >hg38_chr3:157238801-157238811(-) ttacaataggc >hg38_chr3:157244797-157244807(-) ccacaatagac >hg38_chr3:157248701-157248711(-) caacaatgaga >hg38_chr3:157263195-157263205(-) AAACAATAACC >hg38_chr3:157302829-157302839(-) gaacaaagggg >hg38_chr3:157312617-157312627(+) aaacaatgcac >hg38_chr3:157335871-157335881(-) AAACAAAGGCA >hg38_chr3:157359627-157359637(+) caacaatagat >hg38_chr3:157360215-157360225(+) TAACAATGTGT >hg38_chr3:157375677-157375687(-) AAACAATAGCA >hg38_chr3:157375699-157375709(-) ATACAAAGGAG >hg38_chr3:157377008-157377018(+) TCACAATGAAG >hg38_chr3:157390222-157390232(+) GAACAATGTAA >hg38_chr3:157390263-157390273(+) GGACAATGAGT >hg38_chr3:157401003-157401013(+) GAACAATGGCC >hg38_chr3:157451666-157451676(-) taacaattgta >hg38_chr3:157452866-157452876(-) caacaataggt >hg38_chr3:157453497-157453507(-) CTacaatggct >hg38_chr3:157453518-157453528(-) TTACAATAACA >hg38_chr3:157480480-157480490(-) gaacaatagac >hg38_chr3:157485284-157485294(+) taacaatatga >hg38_chr3:157485296-157485306(+) gaacaatatga >hg38_chr3:157492723-157492733(+) caacaatgaaa >hg38_chr3:157495525-157495535(+) ACACAATGTAG >hg38_chr3:157496645-157496655(-) aaacaatagat >hg38_chr3:157496684-157496694(-) caacaatagct >hg38_chr3:157502062-157502072(-) GAACAATAGAC >hg38_chr3:157542835-157542845(-) CGACAACGGGA >hg38_chr3:157566718-157566728(-) atacaatagca >hg38_chr3:157566739-157566749(-) ctacaatgaaa >hg38_chr3:157588857-157588867(+) GAACAAAGGGG >hg38_chr3:157609358-157609368(-) atacaataatc >hg38_chr3:157618390-157618400(-) GTACAATAGAT >hg38_chr3:157624074-157624084(-) AAACAATAGAA >hg38_chr3:157640122-157640132(+) ACACAATGGTG >hg38_chr3:157684111-157684121(-) AAACAATGTCA >hg38_chr3:157745669-157745679(+) TAACAATataa >hg38_chr3:157745726-157745736(-) acacaatgctt >hg38_chr3:157754601-157754611(+) AAACCATGGTA >hg38_chr3:157794902-157794912(-) CTACAATGAAT >hg38_chr3:157795389-157795399(+) GAACAATAATA >hg38_chr3:157865875-157865885(+) ACATAATGGGA >hg38_chr3:157865935-157865945(+) TTACAATAATC >hg38_chr3:157907927-157907937(-) caacaataggt >hg38_chr3:157964826-157964836(+) aaacaaagggg >hg38_chr3:157974098-157974108(+) AAACAAAGGAG >hg38_chr3:158058984-158058994(-) AAACAATGTTT >hg38_chr3:158098744-158098754(+) CCACAATAGGC >hg38_chr3:158100862-158100872(-) tgataatggtg >hg38_chr3:158109945-158109955(+) TAACAATAAAA >hg38_chr3:158150314-158150324(-) GGACAAAGGCA >hg38_chr3:158184406-158184416(+) GTACAATACAC >hg38_chr3:158184413-158184423(+) ACACAATAATA >hg38_chr3:158245994-158246004(-) acacaatggca >hg38_chr3:158246044-158246054(-) gaacaatgcta >hg38_chr3:158257561-158257571(-) acacaatgatc >hg38_chr3:158271335-158271345(-) TAACAATAACA >hg38_chr3:158344427-158344437(+) aaacaatgcaa >hg38_chr3:158372425-158372435(-) gtacaaaggca >hg38_chr3:158373999-158374009(+) TAATAATGGGC >hg38_chr3:158378429-158378439(-) tcacaatgtct >hg38_chr3:158381181-158381191(-) gcacaatgcat >hg38_chr3:158390810-158390820(-) TTACAATGCGC >hg38_chr3:158404407-158404417(+) AAACAATAATA >hg38_chr3:158464825-158464835(+) aaacaaaggca >hg38_chr3:158493214-158493224(-) GAACAATGACC >hg38_chr3:158508696-158508706(-) atacactggac >hg38_chr3:158508707-158508717(-) atacaatgtga >hg38_chr3:158532323-158532333(-) TAACAATGCCA >hg38_chr3:158536000-158536010(+) aaataatggct >hg38_chr3:158556033-158556043(+) agacaatgggg >hg38_chr3:158598513-158598523(-) AAACAAAGGCT >hg38_chr3:158632186-158632196(+) agacaaaggac >hg38_chr3:158652971-158652981(+) gtacaattgta >hg38_chr3:158693733-158693743(-) GTACAATACTA >hg38_chr3:158732707-158732717(+) GGACAATGGGG >hg38_chr3:158785037-158785047(+) GGACAATGATT >hg38_chr3:158785071-158785081(-) TAACAATGAAT >hg38_chr3:158786157-158786167(+) caacaatgtaa >hg38_chr3:158786192-158786202(+) caataatggat >hg38_chr3:158807937-158807947(-) AGACAATGCAA >hg38_chr3:158829401-158829411(+) AAACAATGGCA >hg38_chr3:158829407-158829417(-) TGACAATGCCA >hg38_chr3:158907546-158907556(+) ccacaatgcaa >hg38_chr3:158915889-158915899(+) gaacaataaaa >hg38_chr3:158946763-158946773(-) TTACACTGGAA >hg38_chr3:158952792-158952802(-) gaacaatagac >hg38_chr3:158961762-158961772(+) GAACAATTGCG >hg38_chr3:159071313-159071323(-) GAACAATATAT >hg38_chr3:159126437-159126447(-) TAATAATGGCG >hg38_chr3:159149760-159149770(-) GAACAATAGGC >hg38_chr3:159150570-159150580(-) GGACAATGCAA >hg38_chr3:159158333-159158343(+) GAACAATACGT >hg38_chr3:159158516-159158526(+) GTACAATGCCA >hg38_chr3:159158539-159158549(+) GTACAATGCCA >hg38_chr3:159177351-159177361(+) aaacaataact >hg38_chr3:159207155-159207165(-) GAACAAAGGCT >hg38_chr3:159207185-159207195(-) TAACAATGCCT >hg38_chr3:159229327-159229337(-) TGACAATGGAG >hg38_chr3:159285844-159285854(+) gtacaatagaa >hg38_chr3:159379075-159379085(+) GGACAATAAAT >hg38_chr3:159449415-159449425(+) AGACAATAGTG >hg38_chr3:159449481-159449491(+) TAACAATAATG >hg38_chr3:159532266-159532276(+) AAACAATGTTT >hg38_chr3:159532273-159532283(-) AAACAATAAAC >hg38_chr3:159560411-159560421(-) AAACAATGGGT >hg38_chr3:159567246-159567256(-) taacaaaggag >hg38_chr3:159576476-159576486(-) taacaataaat >hg38_chr3:159619604-159619614(+) AAACAAAGGCT >hg38_chr3:159620478-159620488(+) AGACAATGGCA >hg38_chr3:159771816-159771826(-) ATACAATGCTC >hg38_chr3:159792122-159792132(-) AAACAAAGGAA >hg38_chr3:159792145-159792155(+) AGATAATGGAG >hg38_chr3:159792177-159792187(-) ATACAATACAA >hg38_chr3:159792961-159792971(-) ACACAATGAAA >hg38_chr3:159799140-159799150(-) TTACAAAGGAC >hg38_chr3:159802128-159802138(-) TTACAATAACA >hg38_chr3:159810668-159810678(-) atacaatagaa >hg38_chr3:159837164-159837174(-) AGACAATGGGA >hg38_chr3:159837922-159837932(-) GCACAATGAAA >hg38_chr3:159843528-159843538(-) TTACAATGCCT >hg38_chr3:159854832-159854842(+) TAACAATGAAG >hg38_chr3:159855024-159855034(+) TAACAAAGGCA >hg38_chr3:159861704-159861714(-) AAACAAAGGGC >hg38_chr3:159901918-159901928(+) ATACAATATAC >hg38_chr3:159920213-159920223(+) atacaatggac >hg38_chr3:159920250-159920260(+) atacaatggac >hg38_chr3:160015265-160015275(+) TTACAATAGGT >hg38_chr3:160118552-160118562(-) gaacaatgctg >hg38_chr3:160118561-160118571(+) tcacaatagct >hg38_chr3:160183709-160183719(-) ACACAATAGAT >hg38_chr3:160220808-160220818(-) taacactggaa >hg38_chr3:160248525-160248535(-) agacaatagat >hg38_chr3:160331287-160331297(+) ggacaaaggga >hg38_chr3:160343365-160343375(+) CAACAATGTCA >hg38_chr3:160377124-160377134(+) GGATAATGGTC >hg38_chr3:160377301-160377311(+) GAACAATACTA >hg38_chr3:160377330-160377340(+) ACACAATGAAA >hg38_chr3:160381997-160382007(+) ATACAATATTC >hg38_chr3:160382780-160382790(+) AGACAATGCGC >hg38_chr3:160386169-160386179(+) AGACAATGGCA >hg38_chr3:160386183-160386193(+) TAACACTGGGA >hg38_chr3:160399538-160399548(+) CGACAATAAGA >hg38_chr3:160408866-160408876(-) TTACAATGACA >hg38_chr3:160410965-160410975(-) TTACAATGGAA >hg38_chr3:160449001-160449011(+) TCACAAAGGAC >hg38_chr3:160449046-160449056(+) CGACAATATTA >hg38_chr3:160449059-160449069(+) CAACAATGGTG >hg38_chr3:160484859-160484869(+) agataatggtc >hg38_chr3:160509885-160509895(+) AAACAAAGGCT >hg38_chr3:160511496-160511506(+) gtacaatgcag >hg38_chr3:160512058-160512068(+) ctacaatgcct >hg38_chr3:160514379-160514389(+) TCACAATGAAC >hg38_chr3:160514413-160514423(+) AAACAATGCTC >hg38_chr3:160525019-160525029(-) ATATAATGGGT >hg38_chr3:160539607-160539617(+) ACACAATGAGC >hg38_chr3:160545001-160545011(+) gaactatggcc >hg38_chr3:160548247-160548257(+) taacaataaaa >hg38_chr3:160562450-160562460(+) ACACAATGTAG >hg38_chr3:160563832-160563842(+) TCACAATGGTA >hg38_chr3:160566253-160566263(+) GGACAATAGCA >hg38_chr3:160579293-160579303(-) GTACAATGATG >hg38_chr3:160581079-160581089(-) tcacaatgtgc >hg38_chr3:160581126-160581136(+) agacaaaggga >hg38_chr3:160612676-160612686(-) taacaatggga >hg38_chr3:160614472-160614482(-) ccacaatgcta >hg38_chr3:160614505-160614515(-) taacaatgaac >hg38_chr3:160616980-160616990(-) gcacaatgccc >hg38_chr3:160625230-160625240(-) caacaatgaga >hg38_chr3:160635936-160635946(-) acacaatgaaa >hg38_chr3:160642938-160642948(+) GAACACTGGGA >hg38_chr3:160652971-160652981(-) aaacaatagaa >hg38_chr3:160715919-160715929(+) gaataatggct >hg38_chr3:160772570-160772580(+) atacaataaac >hg38_chr3:160781600-160781610(+) GCACAATGCTG >hg38_chr3:160784510-160784520(+) ACACAATGTAA >hg38_chr3:160785715-160785725(-) acacaatggaa >hg38_chr3:160793760-160793770(+) aaacaaaggtg >hg38_chr3:160793780-160793790(+) gaacaatggca >hg38_chr3:160816195-160816205(+) GGACAATGGAG >hg38_chr3:160825472-160825482(+) ggacaatgcca >hg38_chr3:160825524-160825534(-) taacaatgctt >hg38_chr3:160828435-160828445(+) ttacaatatac >hg38_chr3:160845103-160845113(-) atacaatggaa >hg38_chr3:160846471-160846481(-) ACACAATGAAG >hg38_chr3:160851868-160851878(-) AGACAATGGAA >hg38_chr3:160858087-160858097(-) GAACAATAGAC >hg38_chr3:160861218-160861228(+) GTACAATGGAA >hg38_chr3:160876740-160876750(+) CTACAATGCTA >hg38_chr3:160876748-160876758(+) CTACAATGGCT >hg38_chr3:160881251-160881261(-) GAACAATAATA >hg38_chr3:160881259-160881269(-) TAACAATAGAA >hg38_chr3:160893417-160893427(+) TAACAATATCC >hg38_chr3:160990589-160990599(-) tgacaatgcta >hg38_chr3:161017563-161017573(-) GCATAATGGGC >hg38_chr3:161017572-161017582(-) ATACAATAGGC >hg38_chr3:161050776-161050786(-) aaacaatgaag >hg38_chr3:161052588-161052598(+) ggacaatgagt >hg38_chr3:161070316-161070326(+) TGACAATGGAA >hg38_chr3:161108942-161108952(-) GCACAATGACA >hg38_chr3:161138560-161138570(+) gaacaatggta >hg38_chr3:161156540-161156550(+) acataatggct >hg38_chr3:161156575-161156585(+) gtacaattgta >hg38_chr3:161187400-161187410(+) taacaatagat >hg38_chr3:161203653-161203663(+) tcacaatgtga >hg38_chr3:161203696-161203706(+) taacaatgggg >hg38_chr3:161212886-161212896(-) taacaatggtg >hg38_chr3:161241253-161241263(-) AAACAATATTA >hg38_chr3:161241285-161241295(-) AAACAATAGCA >hg38_chr3:161241302-161241312(-) CCACAATGTTT >hg38_chr3:161243400-161243410(+) aaactatggat >hg38_chr3:161246622-161246632(-) TAACTATGGGC >hg38_chr3:161247111-161247121(-) ACACAATGAAC >hg38_chr3:161254693-161254703(-) gcacaatggca >hg38_chr3:161263335-161263345(-) CAACAATGCTC >hg38_chr3:161310661-161310671(+) tgataatggag >hg38_chr3:161382888-161382898(-) TGACAATGCCA >hg38_chr3:161403902-161403912(+) TGACAATGAAG >hg38_chr3:161441883-161441893(-) GTACAATAGGA >hg38_chr3:161454869-161454879(+) ttataatggaa >hg38_chr3:161456058-161456068(-) ctacaatgatg >hg38_chr3:161459641-161459651(+) atacaatgtga >hg38_chr3:161468352-161468362(+) ggacaaaggga >hg38_chr3:161471103-161471113(-) acacaatgcat >hg38_chr3:161480687-161480697(+) gtacaatgtgg >hg38_chr3:161480720-161480730(+) gaacaatacta >hg38_chr3:161487009-161487019(+) AGACAATAGAA >hg38_chr3:161497458-161497468(-) ctacaatgatg >hg38_chr3:161498618-161498628(+) ctacaatgtga >hg38_chr3:161508958-161508968(-) gaacaataaac >hg38_chr3:161515537-161515547(+) gaacaatatta >hg38_chr3:161552586-161552596(+) GAACAATGCAT >hg38_chr3:161555478-161555488(-) AAACAATGCCT >hg38_chr3:161555489-161555499(-) GAACAAAGGTT >hg38_chr3:161557569-161557579(+) AGACAATGCAG >hg38_chr3:161560373-161560383(+) GAACAAAGGCA >hg38_chr3:161574721-161574731(+) GCACAATGAGC >hg38_chr3:161579562-161579572(-) CAATAATGGAA >hg38_chr3:161579596-161579606(-) AAACAATGAAA >hg38_chr3:161587065-161587075(+) AGACAAAGGAC >hg38_chr3:161607209-161607219(+) CTACAATGAAT >hg38_chr3:161610097-161610107(-) AAACAATGAGG >hg38_chr3:161643005-161643015(+) tgacaatagat >hg38_chr3:161650044-161650054(-) agacaataaac >hg38_chr3:161662474-161662484(+) gaacaatgctg >hg38_chr3:161690153-161690163(-) ATACAATGGCA >hg38_chr3:161690168-161690178(+) TTACAATGTTT >hg38_chr3:161719210-161719220(-) AGACAATGCCC >hg38_chr3:161782329-161782339(+) gcacaatggcc >hg38_chr3:161810909-161810919(+) AAATAATGGTA >hg38_chr3:161857043-161857053(+) ATACAATATTT >hg38_chr3:161901640-161901650(-) GGACAATAGCT >hg38_chr3:161915911-161915921(+) GAACAAAGGCA >hg38_chr3:161940868-161940878(+) TTACAAAGGGT >hg38_chr3:161940935-161940945(+) TAACAATAGCA >hg38_chr3:161950207-161950217(+) AAACAATGAGC >hg38_chr3:162013599-162013609(+) CCACAATGCAA >hg38_chr3:162065140-162065150(-) GAACAATGGAA >hg38_chr3:162088995-162089005(-) aaacaatatag >hg38_chr3:162089203-162089213(+) ACATAATGGCT >hg38_chr3:162094423-162094433(+) ATACAATAtgt >hg38_chr3:162094433-162094443(-) gtacaatacaa >hg38_chr3:162097014-162097024(-) CAACAATAGCA >hg38_chr3:162099029-162099039(+) TTACAATGCAA >hg38_chr3:162104609-162104619(-) gaacaatgagc >hg38_chr3:162105395-162105405(-) gaacaaaggtt >hg38_chr3:162110304-162110314(-) ggacaatgagc >hg38_chr3:162112975-162112985(+) AAACAATAGAG >hg38_chr3:162116185-162116195(+) atataatgata >hg38_chr3:162116202-162116212(+) acacaatagct >hg38_chr3:162116217-162116227(+) ttataatggaa >hg38_chr3:162130899-162130909(-) TTACAATCGGC >hg38_chr3:162143284-162143294(+) AAACAATGAAA >hg38_chr3:162146898-162146908(+) taacaatgtcc >hg38_chr3:162152652-162152662(-) ctacaaaggat >hg38_chr3:162224117-162224127(-) AGACAATGGAA >hg38_chr3:162224159-162224169(+) tgacaatgccc >hg38_chr3:162241566-162241576(+) tcacaacggga >hg38_chr3:162241607-162241617(-) acacaaaggat >hg38_chr3:162270048-162270058(+) CAACAATGCAG >hg38_chr3:162272270-162272280(-) AAACAATAAGA >hg38_chr3:162281034-162281044(-) AGACAATGGAG >hg38_chr3:162281297-162281307(-) gaacaatggaa >hg38_chr3:162300307-162300317(+) GAACAATAGAG >hg38_chr3:162304077-162304087(+) atataatgtat >hg38_chr3:162329934-162329944(-) caacaatagca >hg38_chr3:162347800-162347810(-) acacaatggag >hg38_chr3:162353787-162353797(-) GAACAAAGGGG >hg38_chr3:162365118-162365128(-) TAACAATATGA >hg38_chr3:162391595-162391605(-) TAACAATGGTG >hg38_chr3:162418866-162418876(+) tgataatggtg >hg38_chr3:162418901-162418911(+) aaacaatgact >hg38_chr3:162468982-162468992(+) GAACAAAGGAC >hg38_chr3:162493275-162493285(-) taacaatagtc >hg38_chr3:162525094-162525104(-) GAACAGTGGTC >hg38_chr3:162545749-162545759(-) GAACAATGACC >hg38_chr3:162545792-162545802(-) ctacaaaggaa >hg38_chr3:162597423-162597433(-) taacaaaggac >hg38_chr3:162598993-162599003(+) aaacaatgaat >hg38_chr3:162605955-162605965(+) agacaatgggg >hg38_chr3:162618187-162618197(-) acacaatggag >hg38_chr3:162711892-162711902(+) TGACAATGACA >hg38_chr3:162721518-162721528(+) CAACAATGGAA >hg38_chr3:162739402-162739412(-) TTACAATAGCC >hg38_chr3:162741331-162741341(-) AGACAAAGGTA >hg38_chr3:162744476-162744486(-) ATACAATGAGC >hg38_chr3:162749063-162749073(-) atacaataata >hg38_chr3:162766048-162766058(+) tgataatggtg >hg38_chr3:162779195-162779205(+) AAATAATGGGA >hg38_chr3:162780583-162780593(-) ATACAATAGCT >hg38_chr3:162780588-162780598(-) gtaCAATACAA >hg38_chr3:162810653-162810663(-) aaacaatgctg >hg38_chr3:162891273-162891283(+) taacagtggga >hg38_chr3:162932654-162932664(+) AAACAAAGGTG >hg38_chr3:162944008-162944018(-) acacaatgcca >hg38_chr3:162945610-162945620(-) aaacaatagtt >hg38_chr3:162945643-162945653(-) ctataatggaa >hg38_chr3:163051487-163051497(-) GAACAATAAAT >hg38_chr3:163107766-163107776(-) agacaatgggg >hg38_chr3:163113451-163113461(+) TTACAATAGCT >hg38_chr3:163118123-163118133(-) TGACAAAGGTA >hg38_chr3:163121704-163121714(-) ACACAATAGAA >hg38_chr3:163161979-163161989(+) GAACAATGTTT >hg38_chr3:163328924-163328934(-) gaacaaagacg >hg38_chr3:163577216-163577226(+) tcacagtggta >hg38_chr3:163577245-163577255(-) ggacaatgctg >hg38_chr3:163617031-163617041(-) agataatggga >hg38_chr3:163722676-163722686(-) taacaatagtg >hg38_chr3:163745354-163745364(+) acacaatgcag >hg38_chr3:163822229-163822239(+) acacaatggat >hg38_chr3:163906397-163906407(-) aaacaatataa >hg38_chr3:163983095-163983105(-) agacaatgctt >hg38_chr3:164088996-164089006(-) ccacaatagtg >hg38_chr3:164222522-164222532(+) GCACAATGCAG >hg38_chr3:164404291-164404301(-) AAACAATAACA >hg38_chr3:164418423-164418433(-) AAACAATATAT >hg38_chr3:164439346-164439356(+) agacaataaat >hg38_chr3:164535833-164535843(-) ATACAATGCCA >hg38_chr3:164824934-164824944(+) ttacaaaggct >hg38_chr3:164832146-164832156(+) tgacaataggc >hg38_chr3:164845921-164845931(-) ccacaatgtct >hg38_chr3:164890774-164890784(-) ttacagtggaa >hg38_chr3:164890800-164890810(+) ttacaatgcaa >hg38_chr3:164941300-164941310(-) TAACAATAGCA >hg38_chr3:164948538-164948548(+) taacaatgaga >hg38_chr3:164948560-164948570(-) ttacaatgggt >hg38_chr3:165183997-165184007(-) GGACAATAAAT >hg38_chr3:165189714-165189724(+) ATATAATGGGG >hg38_chr3:165228314-165228324(+) tgacaatgaat >hg38_chr3:165302548-165302558(-) CTACAATGGAT >hg38_chr3:165339891-165339901(-) TTACAATGCTT >hg38_chr3:165362547-165362557(-) atacaataggg >hg38_chr3:165563265-165563275(-) caacaatagca >hg38_chr3:165563291-165563301(+) aaacaataggc >hg38_chr3:165796961-165796971(-) AAACAATGCAG >hg38_chr3:165852453-165852463(+) gaacaatgtca >hg38_chr3:165852496-165852506(+) agacaatgcta >hg38_chr3:165895783-165895793(+) TAACAAAGGAA >hg38_chr3:165896001-165896011(+) AAACAATGCTT >hg38_chr3:166013364-166013374(-) GAACAATGGTT >hg38_chr3:166105091-166105101(+) ttacaatgggt >hg38_chr3:166190595-166190605(-) agacaatgcag >hg38_chr3:166211518-166211528(+) agacaatgctt >hg38_chr3:166284026-166284036(-) AGACAATGACG >hg38_chr3:166297916-166297926(-) tcacaatgcat >hg38_chr3:166297981-166297991(+) tcacaatagct >hg38_chr3:166614172-166614182(-) agacaataggg >hg38_chr3:166775484-166775494(-) gcacaatagtg >hg38_chr3:166775497-166775507(-) atacaataagc >hg38_chr3:166830327-166830337(+) taacaatagtc >hg38_chr3:166966640-166966650(-) caacaatggat >hg38_chr3:167016421-167016431(+) ccacaatagaa >hg38_chr3:167152658-167152668(+) CCACAATGACA >hg38_chr3:167166766-167166776(-) taacaatgtta >hg38_chr3:167254209-167254219(+) ttacaatgttt >hg38_chr3:167363761-167363771(+) CAACAATAGTC >hg38_chr3:167396291-167396301(+) TGACAATGGAA >hg38_chr3:167521001-167521011(+) TAACAATGAGA >hg38_chr3:167560047-167560057(-) ACACAATGATG >hg38_chr3:167602252-167602262(-) TAACAATAAAG >hg38_chr3:167641858-167641868(-) taacaatgtat >hg38_chr3:167689243-167689253(-) AAACAATAGTG >hg38_chr3:167705385-167705395(+) AAACAATGTAA >hg38_chr3:167706567-167706577(+) ctacaatgaac >hg38_chr3:167706574-167706584(+) gaacaatggct >hg38_chr3:167712006-167712016(+) taacaaaggga >hg38_chr3:167715118-167715128(+) ctacaatgaac >hg38_chr3:167715440-167715450(+) agacaaaggaa >hg38_chr3:167726901-167726911(+) TCACAATGTCA >hg38_chr3:167750880-167750890(-) GTACAATATAC >hg38_chr3:167761525-167761535(-) ATACAATGGTT >hg38_chr3:167762195-167762205(-) cgacaacgtat >hg38_chr3:167782276-167782286(-) GAACAATCGCA >hg38_chr3:167814669-167814679(+) gcacaataccg >hg38_chr3:167824513-167824523(-) CGACAATAACT >hg38_chr3:167830930-167830940(-) aaacaatgtct >hg38_chr3:167851477-167851487(-) acacaatagcc >hg38_chr3:167862219-167862229(+) ATACAATAGGC >hg38_chr3:167862276-167862286(-) TTACAATGAAG >hg38_chr3:167868205-167868215(+) gcacaatgcat >hg38_chr3:167874923-167874933(+) GAACAAAGGAG >hg38_chr3:167884213-167884223(+) CTACAATACGG >hg38_chr3:167884226-167884236(+) ATACAATGAAG >hg38_chr3:167919355-167919365(-) AAACAAAGGAG >hg38_chr3:167919424-167919434(+) TAATAATGTAA >hg38_chr3:167920878-167920888(-) ctacaatggca >hg38_chr3:167928357-167928367(-) TCACAATGGTT >hg38_chr3:167984112-167984122(+) atacaataata >hg38_chr3:167985260-167985270(+) taacaataaat >hg38_chr3:168066807-168066817(+) GCACAATGCTT >hg38_chr3:168077320-168077330(+) aaataatggtg >hg38_chr3:168078413-168078423(+) AAACAATGTAT >hg38_chr3:168078895-168078905(+) taacaatgcta >hg38_chr3:168115403-168115413(+) gtacaaagggc >hg38_chr3:168191191-168191201(+) agacaatagac >hg38_chr3:168216283-168216293(+) atacaatgctg >hg38_chr3:168219852-168219862(+) AAACAATGTCA >hg38_chr3:168223279-168223289(+) GGACAATGGGA >hg38_chr3:168232988-168232998(-) GTACAATGAAA >hg38_chr3:168233394-168233404(+) ATACAATTGTA >hg38_chr3:168233403-168233413(+) TAACAGTGGGT >hg38_chr3:168234848-168234858(-) TCACAATGGAA >hg38_chr3:168272491-168272501(+) agacaatgagc >hg38_chr3:168289195-168289205(-) ACATAATGGCC >hg38_chr3:168333319-168333329(+) AAACAAAGGCA >hg38_chr3:168333354-168333364(-) ACACAATGAAA >hg38_chr3:168447546-168447556(-) gaacaaaggca >hg38_chr3:168467464-168467474(-) ACACAATGTCC >hg38_chr3:168498051-168498061(+) AGACAAAGGAC >hg38_chr3:168507375-168507385(-) CAACAATAGTT >hg38_chr3:168511489-168511499(+) AGACAAAGGAA >hg38_chr3:168513055-168513065(-) atataatggtt >hg38_chr3:168531297-168531307(-) AGACAAAGGGC >hg38_chr3:168531350-168531360(-) ATACAATGTTA >hg38_chr3:168532298-168532308(-) AAACAAAGGTG >hg38_chr3:168546002-168546012(+) acacaatggca >hg38_chr3:168555026-168555036(+) CAATAATGGCG >hg38_chr3:168579304-168579314(+) GAACAATGAAA >hg38_chr3:168581487-168581497(+) GAACAATAACA >hg38_chr3:168591440-168591450(+) TTACAATGGGA >hg38_chr3:168615737-168615747(-) TAATAATAGTA >hg38_chr3:168637411-168637421(+) atacaatacat >hg38_chr3:168637420-168637430(-) taacaataaat >hg38_chr3:168644107-168644117(+) aaacaatgctg >hg38_chr3:168681302-168681312(+) ACACAATGATA >hg38_chr3:168728311-168728321(-) taacaatggtt >hg38_chr3:168728339-168728349(-) aaacactggaa >hg38_chr3:168732166-168732176(+) tcacaatatat >hg38_chr3:168748899-168748909(-) GGACAATAGGG >hg38_chr3:168748914-168748924(-) GAACAATAGCA >hg38_chr3:168761042-168761052(-) caacaatggat >hg38_chr3:168761072-168761082(-) caataatggaa >hg38_chr3:168761075-168761085(-) atacaataatg >hg38_chr3:168783781-168783791(-) agactatggat >hg38_chr3:168802935-168802945(+) GAACAATAGTG >hg38_chr3:168802981-168802991(-) GAACAACGGGG >hg38_chr3:168802995-168803005(+) TAACCATGGAC >hg38_chr3:168816585-168816595(+) GAACAATAGAG >hg38_chr3:168829207-168829217(-) GCACAATAGCT >hg38_chr3:168842484-168842494(+) TTACAATGAAA >hg38_chr3:168855644-168855654(+) tgacaatgtgt >hg38_chr3:168878872-168878882(+) gaataatggcc >hg38_chr3:168885221-168885231(+) TAACAATGGCT >hg38_chr3:168887392-168887402(-) AAACAATGGGT >hg38_chr3:168926650-168926660(-) TAACTATGGTT >hg38_chr3:168926681-168926691(-) AAACAATAGCT >hg38_chr3:168926690-168926700(-) TCATAATGGAA >hg38_chr3:168927163-168927173(+) TGACAATGAAG >hg38_chr3:168949839-168949849(+) ACACAAAGGAC >hg38_chr3:168960925-168960935(+) atacaattgat >hg38_chr3:168975134-168975144(+) AAACAATGCCA >hg38_chr3:168975515-168975525(-) AGACAATGAAG >hg38_chr3:169008976-169008986(-) CAACAATAGCA >hg38_chr3:169009030-169009040(-) ATACAATAAAG >hg38_chr3:169018009-169018019(+) taacaatggaa >hg38_chr3:169027050-169027060(+) AGACAATGAAT >hg38_chr3:169044498-169044508(+) ATACAATAGAG >hg38_chr3:169061637-169061647(+) gcacaatagat >hg38_chr3:169077131-169077141(+) gaacaataggg >hg38_chr3:169083541-169083551(-) TTACTATGGGA >hg38_chr3:169083573-169083583(-) GTACAATATAA >hg38_chr3:169085904-169085914(-) CAACAATAGCA >hg38_chr3:169085986-169085996(-) AGACAGTGGAA >hg38_chr3:169122737-169122747(+) GAACAATAGAT >hg38_chr3:169122754-169122764(+) AGACAAAGGAT >hg38_chr3:169127252-169127262(-) ACACAATAAAA >hg38_chr3:169127295-169127305(-) ACACAATAACG >hg38_chr3:169128854-169128864(-) AAACAATGCCA >hg38_chr3:169136830-169136840(-) TAACAATAAAG >hg38_chr3:169148889-169148899(+) AGATAATGGCC >hg38_chr3:169148896-169148906(-) AAACAATGGCC >hg38_chr3:169165483-169165493(-) TGACAATGTTG >hg38_chr3:169171214-169171224(-) GGACAATAGCA >hg38_chr3:169179279-169179289(-) GCACAATAGCT >hg38_chr3:169179527-169179537(+) AAACAATAGGT >hg38_chr3:169179591-169179601(+) TAACAATAGGG >hg38_chr3:169188665-169188675(-) GCACAATGGCA >hg38_chr3:169188674-169188684(-) TCACAATGAGC >hg38_chr3:169204356-169204366(+) AGACAATAGCC >hg38_chr3:169204697-169204707(+) TTACAATGGCC >hg38_chr3:169227925-169227935(-) AAACAATGGTT >hg38_chr3:169235455-169235465(-) TAACAATAAAT >hg38_chr3:169242085-169242095(-) ACACAATGGGG >hg38_chr3:169246909-169246919(+) AGACAATGGAT >hg38_chr3:169269170-169269180(-) ACATAATGGCA >hg38_chr3:169305555-169305565(+) AGATAATGGTA >hg38_chr3:169305591-169305601(+) TTACAATGGAC >hg38_chr3:169331629-169331639(-) TTACAATAAAT >hg38_chr3:169354978-169354988(+) ACACAATGAAG >hg38_chr3:169362681-169362691(+) GAACAAAGGCA >hg38_chr3:169385318-169385328(+) TTACAATGACA >hg38_chr3:169410451-169410461(-) GTACAAAGGAC >hg38_chr3:169410484-169410494(+) GGACAATAAGA >hg38_chr3:169425770-169425780(+) caacaatagaa >hg38_chr3:169425796-169425806(+) acacaatggaa >hg38_chr3:169492307-169492317(-) ATACAATGGAC >hg38_chr3:169509039-169509049(+) GAACAATGACT >hg38_chr3:169528385-169528395(-) CAACAATGGGG >hg38_chr3:169541732-169541742(-) GGACAATGCAG >hg38_chr3:169542390-169542400(+) TCATAATGGCA >hg38_chr3:169542414-169542424(+) AAACAATGCCC >hg38_chr3:169558578-169558588(-) AAACAATGATA >hg38_chr3:169574521-169574531(+) TTACAATGCTT >hg38_chr3:169574539-169574549(+) TGACAATGATG >hg38_chr3:169619973-169619983(+) AAACAATAGCG >hg38_chr3:169656543-169656553(+) TAATAATGCCG >hg38_chr3:169660596-169660606(-) TTACAATGGAA >hg38_chr3:169667349-169667359(-) TTACAATGATT >hg38_chr3:169699896-169699906(+) AAACAGTGGAC >hg38_chr3:169739305-169739315(+) acacaatagat >hg38_chr3:169740533-169740543(+) AAACAAAGGTA >hg38_chr3:169786497-169786507(+) AAACTATGGAT >hg38_chr3:169886252-169886262(-) AAACAATAAAA >hg38_chr3:169893136-169893146(-) taacaatagct >hg38_chr3:169893148-169893158(-) TAACAATAGTa >hg38_chr3:169906120-169906130(+) ACATAATGACG >hg38_chr3:169934963-169934973(+) caacaatgtca >hg38_chr3:169970245-169970255(-) TAACAATATGT >hg38_chr3:169970727-169970737(-) TTACAATGAGG >hg38_chr3:169974395-169974405(+) ATACAAAGATA >hg38_chr3:169974767-169974777(-) ACACAATGACA >hg38_chr3:169976022-169976032(+) AAACAATGTCA >hg38_chr3:170075510-170075520(-) tcacaatgggt >hg38_chr3:170093614-170093624(-) CCACAATGGCA >hg38_chr3:170098606-170098616(+) ACACAATAAAC >hg38_chr3:170117291-170117301(+) TAACAATAGCC >hg38_chr3:170136729-170136739(-) TCATAATGGTA >hg38_chr3:170169640-170169650(+) TAACAATGTCA >hg38_chr3:170169883-170169893(-) ATACAATGGTA >hg38_chr3:170174699-170174709(-) AAACAATAACA >hg38_chr3:170197019-170197029(-) ggacaaaggga >hg38_chr3:170225172-170225182(-) ATACAATGGGC >hg38_chr3:170241603-170241613(-) tcacaatagct >hg38_chr3:170241639-170241649(-) AAACAAAGGTG >hg38_chr3:170258232-170258242(+) TAACTATGGGA >hg38_chr3:170261906-170261916(+) TTACAATGAAT >hg38_chr3:170262781-170262791(-) AAACAATGCAT >hg38_chr3:170276290-170276300(-) taacaatagat >hg38_chr3:170308059-170308069(+) GGACAATGGCT >hg38_chr3:170308069-170308079(+) TAACAATGAGT >hg38_chr3:170354937-170354947(+) AAACAATAGGT >hg38_chr3:170357433-170357443(+) CCACAATAGGC >hg38_chr3:170371754-170371764(+) atacaatggat >hg38_chr3:170398481-170398491(-) aaacaatgaaa >hg38_chr3:170425876-170425886(-) AGACAATGAGC >hg38_chr3:170429809-170429819(+) TAACAATAGAT >hg38_chr3:170459986-170459996(-) TGACAATATAT >hg38_chr3:170460066-170460076(-) GTACAATGTAG >hg38_chr3:170464771-170464781(-) TAACAATAGCC >hg38_chr3:170487324-170487334(-) TAACAATGAAA >hg38_chr3:170520369-170520379(-) TAACAATGTAA >hg38_chr3:170521365-170521375(-) ACATAATGGAA >hg38_chr3:170593041-170593051(-) CAACAATGTGA >hg38_chr3:170636150-170636160(-) AGACAATAGTC >hg38_chr3:170680647-170680657(-) aaacaatggcc >hg38_chr3:170740823-170740833(+) ATACAAAGGAA >hg38_chr3:170744134-170744144(-) caacaatggat >hg38_chr3:170774260-170774270(-) TAACAATGAAT >hg38_chr3:170809739-170809749(+) CAACAATGGCC >hg38_chr3:170817074-170817084(-) AAACAATAGTT >hg38_chr3:170826781-170826791(+) agacaatgctt >hg38_chr3:170842804-170842814(+) AAACAATGTCT >hg38_chr3:170851417-170851427(+) gaacaatagtt >hg38_chr3:170857975-170857985(+) atacaattgat >hg38_chr3:170901145-170901155(+) atacagtggga >hg38_chr3:170990475-170990485(+) caacaatgaca >hg38_chr3:170996688-170996698(+) GTACAATGCGT >hg38_chr3:170998216-170998226(+) CTACAATGAAA >hg38_chr3:171005905-171005915(+) AAACAATAGGA >hg38_chr3:171047005-171047015(-) TAACAATGACA >hg38_chr3:171062009-171062019(+) TAATAATGTAA >hg38_chr3:171089158-171089168(-) ACACAAAGGAC >hg38_chr3:171092573-171092583(+) ACACAATGTCA >hg38_chr3:171129978-171129988(+) GGACAATGCAA >hg38_chr3:171138483-171138493(+) TAACAAAGGAT >hg38_chr3:171149215-171149225(+) AGACAACGGAG >hg38_chr3:171183354-171183364(+) agacaatagct >hg38_chr3:171183365-171183375(+) taataatgggc >hg38_chr3:171191608-171191618(-) GCACAATGGGT >hg38_chr3:171192507-171192517(-) AAACAATGGCT >hg38_chr3:171221940-171221950(-) AGACAATAGGA >hg38_chr3:171222664-171222674(+) TAATAATGGGC >hg38_chr3:171225040-171225050(+) TAACAATAAAC >hg38_chr3:171225085-171225095(+) TAACAAAGACG >hg38_chr3:171225847-171225857(+) ACACAATAGAA >hg38_chr3:171269340-171269350(+) ACACAATGTGC >hg38_chr3:171274176-171274186(+) TAACAAAGGGA >hg38_chr3:171287021-171287031(+) TCACAATGTTG >hg38_chr3:171295706-171295716(-) AAACAATACCG >hg38_chr3:171320929-171320939(+) GAACAATGTTA >hg38_chr3:171328829-171328839(+) GGACAAAGGCA >hg38_chr3:171328883-171328893(+) AAACAATGGAG >hg38_chr3:171363568-171363578(+) AAACAATAAGT >hg38_chr3:171378004-171378014(+) TAACAATAGAG >hg38_chr3:171378048-171378058(+) CCACAATGAGC >hg38_chr3:171379422-171379432(-) TGACAAAGGAT >hg38_chr3:171386597-171386607(+) ACACAATAAAT >hg38_chr3:171396462-171396472(+) acacaatacgt >hg38_chr3:171417351-171417361(+) TAACAAAGGCT >hg38_chr3:171420843-171420853(-) acacaatagat >hg38_chr3:171428734-171428744(-) TAATAATGGGC >hg38_chr3:171432200-171432210(+) acacaatcgac >hg38_chr3:171432898-171432908(+) AAACAATGAGT >hg38_chr3:171458112-171458122(-) GAACAATGCGC >hg38_chr3:171459109-171459119(+) ATACAATGAAA >hg38_chr3:171467229-171467239(-) ggacaatgtgc >hg38_chr3:171471538-171471548(-) ctacaatacGG >hg38_chr3:171498899-171498909(-) TTACAATAGCC >hg38_chr3:171562369-171562379(-) CCACAATGGCT >hg38_chr3:171591296-171591306(-) ttacaatgata >hg38_chr3:171640503-171640513(-) ccacaatggaa >hg38_chr3:171659991-171660001(+) TAACAATGCAA >hg38_chr3:171706489-171706499(-) AGACAAAGGTT >hg38_chr3:171706507-171706517(-) AGACAAAGGTT >hg38_chr3:171724113-171724123(-) ctacaatagaa >hg38_chr3:171732192-171732202(+) TAACAATAGTA >hg38_chr3:171732214-171732224(-) TAACAATGAAT >hg38_chr3:171771674-171771684(-) GAACAATATAA >hg38_chr3:171776656-171776666(-) AGACAATAGGT >hg38_chr3:171782006-171782016(+) aaacaatggaa >hg38_chr3:171791863-171791873(+) ACACAATGGGC >hg38_chr3:171791914-171791924(-) GAACAAAGGAG >hg38_chr3:171795031-171795041(-) ATATaatggtg >hg38_chr3:171795057-171795067(-) AAACAAAGGAA >hg38_chr3:171802045-171802055(-) TGACAATGATT >hg38_chr3:171804495-171804505(+) ctacaatggac >hg38_chr3:171804575-171804585(+) agacaatagaa >hg38_chr3:171842966-171842976(-) GTACAATAGAT >hg38_chr3:171842975-171842985(+) ACACAAAGGAT >hg38_chr3:171851409-171851419(-) GTACAGTGGTG >hg38_chr3:171851423-171851433(+) GAACAATAAAT >hg38_chr3:171863359-171863369(+) ACACAATGCAG >hg38_chr3:171863945-171863955(+) TCACAATGCAG >hg38_chr3:171888681-171888691(-) atacaatggag >hg38_chr3:171888691-171888701(-) agacaatggta >hg38_chr3:171950034-171950044(+) AAACAATAGGG >hg38_chr3:171950887-171950897(-) GAACAATATAG >hg38_chr3:171950915-171950925(+) TTACAATCGTC >hg38_chr3:171954846-171954856(-) GAACAATGCAC >hg38_chr3:171967046-171967056(-) TAACAATGTCT >hg38_chr3:171967836-171967846(+) ATACAGTGGAA >hg38_chr3:172003084-172003094(+) aaacaatgtca >hg38_chr3:172047335-172047345(-) GAACAATGGCG >hg38_chr3:172075823-172075833(-) tcacaaaggaa >hg38_chr3:172076504-172076514(-) TCACAATGAAG >hg38_chr3:172082478-172082488(-) TTATAATGGAA >hg38_chr3:172097350-172097360(-) CAACAATGGAA >hg38_chr3:172098904-172098914(-) CCACAATAGGT >hg38_chr3:172104626-172104636(+) TCATAATGGCG >hg38_chr3:172112285-172112295(-) GAACAAAGGCA >hg38_chr3:172130194-172130204(+) TGACAATGAAA >hg38_chr3:172136522-172136532(+) GGACAATGGGA >hg38_chr3:172136545-172136555(+) GTACAGTGGGA >hg38_chr3:172141041-172141051(+) gaacaatgata >hg38_chr3:172141080-172141090(-) TTACAATGGAT >hg38_chr3:172156929-172156939(-) GAACAATAGCC >hg38_chr3:172156967-172156977(-) AGACAATATTA >hg38_chr3:172156998-172157008(+) TATCAATGGAC >hg38_chr3:172161629-172161639(+) TGACAATGTCT >hg38_chr3:172170853-172170863(+) AGACAATAGAT >hg38_chr3:172171138-172171148(-) AAATAATGGAC >hg38_chr3:172175144-172175154(-) AGACAACGGCA >hg38_chr3:172182130-172182140(-) GGACAATAGGA >hg38_chr3:172195651-172195661(-) AAACAATAGTC >hg38_chr3:172195666-172195676(+) GTACAATGCAG >hg38_chr3:172203933-172203943(+) AGACAAAGGCA >hg38_chr3:172214212-172214222(-) AAACAAAGGGC >hg38_chr3:172217440-172217450(-) GGACAATAACA >hg38_chr3:172219276-172219286(+) agacaatgcac >hg38_chr3:172228302-172228312(-) ACATAATGGAA >hg38_chr3:172266261-172266271(+) ACACAATGGAG >hg38_chr3:172273699-172273709(+) TAACAATGGAA >hg38_chr3:172300198-172300208(-) GAATAATGGTT >hg38_chr3:172300326-172300336(-) GAACAATAAAC >hg38_chr3:172302791-172302801(-) ACACAATGCCC >hg38_chr3:172302803-172302813(-) ATACAATACAT >hg38_chr3:172315793-172315803(+) AAACAATGACT >hg38_chr3:172348443-172348453(+) tgacaatgagt >hg38_chr3:172390116-172390126(-) gcacaatggct >hg38_chr3:172392115-172392125(+) TAACAATGGGC >hg38_chr3:172398153-172398163(-) CTACAATGCTC >hg38_chr3:172398200-172398210(-) GCACAATGCCC >hg38_chr3:172435215-172435225(+) tgacaatggag >hg38_chr3:172435229-172435239(+) aaacaataaca >hg38_chr3:172454375-172454385(-) aaacaataaca >hg38_chr3:172477610-172477620(-) AGACAATGGCC >hg38_chr3:172513672-172513682(+) aaacaatggac >hg38_chr3:172513745-172513755(+) AAACAATGGTG >hg38_chr3:172546267-172546277(+) TAACAATGAGT >hg38_chr3:172618199-172618209(-) gtacaatgctc >hg38_chr3:172645630-172645640(+) AAACAATGACA >hg38_chr3:172645700-172645710(+) ACACAAAGGGA >hg38_chr3:172686048-172686058(+) tcacaatgcac >hg38_chr3:172697933-172697943(-) taacaataaga >hg38_chr3:172765118-172765128(-) agacaatagat >hg38_chr3:172766363-172766373(-) TGACAATATAC >hg38_chr3:172783736-172783746(-) AGACAATGTAA >hg38_chr3:172797587-172797597(-) gaacaatgtta >hg38_chr3:172797595-172797605(-) agacaaaggaa >hg38_chr3:172808721-172808731(+) GTACAATGGTA >hg38_chr3:172814839-172814849(+) atacaatgcaa >hg38_chr3:172853712-172853722(-) GTACAATAGCT >hg38_chr3:172853786-172853796(-) TTACAAAGGAG >hg38_chr3:172854475-172854485(-) ACACAAAGGCA >hg38_chr3:172890458-172890468(-) ATACAATATGC >hg38_chr3:172890493-172890503(-) TGACAATGAGC >hg38_chr3:172891079-172891089(+) ATATAATGGAT >hg38_chr3:172891146-172891156(+) TGACAATGTAT >hg38_chr3:172917106-172917116(-) TTACAAAGGCA >hg38_chr3:172991193-172991203(-) GAACAATAggc >hg38_chr3:172992107-172992117(+) GTACAATACTC >hg38_chr3:173019565-173019575(+) AAACAATGCTC >hg38_chr3:173039510-173039520(-) AAACAATGCAT >hg38_chr3:173039534-173039544(-) GCATAATGGAG >hg38_chr3:173093369-173093379(-) GAACAATGTAC >hg38_chr3:173107034-173107044(-) GAACAAAGGCT >hg38_chr3:173123128-173123138(+) CTACAATGTAT >hg38_chr3:173123161-173123171(-) TAACACTGGAT >hg38_chr3:173139554-173139564(+) TTACTATGGCC >hg38_chr3:173219613-173219623(-) tcataatggca >hg38_chr3:173253688-173253698(-) agacaatggga >hg38_chr3:173257234-173257244(+) aaacaatatat >hg38_chr3:173257253-173257263(+) taataatggag >hg38_chr3:173258986-173258996(-) TAACAATAACT >hg38_chr3:173259039-173259049(-) GTATAATGAAT >hg38_chr3:173268674-173268684(+) TCACAATAGAC >hg38_chr3:173268725-173268735(-) TTACAATGTGT >hg38_chr3:173272119-173272129(-) agataatggct >hg38_chr3:173301787-173301797(+) agacaatggga >hg38_chr3:173348960-173348970(-) aaacaaaggat >hg38_chr3:173398142-173398152(+) GAACAATGCCG >hg38_chr3:173410396-173410406(+) GCACAATGGAG >hg38_chr3:173410992-173411002(-) GAACAATGGct >hg38_chr3:173502842-173502852(+) AAACAATGATC >hg38_chr3:173518868-173518878(+) acacaatgggg >hg38_chr3:173532747-173532757(-) caacaatgtcc >hg38_chr3:173584324-173584334(-) GTACAAAGATA >hg38_chr3:173591496-173591506(+) aaacaatagtc >hg38_chr3:173591551-173591561(+) acacaaaggtt >hg38_chr3:173607176-173607186(-) GAACAATGAAA >hg38_chr3:173612699-173612709(-) atacaAtgacc >hg38_chr3:173660222-173660232(-) GCACAATGGAA >hg38_chr3:173663295-173663305(+) TAACAATGATT >hg38_chr3:173663310-173663320(+) TAACAATGATA >hg38_chr3:173669149-173669159(+) aaacaatgtgg >hg38_chr3:173669160-173669170(+) tgacaataggt >hg38_chr3:173694843-173694853(+) AAACAATATTA >hg38_chr3:173709308-173709318(+) gaacaatggca >hg38_chr3:173709330-173709340(-) acataatgggt >hg38_chr3:173715351-173715361(-) TAACAATGCAT >hg38_chr3:173716818-173716828(-) GAACAATAGGC >hg38_chr3:173728426-173728436(-) ACACAATGGAG >hg38_chr3:173734905-173734915(+) GAATAATGGAT >hg38_chr3:173739809-173739819(+) AAACAAAGGTT >hg38_chr3:173745100-173745110(+) GCACAATGGCA >hg38_chr3:173773673-173773683(+) atataatggta >hg38_chr3:173789493-173789503(-) ggacaatgtgt >hg38_chr3:173789554-173789564(+) gaacaatgtca >hg38_chr3:173790248-173790258(-) ATAGAATGGTA >hg38_chr3:173800327-173800337(+) TGATAATGACG >hg38_chr3:173824097-173824107(+) gtacaataaca >hg38_chr3:173824121-173824131(-) taacaatgaac >hg38_chr3:173846901-173846911(-) ACACAATGCTT >hg38_chr3:173854330-173854340(+) GTACAATGATG >hg38_chr3:173854473-173854483(+) CTACAATAATA >hg38_chr3:173898582-173898592(+) TAACAATGTAG >hg38_chr3:173902942-173902952(+) acacaataaaa >hg38_chr3:173903725-173903735(+) AAACAATGAAG >hg38_chr3:173911705-173911715(-) AAACAGTGGAA >hg38_chr3:173914188-173914198(-) CAACAATGGGA >hg38_chr3:173921945-173921955(-) GTATAATGTAT >hg38_chr3:173933283-173933293(-) CCACAATGAGG >hg38_chr3:173944204-173944214(-) ATACAATGCCT >hg38_chr3:173963164-173963174(-) ttactatggaa >hg38_chr3:173993391-173993401(+) GCACAATGTCA >hg38_chr3:173998909-173998919(-) CTATAATGGGT >hg38_chr3:174020810-174020820(+) AAACAATACTA >hg38_chr3:174094724-174094734(-) GAACAATGAGC >hg38_chr3:174120971-174120981(+) GAACAATAGGC >hg38_chr3:174121329-174121339(-) AGACAATAATA >hg38_chr3:174148949-174148959(+) TAACAATAGGA >hg38_chr3:174193152-174193162(+) GTACAAAGGAA >hg38_chr3:174193177-174193187(+) ATACAATAGTT >hg38_chr3:174202366-174202376(+) ACACAATGGCC >hg38_chr3:174202672-174202682(+) tcacaatgttc >hg38_chr3:174213467-174213477(+) aaacaatgttg >hg38_chr3:174213481-174213491(+) taataatagta >hg38_chr3:174213504-174213514(+) taacaataaga >hg38_chr3:174213977-174213987(+) TAACAATATAT >hg38_chr3:174214027-174214037(+) GGACAATGTTA >hg38_chr3:174214219-174214229(-) ACATAATGGGC >hg38_chr3:174214247-174214257(-) AAATAATGGAA >hg38_chr3:174214256-174214266(-) AAACAATAAAA >hg38_chr3:174249830-174249840(-) ATACAAAGGCA >hg38_chr3:174249862-174249872(-) TGACAATGAAA >hg38_chr3:174267862-174267872(+) TTACAGTGGAT >hg38_chr3:174283804-174283814(-) GTACACTGGCA >hg38_chr3:174284221-174284231(-) TCACAATGACT >hg38_chr3:174286778-174286788(+) AAACAATGACA >hg38_chr3:174290243-174290253(-) ttacaatagct >hg38_chr3:174320290-174320300(+) taacaatacga >hg38_chr3:174330504-174330514(-) tgacaatgcaa >hg38_chr3:174335494-174335504(-) GAACAATAATT >hg38_chr3:174348949-174348959(+) GTACAATGACC >hg38_chr3:174358222-174358232(-) AAACAATGCAT >hg38_chr3:174383037-174383047(+) aaacaatggta >hg38_chr3:174389917-174389927(-) agacaatggga >hg38_chr3:174404011-174404021(-) GTACAATGGTG >hg38_chr3:174413220-174413230(+) GAACAATAGGT >hg38_chr3:174424130-174424140(-) GAACAATGGCA >hg38_chr3:174424972-174424982(-) tcacaatgaat >hg38_chr3:174463085-174463095(-) GTACAATGGTT >hg38_chr3:174480104-174480114(-) AAACAATAAAC >hg38_chr3:174513908-174513918(-) aaacaaaggca >hg38_chr3:174554037-174554047(-) CAACAATGTCA >hg38_chr3:174554056-174554066(+) ATACAATCGGA >hg38_chr3:174619322-174619332(-) CAACAATGAAT >hg38_chr3:174629494-174629504(+) ACACAATGTAT >hg38_chr3:174644416-174644426(-) aaacaatgagt >hg38_chr3:174645953-174645963(+) AGACAGTGGAT >hg38_chr3:174648030-174648040(+) ATACAATAGTC >hg38_chr3:174675652-174675662(+) GTATAATGAAA >hg38_chr3:174700923-174700933(+) ggataatggag >hg38_chr3:174700936-174700946(-) ctacaatgcta >hg38_chr3:174700975-174700985(-) tcacaatgctg >hg38_chr3:174731232-174731242(-) GCACAATGCTT >hg38_chr3:174742880-174742890(+) TAACAATGATG >hg38_chr3:174742911-174742921(+) ATACAATAGGA >hg38_chr3:174766970-174766980(-) ggacaataggt >hg38_chr3:174776834-174776844(-) AAACAATGCAG >hg38_chr3:174784472-174784482(+) ATACAATGTGC >hg38_chr3:174793536-174793546(-) TATCAATGGAC >hg38_chr3:174793953-174793963(+) TAACAATAGCT >hg38_chr3:174840960-174840970(-) TCATAATGGGC >hg38_chr3:174896842-174896852(+) acacaatagag >hg38_chr3:174896876-174896886(+) ccacaatgaac >hg38_chr3:174908351-174908361(+) GGACAAAGGAA >hg38_chr3:174917312-174917322(-) TCACAATGCAA >hg38_chr3:174934776-174934786(+) gcacaatgttg >hg38_chr3:174936033-174936043(-) TTACAATAACA >hg38_chr3:174942009-174942019(-) taacaatacta >hg38_chr3:174950407-174950417(-) TAACAATGAAA >hg38_chr3:174955559-174955569(+) GAACAATGCTT >hg38_chr3:174955613-174955623(+) AGACAAAGGAT >hg38_chr3:175006959-175006969(-) TTACAATGACA >hg38_chr3:175075292-175075302(-) ttacaataagt >hg38_chr3:175129932-175129942(+) caacaatagtg >hg38_chr3:175178910-175178920(-) TCACAATAGCC >hg38_chr3:175179359-175179369(-) TAACAAAGGTC >hg38_chr3:175179393-175179403(+) AAATAATGGCT >hg38_chr3:175186727-175186737(-) TCACAATGTGA >hg38_chr3:175208459-175208469(+) TAACAAAGGCT >hg38_chr3:175230554-175230564(+) ccacaatggga >hg38_chr3:175230576-175230586(-) ctacaatgagg >hg38_chr3:175237760-175237770(+) TAACAATGGCC >hg38_chr3:175247213-175247223(-) atacaatgttt >hg38_chr3:175255921-175255931(+) GCACAATGTTG >hg38_chr3:175297931-175297941(-) AGACAATGTAT >hg38_chr3:175298008-175298018(+) caacaatgctt >hg38_chr3:175304516-175304526(-) AAACAATGCCA >hg38_chr3:175338367-175338377(+) AGACAATGGGT >hg38_chr3:175389838-175389848(+) gaacaatgttt >hg38_chr3:175395589-175395599(-) TCACAATGATG >hg38_chr3:175400524-175400534(+) AAACAATAAAA >hg38_chr3:175412113-175412123(-) GAACAATGTTG >hg38_chr3:175418708-175418718(+) CAATAATGGTC >hg38_chr3:175421280-175421290(-) gaacaatgcta >hg38_chr3:175431440-175431450(-) GTACAATATAA >hg38_chr3:175433404-175433414(-) TGATAATGGAG >hg38_chr3:175452853-175452863(-) GGACAATAGGT >hg38_chr3:175492639-175492649(-) ACATAATGGGT >hg38_chr3:175512846-175512856(-) AAACAAAGGCA >hg38_chr3:175512908-175512918(-) AGACAATAGCA >hg38_chr3:175526737-175526747(-) GAACAATAGTG >hg38_chr3:175545967-175545977(-) GAACAAAGGTC >hg38_chr3:175585269-175585279(-) gtacaatgata >hg38_chr3:175586621-175586631(+) GGACAAAGGTG >hg38_chr3:175598968-175598978(-) aaacaatgtaa >hg38_chr3:175598995-175599005(+) caacaatgtga >hg38_chr3:175606087-175606097(+) GGACAAAGGGA >hg38_chr3:175607861-175607871(+) aaacaatgGGT >hg38_chr3:175654023-175654033(-) gaacaataaaa >hg38_chr3:175660307-175660317(+) AGACAATGCAC >hg38_chr3:175682581-175682591(+) agacaatggca >hg38_chr3:175724409-175724419(+) GAACAATAGCA >hg38_chr3:175747200-175747210(-) GAACAATGTGC >hg38_chr3:175748104-175748114(-) AAACAATGGAT >hg38_chr3:175764403-175764413(-) acacaaaggca >hg38_chr3:175764419-175764429(-) ACACAATGTCA >hg38_chr3:175776369-175776379(-) GAACAATAAGA >hg38_chr3:175813667-175813677(-) TCACAAAGGTA >hg38_chr3:175814133-175814143(-) AAACAATGTAA >hg38_chr3:175844703-175844713(-) GAACAAAGGTG >hg38_chr3:175850457-175850467(-) ggacaatgcac >hg38_chr3:175850487-175850497(-) gaacaatggag >hg38_chr3:175866065-175866075(+) GAACAATGTGG >hg38_chr3:175889609-175889619(-) AAACAATGACT >hg38_chr3:175900994-175901004(-) GGATAATGGTC >hg38_chr3:175901064-175901074(+) TTACAAAGGTT >hg38_chr3:175930556-175930566(-) TGATAATGGTG >hg38_chr3:175941074-175941084(+) taacaaaggtc >hg38_chr3:175941100-175941110(-) tgataatggca >hg38_chr3:175971902-175971912(+) ttacaatggag >hg38_chr3:176006583-176006593(+) aaacaaTGAGT >hg38_chr3:176075911-176075921(+) gcacaatagaa >hg38_chr3:176076455-176076465(+) TTACAATAGAA >hg38_chr3:176092171-176092181(+) GCACAATGCTA >hg38_chr3:176105109-176105119(+) AAACAATGGTG >hg38_chr3:176113818-176113828(-) CAACAATAGTT >hg38_chr3:176147426-176147436(-) AAACAATGAGA >hg38_chr3:176162015-176162025(+) aaacaatgagc >hg38_chr3:176172303-176172313(+) GGACAATGAGT >hg38_chr3:176172617-176172627(-) ggacaatgggt >hg38_chr3:176207570-176207580(-) AAACAATAAAA >hg38_chr3:176207585-176207595(-) AAACAAAGGTG >hg38_chr3:176211377-176211387(+) taacaatagAA >hg38_chr3:176211398-176211408(+) TAACAATAGCA >hg38_chr3:176220133-176220143(+) GAACAAAGGGA >hg38_chr3:176243821-176243831(+) tgacaATGGAT >hg38_chr3:176255000-176255010(-) aaacaatgaat >hg38_chr3:176255032-176255042(-) agacaataacg >hg38_chr3:176274615-176274625(-) GAACAATGACC >hg38_chr3:176275199-176275209(+) gaacaataatt >hg38_chr3:176276409-176276419(+) CAACAATAGTA >hg38_chr3:176313630-176313640(-) CAACAATGGTG >hg38_chr3:176329168-176329178(+) GAACAATGTAG >hg38_chr3:176330660-176330670(-) ggataatggga >hg38_chr3:176379010-176379020(+) AGATAATGGCA >hg38_chr3:176407903-176407913(+) acacaaaggga >hg38_chr3:176434491-176434501(+) TGACAATGAGA >hg38_chr3:176475787-176475797(-) agacaataaca >hg38_chr3:176487914-176487924(-) gtacaatagct >hg38_chr3:176506159-176506169(+) caacaatgaac >hg38_chr3:176550127-176550137(-) acacaaaggac >hg38_chr3:176565634-176565644(-) agacaatagtg >hg38_chr3:176567308-176567318(-) TTATAATGGTA >hg38_chr3:176575415-176575425(-) TCACAATGAGT >hg38_chr3:176578006-176578016(-) GAACAATGGGT >hg38_chr3:176590854-176590864(+) TCATAATGGAA >hg38_chr3:176590888-176590898(+) GAAcaatgaag >hg38_chr3:176595447-176595457(+) GCACAATGGTG >hg38_chr3:176611893-176611903(+) atacactggat >hg38_chr3:176626397-176626407(-) gcacaatgcct >hg38_chr3:176663669-176663679(-) CCACAATAGAA >hg38_chr3:176700971-176700981(-) ttactatggct >hg38_chr3:176700985-176700995(+) aaacaatgtgg >hg38_chr3:176743150-176743160(-) tgacaaaggac >hg38_chr3:176746808-176746818(+) TAACAATAGGC >hg38_chr3:176777035-176777045(+) GAACAATGCCT >hg38_chr3:176780918-176780928(-) AAACAAAGGAA >hg38_chr3:176796131-176796141(-) AAACAATAGAT >hg38_chr3:176796139-176796149(-) TTACAATGAAA >hg38_chr3:176853368-176853378(+) gaacaataatt >hg38_chr3:176917696-176917706(-) aaacaaaggga >hg38_chr3:176920520-176920530(-) ggacaaaggga >hg38_chr3:176928154-176928164(-) GAACAGTGGGT >hg38_chr3:176930677-176930687(-) TGACTATGGTA >hg38_chr3:176933615-176933625(+) TCACAATAGCC >hg38_chr3:176933623-176933633(-) GGACAAAGGGC >hg38_chr3:176939134-176939144(-) TTACAATGAGA >hg38_chr3:176946899-176946909(+) cgacaatagct >hg38_chr3:176978384-176978394(-) AAACAATGTTC >hg38_chr3:176994348-176994358(-) AAACAATGAAT >hg38_chr3:176995131-176995141(+) TGACAATGACA >hg38_chr3:177020820-177020830(+) ATACAATGCAA >hg38_chr3:177026751-177026761(+) CAACAATAGCT >hg38_chr3:177028434-177028444(+) TCACAATGGAC >hg38_chr3:177058816-177058826(-) GGACAATGGTC >hg38_chr3:177059208-177059218(+) ATACACTGGTA >hg38_chr3:177065747-177065757(+) aaacaataaat >hg38_chr3:177109476-177109486(+) GAACTATGGga >hg38_chr3:177110381-177110391(+) TTACAATGTAT >hg38_chr3:177110625-177110635(-) TTACAATAGAA >hg38_chr3:177111122-177111132(-) agacaatagct >hg38_chr3:177155014-177155024(+) aaacaaaggct >hg38_chr3:177155036-177155046(+) tcacaatgaaa >hg38_chr3:177165690-177165700(+) atacaatggag >hg38_chr3:177170059-177170069(-) GAACAGTGGAA >hg38_chr3:177170067-177170077(-) GAACAGTGGAA >hg38_chr3:177179774-177179784(+) GAACAAAGGAG >hg38_chr3:177187693-177187703(+) TTACAATGTCC >hg38_chr3:177191037-177191047(+) GGACAAAGGGA >hg38_chr3:177195406-177195416(+) AGACAAAGGTG >hg38_chr3:177199191-177199201(+) AAACAATGTCA >hg38_chr3:177333115-177333125(+) TAACAATGAGG >hg38_chr3:177337631-177337641(+) TGACAATAGAT >hg38_chr3:177358851-177358861(+) AAACAATGGGT >hg38_chr3:177360431-177360441(+) TCACAAAGGAA >hg38_chr3:177431579-177431589(-) ATACAATGCCA >hg38_chr3:177431703-177431713(-) TCACAATGGAG >hg38_chr3:177431776-177431786(-) TTACAATGCAA >hg38_chr3:177432048-177432058(-) AAACAATAGAA >hg38_chr3:177441452-177441462(+) TGATAATGGAG >hg38_chr3:177449636-177449646(+) GAACAAAGGCA >hg38_chr3:177452225-177452235(+) AAACAATGATG >hg38_chr3:177458660-177458670(+) ggacaaaggtt >hg38_chr3:177458696-177458706(-) tgacactggta >hg38_chr3:177460159-177460169(-) ACACAATGGGG >hg38_chr3:177472234-177472244(+) ACACAAAGGAA >hg38_chr3:177472250-177472260(+) TGACAAAGGAA >hg38_chr3:177473384-177473394(-) CCACAATGGGA >hg38_chr3:177484349-177484359(+) tgacaatgtaa >hg38_chr3:177513279-177513289(-) AAACAATGTCA >hg38_chr3:177526107-177526117(+) TTACAAAGGAC >hg38_chr3:177529271-177529281(+) gcacaatgatt >hg38_chr3:177537106-177537116(-) TGACAATAGAA >hg38_chr3:177538066-177538076(+) ggacaatgcag >hg38_chr3:177555807-177555817(-) TGACAATGCAG >hg38_chr3:177590096-177590106(-) ACACAATAGCT >hg38_chr3:177601421-177601431(+) GGACAATAGCA >hg38_chr3:177603052-177603062(+) AAACAATGAGA >hg38_chr3:177619539-177619549(-) TAACAAAGGAA >hg38_chr3:177658279-177658289(-) TGACAATGCAT >hg38_chr3:177681224-177681234(-) GGACAATGTAC >hg38_chr3:177690036-177690046(+) TCACGATGGAA >hg38_chr3:177690044-177690054(+) GAACAAAGGGA >hg38_chr3:177735635-177735645(-) gaataatggcc >hg38_chr3:177740457-177740467(+) TGACAATGCAA >hg38_chr3:177751794-177751804(+) ggacaatggca >hg38_chr3:177758233-177758243(-) agacaatgagg >hg38_chr3:177770659-177770669(-) AAACAATGTGC >hg38_chr3:177770725-177770735(-) ACACTATGGTT >hg38_chr3:177772721-177772731(-) ACACAATGCCA >hg38_chr3:177783758-177783768(+) GAACAATGAGG >hg38_chr3:177783778-177783788(+) GTACAGTGGAA >hg38_chr3:177810621-177810631(-) CAACAATGATC >hg38_chr3:177824348-177824358(-) GAACAATAGAA >hg38_chr3:177827738-177827748(+) GCACAATGCCT >hg38_chr3:177845422-177845432(+) aaacaatgagc >hg38_chr3:177875934-177875944(+) ACATAATGGCA >hg38_chr3:177928514-177928524(+) AAACAATAGAC >hg38_chr3:177936193-177936203(+) TGATAATGGCA >hg38_chr3:177936257-177936267(-) TTACAGTGGAA >hg38_chr3:177953634-177953644(+) agacaatagca >hg38_chr3:177954298-177954308(+) aaataatggat >hg38_chr3:177982165-177982175(-) AAACAATGTTC >hg38_chr3:178045427-178045437(+) caacaatgcct >hg38_chr3:178057482-178057492(+) GTACAATAGAC >hg38_chr3:178060015-178060025(+) GTACAATGCAT >hg38_chr3:178060466-178060476(-) GTACAAAGGCA >hg38_chr3:178060912-178060922(+) GAACAATGGAG >hg38_chr3:178101300-178101310(+) ATACAATGCAT >hg38_chr3:178102415-178102425(-) AGACAATGCAT >hg38_chr3:178165576-178165586(+) aaacaatagac >hg38_chr3:178170634-178170644(-) AGACAATATAT >hg38_chr3:178174541-178174551(+) TAACAATAAAT >hg38_chr3:178182194-178182204(-) GTACAATGAAA >hg38_chr3:178190275-178190285(+) atacaaagata >hg38_chr3:178195197-178195207(+) TCACAATGAAG >hg38_chr3:178195255-178195265(+) GAACAATGAGG >hg38_chr3:178202375-178202385(-) ATACAATAAAG >hg38_chr3:178237527-178237537(-) acacaatgaga >hg38_chr3:178244900-178244910(-) GAACAGTGGGA >hg38_chr3:178245266-178245276(+) TAACAATGGCC >hg38_chr3:178268372-178268382(+) TCACAATGGTG >hg38_chr3:178307107-178307117(-) acataatgacg >hg38_chr3:178323463-178323473(+) AAACAATGTAA >hg38_chr3:178323517-178323527(-) TAACAATGTTG >hg38_chr3:178336351-178336361(-) atacaatagag >hg38_chr3:178336361-178336371(-) aaacaatataa >hg38_chr3:178355314-178355324(+) AGACAAAGGGA >hg38_chr3:178392582-178392592(+) AGACAATGTAC >hg38_chr3:178420161-178420171(+) ATACAATGATT >hg38_chr3:178440722-178440732(-) agacaatgaac >hg38_chr3:178492196-178492206(-) atataatgaat >hg38_chr3:178505979-178505989(+) CAACAATGAAA >hg38_chr3:178506047-178506057(+) TAACAATGGCT >hg38_chr3:178532617-178532627(-) GAACAATGAAT >hg38_chr3:178536505-178536515(-) GGACAATAGGA >hg38_chr3:178549742-178549752(-) AGACAATGAGT >hg38_chr3:178549805-178549815(-) TAACAATGCAC >hg38_chr3:178601811-178601821(+) TAACAGTGGCA >hg38_chr3:178601851-178601861(+) GAACAAAGGCA >hg38_chr3:178601872-178601882(-) GCATAATGGCT >hg38_chr3:178661986-178661996(+) agacaatagat >hg38_chr3:178719236-178719246(-) GAACAATGCCA >hg38_chr3:178778060-178778070(+) ACACAATGACT >hg38_chr3:178805121-178805131(-) GGATAATGGCA >hg38_chr3:178811657-178811667(+) caacaatgatg >hg38_chr3:178831033-178831043(-) aaacaatagta >hg38_chr3:178832262-178832272(-) agacaatggaa >hg38_chr3:178832279-178832289(-) taacaatgctc >hg38_chr3:178844080-178844090(-) GAACAAAGGCT >hg38_chr3:178846666-178846676(-) ATACAATGCTT >hg38_chr3:178900744-178900754(-) GAACAATGTAG >hg38_chr3:178908065-178908075(-) CTACAAAGGGA >hg38_chr3:178921960-178921970(-) taacaaaggtt >hg38_chr3:178922642-178922652(-) AGACAATGGAG >hg38_chr3:178962923-178962933(-) CCACAATAGCC >hg38_chr3:179006331-179006341(+) acacaatgaag >hg38_chr3:179007823-179007833(+) atacaataata >hg38_chr3:179018764-179018774(-) GTACAATGAGT >hg38_chr3:179020407-179020417(+) TCACAATGGTA >hg38_chr3:179060987-179060997(+) gcacaatgcaa >hg38_chr3:179062187-179062197(+) tgacaatggaa >hg38_chr3:179062235-179062245(+) gtacagtgggc >hg38_chr3:179105274-179105284(+) AAACAATGAGA >hg38_chr3:179145107-179145117(+) caacaatagca >hg38_chr3:179148039-179148049(-) TAACAATATGT >hg38_chr3:179151909-179151919(-) ttacaatgcag >hg38_chr3:179168329-179168339(-) aaacaatgcag >hg38_chr3:179171914-179171924(+) acacaaaggca >hg38_chr3:179181414-179181424(-) TCACAATAGTA >hg38_chr3:179186543-179186553(+) GAACAATGTGT >hg38_chr3:179208464-179208474(-) taataatagta >hg38_chr3:179297270-179297280(-) ATACAATGGCT >hg38_chr3:179297319-179297329(+) TGacaatgggc >hg38_chr3:179391857-179391867(-) AGACAGTGGAA >hg38_chr3:179391876-179391886(-) AAACAATGAAG >hg38_chr3:179404530-179404540(+) aaacaaaGGGG >hg38_chr3:179421703-179421713(+) aaacactggat >hg38_chr3:179435745-179435755(-) CAACAATGCTT >hg38_chr3:179450765-179450775(-) GTACAATAAAA >hg38_chr3:179546804-179546814(+) gtacaatagag >hg38_chr3:179596581-179596591(-) CTATAATGGTG >hg38_chr3:179599407-179599417(+) agacaatgtgt >hg38_chr3:179604738-179604748(-) GGACAAAGGCA >hg38_chr3:179615672-179615682(-) ACACAACGGAA >hg38_chr3:179663318-179663328(-) ttacaaaggaa >hg38_chr3:179663341-179663351(-) acacaatggga >hg38_chr3:179701593-179701603(+) AAACAATAGCG >hg38_chr3:179702915-179702925(-) TCACAATGTTT >hg38_chr3:179712042-179712052(-) ttataatggag >hg38_chr3:179712080-179712090(-) caacaatggac >hg38_chr3:179748897-179748907(+) taataatggta >hg38_chr3:179777374-179777384(-) AAACAATGGCT >hg38_chr3:179799621-179799631(-) GAACAAAGGAT >hg38_chr3:179799644-179799654(-) GGACAAAGGAT >hg38_chr3:179811606-179811616(-) TAACAATGTCT >hg38_chr3:179811811-179811821(+) CGACAATAGCT >hg38_chr3:179812006-179812016(-) ATACAATATTT >hg38_chr3:179881130-179881140(+) CAACAATGACT >hg38_chr3:179882419-179882429(-) gaacaaaggga >hg38_chr3:179883086-179883096(+) GAACAAAGGAT >hg38_chr3:179883106-179883116(+) TTACAATGAAC >hg38_chr3:179892315-179892325(-) agacaatgtgc >hg38_chr3:179899959-179899969(+) GCACAATGATT >hg38_chr3:179901497-179901507(+) CAACAATGGAA >hg38_chr3:179921658-179921668(+) GTACAATGGTA >hg38_chr3:179921696-179921706(+) TGACAATGAGA >hg38_chr3:179943764-179943774(+) AGACAAAGGTC >hg38_chr3:179943802-179943812(+) ACACAATGCTT >hg38_chr3:179985385-179985395(+) GAACAATAACC >hg38_chr3:180036213-180036223(-) AAACAATAATA >hg38_chr3:180036264-180036274(+) TTACAACGGAA >hg38_chr3:180050760-180050770(-) caacaatgttc >hg38_chr3:180136543-180136553(+) aaacaatgcgt >hg38_chr3:180146872-180146882(-) GCACAATGTGG >hg38_chr3:180152311-180152321(+) GGACAAAGGGC >hg38_chr3:180277174-180277184(+) tgacaatgcca >hg38_chr3:180294384-180294394(+) ggacaatgaaa >hg38_chr3:180380497-180380507(+) TCACAATGCAA >hg38_chr3:180391151-180391161(+) taacaatagag >hg38_chr3:180408354-180408364(+) AAACAATGCTA >hg38_chr3:180579594-180579604(-) TGACAATGCGA >hg38_chr3:180592424-180592434(+) ATACAATAGGA >hg38_chr3:180617189-180617199(-) ttacaatgtcg >hg38_chr3:180646630-180646640(+) AGACAAAGGGC >hg38_chr3:180646876-180646886(+) atacaatattt >hg38_chr3:180661682-180661692(-) AAACAATAATA >hg38_chr3:180662170-180662180(+) TTACAATATAG >hg38_chr3:180662568-180662578(-) CAACAATGGTA >hg38_chr3:180680910-180680920(-) AAACAATGTAG >hg38_chr3:180708089-180708099(+) TGACAATGAAC >hg38_chr3:180708104-180708114(-) TGATAATGGAT >hg38_chr3:180747901-180747911(+) GGACAATGACT >hg38_chr3:180804922-180804932(-) AGACAATGTAA >hg38_chr3:180806966-180806976(+) tcacaatgtag >hg38_chr3:180809470-180809480(+) GGATAATGGAT >hg38_chr3:180910841-180910851(+) tcacaatgaga >hg38_chr3:180910851-180910861(+) atacaatatag >hg38_chr3:180912431-180912441(-) ACACAATCGCC >hg38_chr3:180920973-180920983(-) GGACAATGAGG >hg38_chr3:180938162-180938172(+) AAACAATGCCA >hg38_chr3:180957789-180957799(-) ATACAATGGTA >hg38_chr3:180962754-180962764(+) ACACAATGCTC >hg38_chr3:180977715-180977725(-) TAACAAAGGGC >hg38_chr3:181013122-181013132(-) aaacaatggta >hg38_chr3:181252331-181252341(+) GGACAATAGCT >hg38_chr3:181252913-181252923(+) GCACAATGAAA >hg38_chr3:181330955-181330965(+) gcacaatgacc >hg38_chr3:181385711-181385721(-) GAACAATGGTG >hg38_chr3:181406684-181406694(+) acacaatgaca >hg38_chr3:181419776-181419786(-) GGACAATCGCT >hg38_chr3:181454861-181454871(+) TGACAATAGTT >hg38_chr3:181455999-181456009(-) CTACAATGACC >hg38_chr3:181464450-181464460(+) TGACAATGACA >hg38_chr3:181534194-181534204(+) GGACAAAGGTG >hg38_chr3:181609454-181609464(-) caacaatgaaa >hg38_chr3:181610305-181610315(-) AGACAATACGG >hg38_chr3:181610349-181610359(+) CAACAATGGGT >hg38_chr3:181641690-181641700(-) CAACAATAGTG >hg38_chr3:181681829-181681839(-) acacaatggaa >hg38_chr3:181690995-181691005(+) TGACAAAGGTA >hg38_chr3:181708947-181708957(-) AGACAATAAAC >hg38_chr3:181710546-181710556(+) GGACAATGGGA >hg38_chr3:181710797-181710807(+) CCACAATGGAA >hg38_chr3:181711450-181711460(+) GAACAATGACA >hg38_chr3:181714972-181714982(-) AGACAATAGCA >hg38_chr3:181716135-181716145(-) TTACAATGGCT >hg38_chr3:181722362-181722372(+) CCACAATAGAC >hg38_chr3:181724647-181724657(+) GAACAATGAAG >hg38_chr3:181725493-181725503(+) GAACAATCGCT >hg38_chr3:181725966-181725976(+) GGACAATGCGT >hg38_chr3:181728931-181728941(-) GAACAAAGGGG >hg38_chr3:181729728-181729738(+) CCACAATGACT >hg38_chr3:181737048-181737058(+) TCACAATAGTC >hg38_chr3:181741158-181741168(-) AAACAATGCAA >hg38_chr3:181741183-181741193(-) GAACAATGCAT >hg38_chr3:181741192-181741202(-) CAACAATGTGA >hg38_chr3:181745235-181745245(+) GAACAATGAAA >hg38_chr3:181756905-181756915(-) TTACAAAGGCC >hg38_chr3:181758126-181758136(+) GGACAATAGCC >hg38_chr3:181774036-181774046(+) aaacaatggtc >hg38_chr3:181782456-181782466(+) tcacaatgatt >hg38_chr3:181797613-181797623(-) TTACAATGTAC >hg38_chr3:181797667-181797677(-) TGACAAAGGAA >hg38_chr3:181798046-181798056(-) GCACAATGCGT >hg38_chr3:181860452-181860462(-) TGACAATGACA >hg38_chr3:181860508-181860518(+) GTACAATGTTT >hg38_chr3:181913368-181913378(+) taacaatgaac >hg38_chr3:181940956-181940966(-) TTACAATAGAA >hg38_chr3:181941489-181941499(+) ATACAATGGGG >hg38_chr3:181943468-181943478(+) GAACAATGAGG >hg38_chr3:181966618-181966628(-) CTACAATGGGA >hg38_chr3:181974441-181974451(+) AGATAATGGCC >hg38_chr3:182083346-182083356(+) CCACAATGTCC >hg38_chr3:182121148-182121158(+) TAACAATAGTC >hg38_chr3:182121675-182121685(-) acacaatgaac >hg38_chr3:182123148-182123158(-) caataatggaa >hg38_chr3:182138255-182138265(-) AGACAATGGGT >hg38_chr3:182140202-182140212(+) GAACAAAGGCC >hg38_chr3:182182523-182182533(+) GCACAATGGGA >hg38_chr3:182183985-182183995(-) TCACAATGCAC >hg38_chr3:182193314-182193324(-) CCACAATAGAA >hg38_chr3:182193374-182193384(-) AAACAAAGGGA >hg38_chr3:182258721-182258731(-) GGACAAAGGAC >hg38_chr3:182277682-182277692(-) gtacaatggaa >hg38_chr3:182277999-182278009(+) aaacaatgaca >hg38_chr3:182309581-182309591(+) GGACAATGATA >hg38_chr3:182322810-182322820(+) gaacagtggtc >hg38_chr3:182323229-182323239(-) GAACAAAGGGT >hg38_chr3:182324306-182324316(+) ggacaataata >hg38_chr3:182400502-182400512(+) TAACAATGACC >hg38_chr3:182490685-182490695(-) ATATAATGGGC >hg38_chr3:182525370-182525380(-) ACACAATGGGG >hg38_chr3:182561603-182561613(-) GAACAATGGGT >hg38_chr3:182566291-182566301(+) ttAcaatgctt >hg38_chr3:182566605-182566615(+) GCATAATGGGA >hg38_chr3:182592566-182592576(+) AGACAAAGGCA >hg38_chr3:182621823-182621833(-) GCACAATGTTG >hg38_chr3:182643678-182643688(-) GGACAATGGAG >hg38_chr3:182644836-182644846(-) atacaatggtg >hg38_chr3:182650616-182650626(+) gaacaatggcc >hg38_chr3:182660505-182660515(+) GAACTATGGTG >hg38_chr3:182716681-182716691(-) taataatggca >hg38_chr3:182716698-182716708(+) gcacaataggc >hg38_chr3:182739086-182739096(+) GGACAATGTTA >hg38_chr3:182754139-182754149(+) agacaatgttt >hg38_chr3:182824363-182824373(-) caacaatggct >hg38_chr3:182855290-182855300(+) atacaatagag >hg38_chr3:182903063-182903073(+) GAACAATGTGT >hg38_chr3:182928904-182928914(+) tgacaatgaac >hg38_chr3:183072777-183072787(+) tcacaatgttg >hg38_chr3:183099677-183099687(-) AAACAAAGGCC >hg38_chr3:183122481-183122491(+) GAACAATAGGA >hg38_chr3:183122856-183122866(-) GAACAATGACA >hg38_chr3:183123973-183123983(-) AAACAATGTAA >hg38_chr3:183133766-183133776(+) ACACAATGTTT >hg38_chr3:183148986-183148996(+) acacaatagag >hg38_chr3:183160838-183160848(+) agacaatagca >hg38_chr3:183169928-183169938(-) caacaatgaga >hg38_chr3:183204050-183204060(+) ggacaatggat >hg38_chr3:183254426-183254436(-) GAACAAAGGCG >hg38_chr3:183264411-183264421(-) CAACAATGGGC >hg38_chr3:183265289-183265299(+) ACACAAAGGAA >hg38_chr3:183266184-183266194(+) GCACAATGGGT >hg38_chr3:183278726-183278736(-) ATACAATAGAT >hg38_chr3:183278787-183278797(+) TAACAATGATT >hg38_chr3:183319077-183319087(+) tcacaatagaa >hg38_chr3:183421897-183421907(+) agacaatgttg >hg38_chr3:183431303-183431313(-) AGACAATGACC >hg38_chr3:183431312-183431322(-) GAACAATGGAG >hg38_chr3:183435177-183435187(+) aaacaatgctg >hg38_chr3:183442790-183442800(-) caacaatgtgc >hg38_chr3:183471218-183471228(-) GGACAATAGCT >hg38_chr3:183479759-183479769(+) aaacaatgcaa >hg38_chr3:183516075-183516085(-) TTACAAAGGCA >hg38_chr3:183533607-183533617(+) AAACAAAGGTA >hg38_chr3:183540007-183540017(+) ATACaatggac >hg38_chr3:183560531-183560541(+) acacaaaggta >hg38_chr3:183560552-183560562(+) TAACAATAATA >hg38_chr3:183563551-183563561(+) gaacaatggct >hg38_chr3:183576591-183576601(+) gaacaaaggga >hg38_chr3:183577602-183577612(-) Taacaatggcc >hg38_chr3:183599171-183599181(-) GAACAATATAC >hg38_chr3:183636414-183636424(-) AAACAAAGGCT >hg38_chr3:183698048-183698058(-) AAACAATGCCC >hg38_chr3:183735166-183735176(-) TCACAATGACT >hg38_chr3:183736130-183736140(-) GCACAATGTAA >hg38_chr3:183737454-183737464(+) GGACAATGCAT >hg38_chr3:183737735-183737745(-) TTACAATGGCT >hg38_chr3:183822569-183822579(-) tgataatggtg >hg38_chr3:183831640-183831650(+) GTACAATGTGA >hg38_chr3:183833571-183833581(+) CGATAATGGCT >hg38_chr3:183850923-183850933(+) agacaatgtag >hg38_chr3:183861375-183861385(-) caacaatgaca >hg38_chr3:183915835-183915845(-) GAACAATAAAG >hg38_chr3:183925150-183925160(-) ACACAATGATT >hg38_chr3:184012953-184012963(-) AGATAATGGAC >hg38_chr3:184071788-184071798(+) gaacaaaggtg >hg38_chr3:184072144-184072154(+) ggataatggtg >hg38_chr3:184132767-184132777(-) ggacaatgtgt >hg38_chr3:184134622-184134632(-) TAACAATGACT >hg38_chr3:184157085-184157095(+) gtacagtgggc >hg38_chr3:184299022-184299032(+) CGACTATGGCG >hg38_chr3:184362843-184362853(+) GGACAATGCAG >hg38_chr3:184394093-184394103(-) TAACAATGTAT >hg38_chr3:184394172-184394182(-) AAACAATGTTG >hg38_chr3:184449086-184449096(+) aaacaatgctg >hg38_chr3:184538465-184538475(-) CTACAATAGAC >hg38_chr3:184563241-184563251(+) AAACAATAGGG >hg38_chr3:184564521-184564531(-) taacaatgcct >hg38_chr3:184564551-184564561(-) TAACAATaata >hg38_chr3:184567121-184567131(-) GCACAATGGCA >hg38_chr3:184570681-184570691(-) GAACAAAGGGC >hg38_chr3:184575022-184575032(-) GGATAATGGGC >hg38_chr3:184582360-184582370(+) CTACAATGGGG >hg38_chr3:184582778-184582788(+) GGACAAAGGGA >hg38_chr3:184602828-184602838(-) AGACAAAGGTG >hg38_chr3:184613898-184613908(+) CGACAATAGGG >hg38_chr3:184616671-184616681(+) CTATAATGGAC >hg38_chr3:184685690-184685700(+) acacaataGCT >hg38_chr3:184714273-184714283(-) gcacaatgAAA >hg38_chr3:184714296-184714306(+) gtacaatataa >hg38_chr3:184717606-184717616(-) AGACAATGTCA >hg38_chr3:184738383-184738393(+) GAACAATGAAA >hg38_chr3:184808305-184808315(-) TTACAATGGAG >hg38_chr3:184838380-184838390(-) GTACTATGGGG >hg38_chr3:184839123-184839133(-) TGACAATGGAA >hg38_chr3:184850887-184850897(+) TAACAATAAAA >hg38_chr3:184851264-184851274(-) ACACAATGGCT >hg38_chr3:184851279-184851289(+) TGACAATGGTG >hg38_chr3:184851313-184851323(+) TAACACTGGAG >hg38_chr3:184862711-184862721(-) GTACAATATAC >hg38_chr3:184883253-184883263(-) ggacaatagag >hg38_chr3:184889603-184889613(-) AGACAATAAGA >hg38_chr3:184900222-184900232(+) tgacaatatac >hg38_chr3:184958229-184958239(-) ggacaatgtac >hg38_chr3:184975980-184975990(-) ggacaaaggca >hg38_chr3:185038330-185038340(-) aaacaatagag >hg38_chr3:185089941-185089951(+) TCACAATGCCT >hg38_chr3:185091254-185091264(-) CTACAATACCG >hg38_chr3:185111928-185111938(+) gaacaatgcat >hg38_chr3:185114598-185114608(+) AGACAGTGGAT >hg38_chr3:185207888-185207898(+) aaacaatgtaa >hg38_chr3:185251463-185251473(-) GAACAATGGCT >hg38_chr3:185271157-185271167(-) atataatgcga >hg38_chr3:185280893-185280903(+) GGACAATGACG >hg38_chr3:185304391-185304401(-) taataatggat >hg38_chr3:185308314-185308324(-) GTACCATGGAA >hg38_chr3:185330841-185330851(-) gaacaatggca >hg38_chr3:185356313-185356323(-) acacaATGTCA >hg38_chr3:185363816-185363826(-) AAACAAAGGCA >hg38_chr3:185371459-185371469(+) AAACAATGTAG >hg38_chr3:185439870-185439880(+) AAACAATAGTG >hg38_chr3:185439915-185439925(-) GCACAATGCCC >hg38_chr3:185457962-185457972(+) aaacaatgaag >hg38_chr3:185545459-185545469(-) ggacaatatat >hg38_chr3:185605747-185605757(-) caacaatgcaa >hg38_chr3:185614670-185614680(+) AGACAAAGGAA >hg38_chr3:185678223-185678233(+) atacaaaggag >hg38_chr3:185678610-185678620(-) caacaatggca >hg38_chr3:185678862-185678872(-) atacaatgtta >hg38_chr3:185679147-185679157(-) aaacaatgaaa >hg38_chr3:185687432-185687442(+) GGACAAAGGCA >hg38_chr3:185712439-185712449(-) TAACAATAAAA >hg38_chr3:185712458-185712468(-) GAATAATGGCA >hg38_chr3:185712471-185712481(+) ACACAATagag >hg38_chr3:185755071-185755081(+) agacaatgggg >hg38_chr3:185764874-185764884(+) AGACAATGGGG >hg38_chr3:185807771-185807781(-) tgacaatagtc >hg38_chr3:185814369-185814379(-) TAACAATGCAT >hg38_chr3:185816830-185816840(-) AAACAATGGTA >hg38_chr3:185819883-185819893(+) ACACAATGTAG >hg38_chr3:185820585-185820595(+) AAATAATGGCA >hg38_chr3:185887401-185887411(-) aaacaatgctc >hg38_chr3:185892231-185892241(-) caacaatagag >hg38_chr3:185917002-185917012(-) GGATAATGGTA >hg38_chr3:185919832-185919842(+) GAACAATACAC >hg38_chr3:185919859-185919869(+) AGACAATGACT >hg38_chr3:185932264-185932274(+) GTACAATGACA >hg38_chr3:185978434-185978444(-) aaacaatgatt >hg38_chr3:185988820-185988830(+) agacaatggct >hg38_chr3:186003064-186003074(-) GTACAATGCCA >hg38_chr3:186003103-186003113(-) AGACAATATAC >hg38_chr3:186003268-186003278(-) caacaataggc >hg38_chr3:186045097-186045107(-) AAACAATGCGT >hg38_chr3:186080190-186080200(-) CAACAATGGGC >hg38_chr3:186097872-186097882(+) GCACAATGGGG >hg38_chr3:186109382-186109392(+) ATATAATGAAT >hg38_chr3:186156576-186156586(-) AAACAATGCAA >hg38_chr3:186157298-186157308(-) gaacaaaggaa >hg38_chr3:186157344-186157354(-) gaacaatgtat >hg38_chr3:186200905-186200915(+) GCACAATGGTG >hg38_chr3:186260051-186260061(+) TGACAATGATA >hg38_chr3:186286379-186286389(-) gcACAATAGGA >hg38_chr3:186286392-186286402(+) gaacaatagaa >hg38_chr3:186346318-186346328(-) agacaataaga >hg38_chr3:186368035-186368045(-) ggacaacgggt >hg38_chr3:186381932-186381942(+) GGACAATAGCA >hg38_chr3:186420431-186420441(-) acacaaaggta >hg38_chr3:186426985-186426995(+) GCACAAAGGAC >hg38_chr3:186433546-186433556(+) ttactatggca >hg38_chr3:186433559-186433569(-) caacaatgtaa >hg38_chr3:186434140-186434150(+) tcacaatgcta >hg38_chr3:186476073-186476083(-) aaacaatgaca >hg38_chr3:186502476-186502486(+) AAACAAAGGGA >hg38_chr3:186532669-186532679(-) GAACAATGGTG >hg38_chr3:186541777-186541787(-) GAACAATAGTT >hg38_chr3:186615094-186615104(+) AGACAAAGGAA >hg38_chr3:186615501-186615511(-) GGACAATGACC >hg38_chr3:186639809-186639819(+) ATACAATGTGA >hg38_chr3:186644860-186644870(+) CAACAATGAGA >hg38_chr3:186722738-186722748(-) GTACAATGCAG >hg38_chr3:186746176-186746186(-) AGACAATGTGA >hg38_chr3:186784150-186784160(-) CAACAATGCGC >hg38_chr3:186816546-186816556(-) tcacaatagtg >hg38_chr3:186824099-186824109(-) ggacaaaggga >hg38_chr3:186838017-186838027(-) ctataatggta >hg38_chr3:186840078-186840088(+) TCACAATGTCA >hg38_chr3:186882980-186882990(+) ggacaaaggga >hg38_chr3:186931315-186931325(-) AGACAATGGCC >hg38_chr3:186957849-186957859(+) gaataatggca >hg38_chr3:186958182-186958192(+) taataatggac >hg38_chr3:187105499-187105509(+) acacagtggaa >hg38_chr3:187114759-187114769(+) aaacaatgtaa >hg38_chr3:187161051-187161061(-) CAACAATGAAC >hg38_chr3:187197472-187197482(+) GGACAAAGGAG >hg38_chr3:187208238-187208248(-) tcacaataggc >hg38_chr3:187280051-187280061(+) AGACAatgggg >hg38_chr3:187287774-187287784(+) TAACAATGATA >hg38_chr3:187305409-187305419(+) gaacaatgtaa >hg38_chr3:187360236-187360246(+) CAACAATGAAA >hg38_chr3:187360714-187360724(-) TTACAATGCAA >hg38_chr3:187362013-187362023(-) TGACAATGAGG >hg38_chr3:187397818-187397828(+) atacaatgtgt >hg38_chr3:187398037-187398047(+) tgacaatgctt >hg38_chr3:187450931-187450941(-) AGACAATGTCC >hg38_chr3:187450940-187450950(-) GGACAAAGGAG >hg38_chr3:187486277-187486287(-) gaataatggtc >hg38_chr3:187504398-187504408(-) GGACAACGGGC >hg38_chr3:187548971-187548981(+) AGACAATAGAC >hg38_chr3:187548981-187548991(+) CAACAATGGTT >hg38_chr3:187549823-187549833(-) GGACAATAGGC >hg38_chr3:187550809-187550819(-) TCACAATGAGA >hg38_chr3:187565258-187565268(+) gcataatggtt >hg38_chr3:187609959-187609969(+) acacaaaggac >hg38_chr3:187611530-187611540(-) AGACAATGCTT >hg38_chr3:187634928-187634938(-) atacaatgggg >hg38_chr3:187739715-187739725(+) AGACAATGCCA >hg38_chr3:187820814-187820824(-) TCACAATGTAT >hg38_chr3:187837122-187837132(-) acacaatcgca >hg38_chr3:187901186-187901196(+) ttataatggcc >hg38_chr3:187901226-187901236(+) ctacaatagcc >hg38_chr3:187918398-187918408(-) AGACAATGAGA >hg38_chr3:187972710-187972720(-) gaacaatagcg >hg38_chr3:187998845-187998855(+) GAACAATGTAG >hg38_chr3:187998870-187998880(+) TTACAATGGGC >hg38_chr3:187999648-187999658(-) AAATAATGGCC >hg38_chr3:188004019-188004029(-) CTACAAAGGAT >hg38_chr3:188046979-188046989(+) caacaataggt >hg38_chr3:188124131-188124141(+) ATACAATGCAC >hg38_chr3:188124384-188124394(-) GAACAATGAGT >hg38_chr3:188138656-188138666(-) aaacaatggtt >hg38_chr3:188154130-188154140(+) GGACAATGGGA >hg38_chr3:188235788-188235798(-) tgacaataggg >hg38_chr3:188242952-188242962(-) GAACAAAGGAA >hg38_chr3:188272796-188272806(-) ATATAATGGTC >hg38_chr3:188273204-188273214(-) ATACTATGGTT >hg38_chr3:188295017-188295027(-) GGACAATGACC >hg38_chr3:188300981-188300991(-) AGACAAAGGGA >hg38_chr3:188342030-188342040(-) AAATAATGGTT >hg38_chr3:188342038-188342048(-) ATACAATAAAA >hg38_chr3:188345561-188345571(+) ACACAATGAAG >hg38_chr3:188352420-188352430(+) CCACAATAGAA >hg38_chr3:188354354-188354364(+) TAATAATGGCA >hg38_chr3:188362666-188362676(+) TCACAATGCCA >hg38_chr3:188362708-188362718(-) CCACAATGGGC >hg38_chr3:188390180-188390190(-) CAACAATGGAA >hg38_chr3:188397391-188397401(-) TGACAATAGCC >hg38_chr3:188415588-188415598(+) atacaatggaa >hg38_chr3:188421308-188421318(+) CCACAATAGCT >hg38_chr3:188431727-188431737(+) aaacaatgtga >hg38_chr3:188440754-188440764(-) AAACAAAGGAC >hg38_chr3:188443070-188443080(-) TCACAATGATC >hg38_chr3:188452427-188452437(-) AAACAATAGCC >hg38_chr3:188452445-188452455(-) TGACAATATCG >hg38_chr3:188468050-188468060(+) taacaatgcta >hg38_chr3:188474385-188474395(+) tgacaatgcat >hg38_chr3:188515466-188515476(-) gaacaatagct >hg38_chr3:188540735-188540745(-) TAACAATAAGA >hg38_chr3:188541199-188541209(-) gaacaataaac >hg38_chr3:188554039-188554049(+) AAACAATGTAT >hg38_chr3:188570554-188570564(+) ACACAAAGGAC >hg38_chr3:188591914-188591924(-) atacaatatac >hg38_chr3:188618130-188618140(-) GGACAATGGCA >hg38_chr3:188633500-188633510(+) TAACAATAAGA >hg38_chr3:188679840-188679850(-) taacaatagat >hg38_chr3:188692316-188692326(+) AGACAATGAAA >hg38_chr3:188716425-188716435(+) AAACAATGAGG >hg38_chr3:188726608-188726618(+) atacagtggta >hg38_chr3:188729377-188729387(-) tgacaatagtc >hg38_chr3:188729387-188729397(+) aaacaatgggt >hg38_chr3:188742433-188742443(+) atacaatgaaa >hg38_chr3:188766920-188766930(+) ACACAATGAGA >hg38_chr3:188776580-188776590(+) ATACAAAGGCC >hg38_chr3:188776595-188776605(-) AAACAAAGGAA >hg38_chr3:188807156-188807166(-) aaacaatgaag >hg38_chr3:188834155-188834165(-) AGACAAAGGAA >hg38_chr3:188835901-188835911(-) TTATAATGGTT >hg38_chr3:188836538-188836548(-) GCACAATGAAA >hg38_chr3:188836554-188836564(+) ACACGATGGAG >hg38_chr3:188855653-188855663(-) gaacaatgcct >hg38_chr3:188860294-188860304(+) AGATAATGGCA >hg38_chr3:188900852-188900862(+) GTACAATGGAA >hg38_chr3:188914432-188914442(+) gaacaatgcaa >hg38_chr3:188914448-188914458(-) TCacaatgcct >hg38_chr3:188937622-188937632(-) AAACAAAGGCA >hg38_chr3:188948824-188948834(-) gaacaatggag >hg38_chr3:188964009-188964019(-) atacaataact >hg38_chr3:188964081-188964091(-) CTACAatagtc >hg38_chr3:189071645-189071655(-) TCATAATGGTA >hg38_chr3:189091459-189091469(+) atacaatgctc >hg38_chr3:189092721-189092731(-) TGACAGTGGTA >hg38_chr3:189115654-189115664(+) CCACAATGTTA >hg38_chr3:189115662-189115672(+) TTACAATGAAT >hg38_chr3:189138061-189138071(-) gaactatggtt >hg38_chr3:189138069-189138079(-) tgataatggaa >hg38_chr3:189138082-189138092(+) taataatgggc >hg38_chr3:189250418-189250428(-) taacaataaga >hg38_chr3:189250452-189250462(-) ttacaaagggc >hg38_chr3:189288758-189288768(-) TTACAAAGGTT >hg38_chr3:189293246-189293256(-) TAACAATGTTG >hg38_chr3:189304611-189304621(-) AGACAATAAGA >hg38_chr3:189308805-189308815(-) GCACAATGGAA >hg38_chr3:189309860-189309870(+) ggacaatgaga >hg38_chr3:189393829-189393839(-) GCACAATGTAT >hg38_chr3:189400366-189400376(-) GGACAATGTGA >hg38_chr3:189400636-189400646(+) TAACAATGGCC >hg38_chr3:189414211-189414221(-) AGACAATGAAC >hg38_chr3:189449201-189449211(-) GAACAATAGCA >hg38_chr3:189453660-189453670(-) taacaataact >hg38_chr3:189453666-189453676(-) taacaataaca >hg38_chr3:189453683-189453693(-) Gaacaataagt >hg38_chr3:189460802-189460812(+) AAACAATGAGA >hg38_chr3:189482979-189482989(-) atacaatgact >hg38_chr3:189572286-189572296(-) AGACAAAGGGA >hg38_chr3:189573018-189573028(+) CTACAATGTTC >hg38_chr3:189588990-189589000(+) ATACAATGCTC >hg38_chr3:189589346-189589356(+) GCACAATGGTA >hg38_chr3:189634139-189634149(-) CTACAACGGTT >hg38_chr3:189636189-189636199(+) GCACAATGCCG >hg38_chr3:189639852-189639862(+) GGACTATGGGA >hg38_chr3:189669220-189669230(+) aaacaatacta >hg38_chr3:189669285-189669295(+) aaacaatagca >hg38_chr3:189679880-189679890(-) atacaataggg >hg38_chr3:189687687-189687697(+) ggacaatgtgc >hg38_chr3:189687724-189687734(+) GTACAATGGTA >hg38_chr3:189689901-189689911(+) GAACAATGAGG >hg38_chr3:189689929-189689939(-) ACACAATATAT >hg38_chr3:189790916-189790926(-) AGACAATAGCG >hg38_chr3:189797485-189797495(+) AGACAATGGGG >hg38_chr3:189798178-189798188(-) ATACAATACAC >hg38_chr3:189799928-189799938(-) ggataatggct >hg38_chr3:189820642-189820652(+) GTACAATGCAC >hg38_chr3:189859500-189859510(+) ccacaatgaga >hg38_chr3:189898960-189898970(+) acacaatggca >hg38_chr3:189901564-189901574(-) TGACAATGGGT >hg38_chr3:189908861-189908871(+) TCACAATGCAA >hg38_chr3:189934322-189934332(+) TAACAAAGGCA >hg38_chr3:189937932-189937942(+) AAACAATGGCC >hg38_chr3:189941734-189941744(+) GAACAAAGGCC >hg38_chr3:189962699-189962709(+) GTACAATAAAA >hg38_chr3:189962712-189962722(+) TCACAATAGGT >hg38_chr3:189996554-189996564(+) taacaatagct >hg38_chr3:189996572-189996582(-) atacaatacat >hg38_chr3:190041637-190041647(-) CAACAATGACT >hg38_chr3:190058906-190058916(+) ACACAATGGCT >hg38_chr3:190077649-190077659(-) tcacaatgaca >hg38_chr3:190077670-190077680(+) atacaatgaag >hg38_chr3:190081664-190081674(-) AAACAATGCTG >hg38_chr3:190099302-190099312(+) atacaatacac >hg38_chr3:190165894-190165904(+) atacaatagta >hg38_chr3:190165927-190165937(+) caacaatggat >hg38_chr3:190170122-190170132(-) tcacaatagtc >hg38_chr3:190172692-190172702(+) TCACAATAGTA >hg38_chr3:190183339-190183349(+) AAACAATGAGG >hg38_chr3:190192176-190192186(-) GAAcaaaggga >hg38_chr3:190215009-190215019(-) TAACAATGATA >hg38_chr3:190215043-190215053(-) TAACAATGATA >hg38_chr3:190226441-190226451(-) AGACAATGTGT >hg38_chr3:190227496-190227506(-) aaacaatagtt >hg38_chr3:190227507-190227517(-) gtactatggga >hg38_chr3:190296164-190296174(+) atacaatgacg >hg38_chr3:190305328-190305338(+) CAATAATGGGT >hg38_chr3:190360964-190360974(-) gtacaataaca >hg38_chr3:190369068-190369078(-) GAACAATGGAA >hg38_chr3:190369357-190369367(-) CTACAATAGCT >hg38_chr3:190374411-190374421(+) TTACAAAGGAT >hg38_chr3:190386514-190386524(+) atacaatgtgg >hg38_chr3:190432369-190432379(-) gaacaaaggca >hg38_chr3:190447014-190447024(+) TAACAATAAGA >hg38_chr3:190497017-190497027(-) TCACAATGACT >hg38_chr3:190505603-190505613(+) ACACAAAGGCA >hg38_chr3:190547338-190547348(+) AGACTATGGGA >hg38_chr3:190558026-190558036(+) atacaataggc >hg38_chr3:190558066-190558076(+) gcacaatgcct >hg38_chr3:190586597-190586607(-) GAACAATGGAA >hg38_chr3:190637078-190637088(-) acacaaaggat >hg38_chr3:190638923-190638933(-) agacaatggaa >hg38_chr3:190645471-190645481(-) TTACAGTGGAA >hg38_chr3:190701301-190701311(+) CAACAATAGGG >hg38_chr3:190713514-190713524(-) ACACAATGAAA >hg38_chr3:190713543-190713553(+) TCACAATGTCA >hg38_chr3:190719717-190719727(-) TCACAATATAA >hg38_chr3:190719734-190719744(+) GAATAATGTAC >hg38_chr3:190725335-190725345(-) gtacaatgcag >hg38_chr3:190726708-190726718(+) TAATAATGTAA >hg38_chr3:190740167-190740177(-) AGACAATGTTT >hg38_chr3:190740994-190741004(-) aaacaaaggct >hg38_chr3:190794315-190794325(-) gtacaatgggc >hg38_chr3:190807895-190807905(-) AAACAATGATA >hg38_chr3:190855389-190855399(+) TTACAATGAGG >hg38_chr3:190983936-190983946(-) aaacaatggac >hg38_chr3:191098396-191098406(+) ATACAATGTTT >hg38_chr3:191183815-191183825(+) acataatggtg >hg38_chr3:191197067-191197077(-) AGACAAAGGGA >hg38_chr3:191238739-191238749(-) CAACAATGGCC >hg38_chr3:191263664-191263674(+) GTACAATGTGA >hg38_chr3:191263916-191263926(-) GCACAATGGAC >hg38_chr3:191265093-191265103(+) AAATAATGGGG >hg38_chr3:191302175-191302185(-) ttacaatgggc >hg38_chr3:191330133-191330143(-) AAACAAAGGCT >hg38_chr3:191333710-191333720(-) TAATAATGTat >hg38_chr3:191350305-191350315(+) GAACAAAGGAA >hg38_chr3:191359107-191359117(-) ACACAATGCTC >hg38_chr3:191360803-191360813(-) CAACAATGGAA >hg38_chr3:191369476-191369486(+) AGACAGTGGTA >hg38_chr3:191373535-191373545(-) GAACAATGGTT >hg38_chr3:191389306-191389316(+) AAACAAAGGAA >hg38_chr3:191392120-191392130(+) AAACAATGTTT >hg38_chr3:191412950-191412960(-) acacaatgcct >hg38_chr3:191417169-191417179(-) GCACAATGAGA >hg38_chr3:191566695-191566705(-) AAACAATGTCC >hg38_chr3:191592238-191592248(-) GTACAATATCC >hg38_chr3:191627323-191627333(+) AAACAATGCAT >hg38_chr3:191627330-191627340(+) GCATAATGGAT >hg38_chr3:191644622-191644632(+) caacaatgacc >hg38_chr3:191747028-191747038(+) taataatggcc >hg38_chr3:191770693-191770703(-) agacaataaaa >hg38_chr3:191780263-191780273(-) ACACAAAGGAT >hg38_chr3:191859812-191859822(-) GTACAATAGAA >hg38_chr3:191859829-191859839(+) GTACAATGTTG >hg38_chr3:191859846-191859856(-) ATACAATACGT >hg38_chr3:191859856-191859866(-) TAACAATATTA >hg38_chr3:191912979-191912989(-) ACACAATAGAC >hg38_chr3:191913004-191913014(+) TAACAATACGT >hg38_chr3:191960209-191960219(-) TCACAATGAGC >hg38_chr3:191960241-191960251(+) TGACAATGTAG >hg38_chr3:191967846-191967856(-) GGACAATAGGT >hg38_chr3:191997941-191997951(+) GAACAATGAAA >hg38_chr3:192024836-192024846(+) CAACAATGTGT >hg38_chr3:192033484-192033494(-) gtacaatgact >hg38_chr3:192063695-192063705(+) TGACAAtgaga >hg38_chr3:192083184-192083194(-) tcacagtggta >hg38_chr3:192148539-192148549(+) caacaatgtca >hg38_chr3:192186300-192186310(-) AAACAATGGAT >hg38_chr3:192249801-192249811(+) GAACAATGAGG >hg38_chr3:192332935-192332945(-) TCACAATGCTT >hg38_chr3:192449207-192449217(+) acacaatatta >hg38_chr3:192487475-192487485(-) GGATAATGGCT >hg38_chr3:192562878-192562888(-) GAACAATGACA >hg38_chr3:192631124-192631134(-) GAACAAAGGCA >hg38_chr3:192696689-192696699(-) GAACAATAATT >hg38_chr3:192747943-192747953(+) TTACAATGGCA >hg38_chr3:192786600-192786610(-) taacaatagga >hg38_chr3:192786610-192786620(-) gcacaatgaat >hg38_chr3:192813226-192813236(+) TGACAATGGGT >hg38_chr3:192832936-192832946(+) AAACAATAGCT >hg38_chr3:192842429-192842439(+) ATACAATGCAT >hg38_chr3:192861879-192861889(-) TGATAATGGAT >hg38_chr3:192861910-192861920(+) ttacaataaaa >hg38_chr3:192893008-192893018(+) CGACAATATTT >hg38_chr3:193044338-193044348(+) taacaatgcta >hg38_chr3:193044363-193044373(+) gaacaatgttt >hg38_chr3:193079151-193079161(+) ATACAATGGGG >hg38_chr3:193086728-193086738(-) AGACAATAGAA >hg38_chr3:193108537-193108547(-) aaacaataagt >hg38_chr3:193114119-193114129(-) agacaatgcta >hg38_chr3:193114166-193114176(-) agacaatgaat >hg38_chr3:193116032-193116042(+) atacaaagggc >hg38_chr3:193117111-193117121(-) TAACAAAGGCA >hg38_chr3:193169248-193169258(+) GGACAATGGGG >hg38_chr3:193203826-193203836(-) TAACAATGCCT >hg38_chr3:193225915-193225925(-) aaacaatagaa >hg38_chr3:193225927-193225937(+) caacaatgccc >hg38_chr3:193229355-193229365(-) gcacaatcgtt >hg38_chr3:193239110-193239120(+) ACACAATGCCA >hg38_chr3:193301705-193301715(+) TAACAATACAT >hg38_chr3:193301728-193301738(-) ACACAATGCAA >hg38_chr3:193313445-193313455(-) AGACAATGGGC >hg38_chr3:193349047-193349057(-) tcacaatgtat >hg38_chr3:193349066-193349076(-) ttacaataggc >hg38_chr3:193377813-193377823(-) AGACAATGAAG >hg38_chr3:193388325-193388335(-) TGACAATAGTG >hg38_chr3:193388529-193388539(-) TAACACTGGAC >hg38_chr3:193388708-193388718(+) GGACAATAGCA >hg38_chr3:193388726-193388736(-) CCACAATAGTT >hg38_chr3:193407327-193407337(+) ACACAATGAAA >hg38_chr3:193407354-193407364(+) TGACAATGGAG >hg38_chr3:193438446-193438456(+) AAACAATGTTT >hg38_chr3:193452151-193452161(+) TGACAATGAGA >hg38_chr3:193476004-193476014(+) gtacaatgaat >hg38_chr3:193511613-193511623(+) TGACAATgcat >hg38_chr3:193531004-193531014(-) gtacaaaggta >hg38_chr3:193531011-193531021(-) agacaatgtac >hg38_chr3:193585705-193585715(-) acacaatgaaa >hg38_chr3:193593666-193593676(-) GGACAATAGAG >hg38_chr3:193614594-193614604(-) ACacaatagtt >hg38_chr3:193614604-193614614(-) CCACAATGTCA >hg38_chr3:193627226-193627236(-) AAACTATGGTG >hg38_chr3:193643553-193643563(+) ACACAAAGGAA >hg38_chr3:193678776-193678786(-) ACACAAAGGAA >hg38_chr3:193678795-193678805(-) ATATAATGAAT >hg38_chr3:193679488-193679498(-) gaacaatgggC >hg38_chr3:193711202-193711212(-) AAACAATGCAC >hg38_chr3:193756130-193756140(-) aaacaatgata >hg38_chr3:193812453-193812463(-) TTACAATGTCT >hg38_chr3:193816871-193816881(+) GGACAATGGAG >hg38_chr3:193821856-193821866(+) taacaatgaat >hg38_chr3:193831395-193831405(-) TCACAATGAAA >hg38_chr3:193840412-193840422(-) ccacaatgaaa >hg38_chr3:193852338-193852348(-) ACACAATAGAG >hg38_chr3:193853018-193853028(-) AAACAAAGGGA >hg38_chr3:193869812-193869822(+) GCACAAAGGAA >hg38_chr3:193869890-193869900(-) GCACAAAGGTC >hg38_chr3:193871251-193871261(-) TGACTATGGTC >hg38_chr3:193871267-193871277(-) TAACAAAGGTG >hg38_chr3:193875398-193875408(+) gaacaatggtg >hg38_chr3:193892571-193892581(-) ATACCATGGAA >hg38_chr3:193902720-193902730(-) GGACAATGCAG >hg38_chr3:193903080-193903090(+) TAACAATGAGA >hg38_chr3:193903125-193903135(+) CTACAATGAGA >hg38_chr3:193911585-193911595(+) AGACAATGCCC >hg38_chr3:193929097-193929107(-) ACACAATGCGC >hg38_chr3:193937177-193937187(+) ccacaatgaga >hg38_chr3:193937536-193937546(+) atacaatgaaa >hg38_chr3:193943998-193944008(-) TAACAATGTCC >hg38_chr3:193944006-193944016(+) TTACAATGCAA >hg38_chr3:193944025-193944035(-) ACACAACGGTC >hg38_chr3:193944714-193944724(-) GGATAATGTCG >hg38_chr3:193960610-193960620(-) GCACAATGCTG >hg38_chr3:193963078-193963088(-) tgataatgggt >hg38_chr3:193988768-193988778(+) ACACAATAAAC >hg38_chr3:194023867-194023877(-) GGACAATGGGT >hg38_chr3:194054764-194054774(+) taacaaaggca >hg38_chr3:194054809-194054819(+) CCACAATGGCC >hg38_chr3:194122169-194122179(+) AAACAATAACC >hg38_chr3:194132599-194132609(-) GAACAATGCCT >hg38_chr3:194133845-194133855(+) CCACAATGAAA >hg38_chr3:194142147-194142157(+) AAACAAAGGGC >hg38_chr3:194165228-194165238(+) gaacaatgcaa >hg38_chr3:194184710-194184720(+) GGACAAAGGCA >hg38_chr3:194212432-194212442(-) GGACAATGCAG >hg38_chr3:194213043-194213053(+) TTACAATGAAG >hg38_chr3:194232155-194232165(-) AGACAAAGGAA >hg38_chr3:194286903-194286913(-) AGATAATGACG >hg38_chr3:194286956-194286966(-) CCACAATGGGA >hg38_chr3:194291347-194291357(+) aaacaataaga >hg38_chr3:194295016-194295026(-) GGACAATGGGC >hg38_chr3:194297009-194297019(-) GGACAATGGCC >hg38_chr3:194318988-194318998(-) atacaatgggg >hg38_chr3:194339540-194339550(-) aaacaaaggca >hg38_chr3:194402738-194402748(+) TTACAATGCTG >hg38_chr3:194420129-194420139(-) GAACAATGTCT >hg38_chr3:194428460-194428470(-) caacaatgtcc >hg38_chr3:194429659-194429669(+) ACACAATGTTA >hg38_chr3:194429717-194429727(+) GTACAATGCCA >hg38_chr3:194439805-194439815(-) GTATAATGGCA >hg38_chr3:194450595-194450605(+) ACACAATGAGT >hg38_chr3:194454290-194454300(+) ATACTATGGAC >hg38_chr3:194454305-194454315(+) CCACAATAGCT >hg38_chr3:194470212-194470222(-) ATACAATGGTC >hg38_chr3:194470971-194470981(+) TGACAATGGCT >hg38_chr3:194474630-194474640(-) TTATAATGGAA >hg38_chr3:194475779-194475789(+) CCACAATAGTG >hg38_chr3:194479348-194479358(-) GTACAATAGGC >hg38_chr3:194484333-194484343(+) acataatGGTT >hg38_chr3:194750559-194750569(-) gaacaaaggct >hg38_chr3:194870749-194870759(+) GAACAATGAAG >hg38_chr3:194933994-194934004(+) TAACAATGGAa >hg38_chr3:195031007-195031017(+) CCACAATAGCC >hg38_chr3:195119703-195119713(+) GAACAATGCTG >hg38_chr3:195148581-195148591(+) TTACAACGGAG >hg38_chr3:195148607-195148617(+) GAACAACGGAC >hg38_chr3:195189977-195189987(+) gtacaaaggca >hg38_chr3:195190021-195190031(+) aaacaatggag >hg38_chr3:195194700-195194710(+) acacaatggaa >hg38_chr3:195195539-195195549(-) GAACAAAGGAA >hg38_chr3:195210794-195210804(-) GAACAAAGGGT >hg38_chr3:195223582-195223592(+) aaacaatgaat >hg38_chr3:195252771-195252781(-) ACACAATAAAT >hg38_chr3:195274082-195274092(+) agataatggaa >hg38_chr3:195282239-195282249(-) GTACAATGGTT >hg38_chr3:195297187-195297197(-) GGACAAAGGTA >hg38_chr3:195315920-195315930(-) GGACAATAGAA >hg38_chr3:195315993-195316003(-) CTACAATGAGA >hg38_chr3:195381671-195381681(+) CAACAATGAGA >hg38_chr3:195388534-195388544(+) TTACAATGATA >hg38_chr3:195392341-195392351(+) GGACAATGACA >hg38_chr3:195395377-195395387(+) AGACAATGAGC >hg38_chr3:195400770-195400780(-) CCACAATGTTC >hg38_chr3:195409323-195409333(+) aaacaatgcca >hg38_chr3:195409399-195409409(+) gtacaatagaa >hg38_chr3:195434584-195434594(+) taacaatggac >hg38_chr3:195435926-195435936(-) caataatgggt >hg38_chr3:195436941-195436951(+) ATATAATGGGG >hg38_chr3:195517982-195517992(+) ATACAATAATT >hg38_chr3:195519533-195519543(+) gtacaatgcct >hg38_chr3:195574030-195574040(-) CGACAATGGGG >hg38_chr3:195574084-195574094(-) GAACAATGAGG >hg38_chr3:195889591-195889601(+) TAACAATGCTG >hg38_chr3:195921417-195921427(-) GAACAATAGAG >hg38_chr3:195924110-195924120(+) taacaatgtgg >hg38_chr3:196058340-196058350(-) AGACAATGCTG >hg38_chr3:196146259-196146269(+) ttacaaaggca >hg38_chr3:196156349-196156359(-) gcacaatgggt >hg38_chr3:196160044-196160054(-) GAACAAAGGCT >hg38_chr3:196179509-196179519(+) aaacaatgtac >hg38_chr3:196183442-196183452(+) TGACAATAGAA >hg38_chr3:196183450-196183460(+) GAACAAAGGCA >hg38_chr3:196183474-196183484(+) GAACAATGAGT >hg38_chr3:196186727-196186737(+) AGACAATGAGC >hg38_chr3:196204221-196204231(-) atacaatggat >hg38_chr3:196268357-196268367(+) TAACAATGCCC >hg38_chr3:196284183-196284193(-) TAATAATAGTA >hg38_chr3:196284223-196284233(+) TAACAATGGAA >hg38_chr3:196349992-196350002(+) AAACAATAAAA >hg38_chr3:196375652-196375662(-) TTATAATGGCT >hg38_chr3:196375657-196375667(+) TTATAATGGAG >hg38_chr3:196409109-196409119(-) ccacaatgcac >hg38_chr3:196491784-196491794(+) acataatggag >hg38_chr3:196491835-196491845(+) acacgatggac >hg38_chr3:196582497-196582507(+) TGACAATAGTA >hg38_chr3:196632950-196632960(-) AAACAAAGGCA >hg38_chr3:196648327-196648337(+) taacaatagag >hg38_chr3:196666293-196666303(-) ttacaaaggga >hg38_chr3:196685035-196685045(+) AGACAATGGAC >hg38_chr3:196706447-196706457(-) GAACAATAGGC >hg38_chr3:196707770-196707780(-) AAACAATGGAA >hg38_chr3:196739644-196739654(+) AGACAATGCTG >hg38_chr3:196741648-196741658(-) TAACAATGGGC >hg38_chr3:196747096-196747106(-) atacaataagt >hg38_chr3:196756169-196756179(-) AAACAATGACA >hg38_chr3:196793404-196793414(+) aaacaatggcc >hg38_chr3:196890277-196890287(+) GTACAATAGAT >hg38_chr3:196942520-196942530(+) CCACAATGCGG >hg38_chr3:197031632-197031642(+) TCACAATGAAG >hg38_chr3:197049421-197049431(+) caacaatagcc >hg38_chr3:197069272-197069282(+) GGACAATGAAA >hg38_chr3:197104882-197104892(+) AGACAATAAGA >hg38_chr3:197104911-197104921(-) GCACAATATCG >hg38_chr3:197136255-197136265(-) AAACAATGTCA >hg38_chr3:197137040-197137050(-) AGACAATGTTG >hg38_chr3:197137091-197137101(-) GAACAAAGGTA >hg38_chr3:197139966-197139976(+) ACACAATGCTT >hg38_chr3:197156836-197156846(+) ctacaataata >hg38_chr3:197161422-197161432(-) ACACAATGAAC >hg38_chr3:197176403-197176413(-) ctataatggcg >hg38_chr3:197182802-197182812(+) AAACAATAGGT >hg38_chr3:197182854-197182864(+) AGACAATAGCT >hg38_chr3:197185846-197185856(+) ATACAATAGTA >hg38_chr3:197202208-197202218(+) TAACAATAAAT >hg38_chr3:197203923-197203933(+) AGACAATGAAA >hg38_chr3:197217579-197217589(+) atacaatggaa >hg38_chr3:197232007-197232017(+) TCACAATGGAG >hg38_chr3:197234397-197234407(+) GTATAATGGAT >hg38_chr3:197242678-197242688(+) gaacaatgaag >hg38_chr3:197243339-197243349(+) GAACAAAGGAA >hg38_chr3:197256393-197256403(-) AAACAAAGGCT >hg38_chr3:197259712-197259722(+) ACACAATGAGC >hg38_chr3:197260162-197260172(+) TAACAATGGTT >hg38_chr3:197260196-197260206(+) GAACAAAGGGT >hg38_chr3:197260223-197260233(+) TAACAATGAAA >hg38_chr3:197289695-197289705(+) CCACAATAGTC >hg38_chr3:197295797-197295807(+) aaacaatgcac >hg38_chr3:197298351-197298361(+) GAACAAAGGAG >hg38_chr3:197309608-197309618(-) TTATAATGGCA >hg38_chr3:197318483-197318493(-) taacaaagggc >hg38_chr3:197318779-197318789(-) tcataatggat >hg38_chr3:197376268-197376278(+) gaacaatgcac >hg38_chr3:197395352-197395362(+) AAACTATGGCA >hg38_chr3:197395368-197395378(+) GGACAAAGGAA >hg38_chr3:197566330-197566340(-) agacaaaggta >hg38_chr3:197789241-197789251(+) TGACAATGGTG >hg38_chr3:197789285-197789295(-) CTACAATGCTG >hg38_chr3:197801612-197801622(-) AAACAATAAGT >hg38_chr3:197807599-197807609(-) caacaatgata >hg38_chr3:197807647-197807657(-) aaacaaaggaa >hg38_chr3:197812361-197812371(-) atacaatggaa >hg38_chr3:197857839-197857849(-) ACACAATGTAT >hg38_chr3:197885873-197885883(+) TTACAATGCAT >hg38_chr3:197887976-197887986(-) ATACAAAGGCC >hg38_chr3:197889280-197889290(-) GAACAAAGGAG >hg38_chr3:197913799-197913809(-) TAACAAAGGAG >hg38_chr3:197937374-197937384(+) TCACAATAGCT >hg38_chr3:197957378-197957388(-) caacaatggca >hg38_chr3:197970815-197970825(+) gcacaatagtc >hg38_chr3:197979854-197979864(-) AAACAATATAA >hg38_chr3:197994546-197994556(+) gcacaatgaga >hg38_chr3:198017360-198017370(-) CAACAATGGAG >hg38_chr3:198027725-198027735(-) atacaatggag >hg38_chr4:156274-156284(-) AAACAATGCAG >hg38_chr4:473075-473085(+) GAACAATAGAC >hg38_chr4:475444-475454(-) ACACAATGAGT >hg38_chr4:822764-822774(+) CAACAATGAGG >hg38_chr4:1012291-1012301(-) AGACAAAGGGT >hg38_chr4:1014889-1014899(+) GAACAAAGTCG >hg38_chr4:1014940-1014950(-) GCACAATGGAT >hg38_chr4:1035675-1035685(-) GAACAAAGGCT >hg38_chr4:1118947-1118957(-) GAACAAAGGGT >hg38_chr4:1141192-1141202(+) taacaatgaac >hg38_chr4:1209938-1209948(+) AAACAATGAGG >hg38_chr4:1275090-1275100(-) acacaatagga >hg38_chr4:1297942-1297952(-) GAACAATGGGA >hg38_chr4:1459567-1459577(-) AAACAATGTAA >hg38_chr4:1507109-1507119(-) GCACAAAGGAC >hg38_chr4:1678465-1678475(+) atacaatagag >hg38_chr4:1683089-1683099(+) GGACAATGGCG >hg38_chr4:1726407-1726417(-) AAACAAAGGTT >hg38_chr4:1761048-1761058(+) CTATAATGGTG >hg38_chr4:1766460-1766470(-) TGACAATGTGG >hg38_chr4:1794403-1794413(-) CAACAATGGGA >hg38_chr4:1829486-1829496(-) tgacaatggat >hg38_chr4:1910887-1910897(-) AAACAAAGGAC >hg38_chr4:1959173-1959183(+) ttacaatggcc >hg38_chr4:2072064-2072074(+) ACACAATGGAC >hg38_chr4:2131934-2131944(+) GGACAATAATA >hg38_chr4:2182148-2182158(+) caacaaaggta >hg38_chr4:2182179-2182189(+) caacaatgggt >hg38_chr4:2223214-2223224(-) taacaatgaaa >hg38_chr4:2232444-2232454(-) gcacaatagca >hg38_chr4:2346591-2346601(+) caacaatagca >hg38_chr4:2347257-2347267(+) taacaatagac >hg38_chr4:2360584-2360594(-) ACACAATGAAT >hg38_chr4:2403008-2403018(-) GGATAATGGCA >hg38_chr4:2403056-2403066(+) TGACAATGCAG >hg38_chr4:2475900-2475910(-) gaacaaaggta >hg38_chr4:2520984-2520994(-) gtataatggag >hg38_chr4:2521005-2521015(-) acacaatggtg >hg38_chr4:2535508-2535518(-) GCACAAAGGAC >hg38_chr4:2619692-2619702(-) aaacaataaaa >hg38_chr4:2619705-2619715(+) agacaatgtct >hg38_chr4:2678725-2678735(-) taacaatggtc >hg38_chr4:2694881-2694891(+) CAACAATGTGA >hg38_chr4:2712551-2712561(-) aaacaaagggc >hg38_chr4:2818701-2818711(-) GAACAAAGGCG >hg38_chr4:2842932-2842942(+) taactatggga >hg38_chr4:2865051-2865061(-) ttacaatggtg >hg38_chr4:2961220-2961230(-) taacaatatta >hg38_chr4:3131266-3131276(-) AGACAATGACA >hg38_chr4:3131343-3131353(-) ACACAATGGAC >hg38_chr4:3142133-3142143(+) ATACAATGACC >hg38_chr4:3145129-3145139(-) AAACAATAAAA >hg38_chr4:3206191-3206201(-) GGACAAAGGCA >hg38_chr4:3237983-3237993(+) ACATAATGGGA >hg38_chr4:3275819-3275829(-) ctacaatgctg >hg38_chr4:3293604-3293614(-) GCACAATCGCG >hg38_chr4:3304047-3304057(+) TGACAATGGAA >hg38_chr4:3306205-3306215(-) AGACAATGGTG >hg38_chr4:3322018-3322028(-) CAACAATGGAG >hg38_chr4:3334331-3334341(+) ttacaatgatt >hg38_chr4:3481179-3481189(-) AGACAATGGTG >hg38_chr4:3494318-3494328(-) GGACAATGGGC >hg38_chr4:3631918-3631928(+) tgacaaaggga >hg38_chr4:3754280-3754290(-) GTACAAAGGTA >hg38_chr4:3754306-3754316(+) TAACAATAGCT >hg38_chr4:3830142-3830152(-) AGACAAAGGCA >hg38_chr4:3836224-3836234(+) TCACAATGGCC >hg38_chr4:3862808-3862818(-) GAACAATGGCC >hg38_chr4:4239745-4239755(+) cgacaatatga >hg38_chr4:4239765-4239775(+) acacaatgctg >hg38_chr4:4254835-4254845(+) ggacaatggag >hg38_chr4:4259711-4259721(+) AAACAAAGGCC >hg38_chr4:4385036-4385046(-) GGACAATGCAA >hg38_chr4:4458158-4458168(+) ttacaatagca >hg38_chr4:4521322-4521332(+) CCACAATGTAG >hg38_chr4:4524074-4524084(-) agacaatgtct >hg38_chr4:4542763-4542773(+) agacaaaggtg >hg38_chr4:4568977-4568987(+) ATACAATGGAG >hg38_chr4:4568989-4568999(+) AGACAATGATA >hg38_chr4:4569006-4569016(+) ATACAAAGGAG >hg38_chr4:4569018-4569028(+) AGACAATGGGC >hg38_chr4:4575424-4575434(+) AGACAAAGGGC >hg38_chr4:4575486-4575496(+) GGACAAAGGCG >hg38_chr4:4575847-4575857(-) ggacaatgggg >hg38_chr4:4575881-4575891(-) ATACAATGGGT >hg38_chr4:4575915-4575925(-) GTACAATGTGG >hg38_chr4:4579244-4579254(+) GCACAATAGTC >hg38_chr4:4583816-4583826(+) AAACAATGAAT >hg38_chr4:4594077-4594087(+) GTACAAAGGAC >hg38_chr4:4594084-4594094(-) AGACAATGTCC >hg38_chr4:4594119-4594129(-) GGACAATGAGG >hg38_chr4:4641323-4641333(-) CTACAATAGAC >hg38_chr4:4642537-4642547(+) GAACAAAGGCT >hg38_chr4:4657432-4657442(+) TCACAATGTGT >hg38_chr4:4657473-4657483(+) CAACAATAGCA >hg38_chr4:4694294-4694304(+) tcacaatgGAT >hg38_chr4:4759229-4759239(-) AAACAATGACC >hg38_chr4:4761268-4761278(+) TTACAATAGCC >hg38_chr4:4761662-4761672(-) CCACAATGTGT >hg38_chr4:4762911-4762921(-) ACACAATGAGT >hg38_chr4:4791671-4791681(-) ACACAAAGGAG >hg38_chr4:4905481-4905491(-) acacaatggaa >hg38_chr4:4905981-4905991(-) taataatgata >hg38_chr4:4947630-4947640(+) ttacaatagtg >hg38_chr4:4947677-4947687(-) GAACAATAGCa >hg38_chr4:4948484-4948494(-) CCACAATGACT >hg38_chr4:5008263-5008273(+) ACACAAAGGTA >hg38_chr4:5008294-5008304(-) aaaCAATATTA >hg38_chr4:5059338-5059348(-) taacaatgcag >hg38_chr4:5061462-5061472(-) agacaatggaa >hg38_chr4:5061469-5061479(-) aaacaatagac >hg38_chr4:5068237-5068247(-) gtacaatgtat >hg38_chr4:5079707-5079717(+) ctacaatgaat >hg38_chr4:5079754-5079764(-) gtacagtggcc >hg38_chr4:5082607-5082617(+) tgacaatgaat >hg38_chr4:5082642-5082652(-) AGACAATGCCC >hg38_chr4:5133081-5133091(-) gtacagtggct >hg38_chr4:5158512-5158522(-) ATACAATTACG >hg38_chr4:5198744-5198754(+) GCACAAAGGTC >hg38_chr4:5198787-5198797(-) ACACAATGTTT >hg38_chr4:5199334-5199344(-) ttacaataggt >hg38_chr4:5200022-5200032(+) GAACAAAGGCC >hg38_chr4:5277310-5277320(+) GGACAATGGAG >hg38_chr4:5277538-5277548(-) agacaatgagT >hg38_chr4:5325861-5325871(+) GGACAATGTCA >hg38_chr4:5349550-5349560(+) taacaatgtaa >hg38_chr4:5353372-5353382(+) agacaatggaa >hg38_chr4:5498035-5498045(+) gaacaatagaa >hg38_chr4:5526632-5526642(-) ccacaatggtc >hg38_chr4:5527254-5527264(+) GGACAAAGGTC >hg38_chr4:5527269-5527279(-) AGACAAAGGGA >hg38_chr4:5528870-5528880(-) CAACAATAGCA >hg38_chr4:5541326-5541336(+) agacaataaac >hg38_chr4:5634207-5634217(+) TAACAAAGGAT >hg38_chr4:5637751-5637761(+) caacaatgggc >hg38_chr4:5710773-5710783(-) AGACAATGGGT >hg38_chr4:5717824-5717834(-) taacagtggag >hg38_chr4:5741527-5741537(-) TGACAATGGCC >hg38_chr4:5858175-5858185(-) ggacaaaggaa >hg38_chr4:5887525-5887535(-) AAACAAAGGAA >hg38_chr4:5890328-5890338(+) GTACAAAGCGC >hg38_chr4:5938053-5938063(+) ccacaatgaac >hg38_chr4:5938412-5938422(+) TAACAATGACA >hg38_chr4:5938418-5938428(+) TGACAATGTCC >hg38_chr4:5956737-5956747(-) CAACAATGGCG >hg38_chr4:6100325-6100335(+) atacaatatga >hg38_chr4:6105368-6105378(+) gaacaatgcct >hg38_chr4:6128579-6128589(-) AGACAATGGAT >hg38_chr4:6210010-6210020(-) ttacaatgaca >hg38_chr4:6273739-6273749(-) GGACAATGAAG >hg38_chr4:6437769-6437779(+) CCACAATGACA >hg38_chr4:6463475-6463485(-) GAACAAAGGCT >hg38_chr4:6463520-6463530(+) GGACAATGGCC >hg38_chr4:6668630-6668640(-) AAACAATGTTG >hg38_chr4:6708061-6708071(+) ACACAATATAT >hg38_chr4:6708075-6708085(+) AGACAATGTGC >hg38_chr4:6716065-6716075(+) AAACAAAGGTG >hg38_chr4:6783570-6783580(-) GGACAATGCTg >hg38_chr4:6785760-6785770(+) tcacaatgcag >hg38_chr4:6848094-6848104(-) TAACAATAGGA >hg38_chr4:6874041-6874051(-) ggacaatgcta >hg38_chr4:6905872-6905882(-) ACACAATGCCT >hg38_chr4:6908005-6908015(+) GAACAATGGTT >hg38_chr4:6908019-6908029(-) CTACAATGACA >hg38_chr4:6962539-6962549(+) GGACAAAGGAG >hg38_chr4:6963282-6963292(-) ACACAATGGCC >hg38_chr4:6986284-6986294(+) ggacaatgctg >hg38_chr4:6987737-6987747(-) ATACAAAGGCT >hg38_chr4:7177194-7177204(-) aaacaatgcaa >hg38_chr4:7196572-7196582(-) GAACAATGACA >hg38_chr4:7273943-7273953(+) AGACAATGTGG >hg38_chr4:7311715-7311725(-) tgacaaaggat >hg38_chr4:7351273-7351283(+) TCACAACGGAA >hg38_chr4:7351308-7351318(-) ACACAAAGGGA >hg38_chr4:7361605-7361615(+) TTATAATGGGA >hg38_chr4:7383307-7383317(-) GAACAATGTCC >hg38_chr4:7396651-7396661(-) TCACAATGCAA >hg38_chr4:7407418-7407428(-) atataatgata >hg38_chr4:7469929-7469939(+) AAACAATGGGT >hg38_chr4:7472504-7472514(+) TCACAAAGGAC >hg38_chr4:7564779-7564789(+) TTACAATGAGT >hg38_chr4:7670077-7670087(+) gaacaaaggat >hg38_chr4:7779410-7779420(+) ACACTATGGAA >hg38_chr4:7805594-7805604(+) aaacaaaggaa >hg38_chr4:7890105-7890115(+) gaacaatgttg >hg38_chr4:7900320-7900330(-) GCACAAAGGAA >hg38_chr4:7904313-7904323(-) GAACAAAGGAC >hg38_chr4:7939747-7939757(+) TAACAATGGAG >hg38_chr4:7939984-7939994(+) GAACAAAGGGA >hg38_chr4:7972910-7972920(+) AAACAAAGGAG >hg38_chr4:7979556-7979566(-) aaacaaaggtg >hg38_chr4:7979597-7979607(-) aaacaatgtag >hg38_chr4:8064194-8064204(-) ggacaaagggt >hg38_chr4:8064201-8064211(-) ggacaaaggac >hg38_chr4:8079181-8079191(+) ATACAATGAGT >hg38_chr4:8090644-8090654(-) tgacaatgatg >hg38_chr4:8128634-8128644(-) AGACAATGACT >hg38_chr4:8177165-8177175(+) TCACAATGGGC >hg38_chr4:8221738-8221748(+) atacaatgcca >hg38_chr4:8221744-8221754(-) agataatggca >hg38_chr4:8221783-8221793(+) ccacaatgatg >hg38_chr4:8221802-8221812(+) agacaatgaca >hg38_chr4:8393803-8393813(+) GAACAATGCAA >hg38_chr4:8446348-8446358(-) TCACAATGGCA >hg38_chr4:8504463-8504473(-) ACACAATGAGT >hg38_chr4:8564573-8564583(+) caacaatgctt >hg38_chr4:8609226-8609236(-) tgacaatgagt >hg38_chr4:8668450-8668460(+) AGACAAAGGTA >hg38_chr4:8669008-8669018(+) caacaacggat >hg38_chr4:8669056-8669066(+) ccacaatgcaa >hg38_chr4:8854923-8854933(+) GAACAATGGGA >hg38_chr4:9966953-9966963(-) AAACAATGCAG >hg38_chr4:10013944-10013954(-) GAACAAAGGCT >hg38_chr4:10157916-10157926(-) AGACAATGAAA >hg38_chr4:10288295-10288305(-) AGACAATGTCA >hg38_chr4:10288743-10288753(+) GTACAGTGGAG >hg38_chr4:10344415-10344425(+) ACACAAAGGTC >hg38_chr4:10394111-10394121(-) ggacaaaggca >hg38_chr4:10398139-10398149(-) atacaatagtc >hg38_chr4:10434230-10434240(+) ACACAATAATA >hg38_chr4:10442702-10442712(+) AAACAAAGGTT >hg38_chr4:10455702-10455712(-) AGACAAAGGAT >hg38_chr4:10469341-10469351(+) atacaatgcat >hg38_chr4:10469678-10469688(+) gaacaataaat >hg38_chr4:10485989-10485999(+) caacaatgtac >hg38_chr4:10489993-10490003(-) ATACAATGTGT >hg38_chr4:10527137-10527147(+) GAACAATGCCA >hg38_chr4:10527145-10527155(-) CAACAATGTGG >hg38_chr4:10527163-10527173(-) CAACAATGATT >hg38_chr4:10536097-10536107(+) ACACAATAGCT >hg38_chr4:10565403-10565413(+) TTACAATAGGG >hg38_chr4:10584316-10584326(+) GGACAATGTCT >hg38_chr4:10619213-10619223(+) TAACAATGCCT >hg38_chr4:10619274-10619284(-) TTACAATGCTT >hg38_chr4:10625303-10625313(+) GTACAAAGGCA >hg38_chr4:10639310-10639320(+) acacaaTGAAT >hg38_chr4:10639342-10639352(-) GCACAATGAGC >hg38_chr4:10640020-10640030(-) GAACAATGATC >hg38_chr4:10715304-10715314(-) GGACAATAAAC >hg38_chr4:10724572-10724582(+) gaacaatggac >hg38_chr4:10773378-10773388(-) AAACAATGTCT >hg38_chr4:10790559-10790569(-) GCACAATGGCA >hg38_chr4:10855448-10855458(-) tcataatggga >hg38_chr4:10926283-10926293(+) TCACAATGTCT >hg38_chr4:10929879-10929889(-) AGACAATGGTT >hg38_chr4:10929919-10929929(-) GCATAATGGGA >hg38_chr4:11263542-11263552(-) atacaatgaag >hg38_chr4:11273499-11273509(+) ctataatggtg >hg38_chr4:11323911-11323921(+) agacaatgggg >hg38_chr4:11335187-11335197(-) CTACAATGGAA >hg38_chr4:11340434-11340444(-) TGACAATGCCA >hg38_chr4:11413661-11413671(+) GAACAATAGGA >hg38_chr4:11415889-11415899(+) TGACAATGCAG >hg38_chr4:11416147-11416157(+) TGACAAAGGAC >hg38_chr4:11450614-11450624(+) AAACAATGGAC >hg38_chr4:11496628-11496638(+) GCACAAAGGGA >hg38_chr4:11505582-11505592(-) GCACAATGTGA >hg38_chr4:11506037-11506047(-) tcacaatagcc >hg38_chr4:11650945-11650955(-) AAACAATAAAA >hg38_chr4:11684479-11684489(+) TCACAATAGAA >hg38_chr4:11684514-11684524(+) GGACAATGAAG >hg38_chr4:11699326-11699336(+) TGACAATGAAT >hg38_chr4:11711024-11711034(-) agacaatgaga >hg38_chr4:11725034-11725044(+) GGATAATGGAT >hg38_chr4:11748312-11748322(+) TGACAATAATA >hg38_chr4:11801972-11801982(+) AAACAAAGGAA >hg38_chr4:11821852-11821862(-) TAACAATGCCC >hg38_chr4:11844073-11844083(-) atacaatgagt >hg38_chr4:11847020-11847030(+) GCACAATGTAA >hg38_chr4:11869647-11869657(+) GAACAAAGGAG >hg38_chr4:11869665-11869675(-) TCACAATGGGA >hg38_chr4:11914569-11914579(-) gaacaatgtcc >hg38_chr4:11914620-11914630(+) caacaatgagg >hg38_chr4:12036767-12036777(-) gaacaatgaag >hg38_chr4:12036781-12036791(+) ttacaaaggag >hg38_chr4:12050515-12050525(+) agacaatggga >hg38_chr4:12060111-12060121(+) TGACAATAGGT >hg38_chr4:12209487-12209497(+) ATACAATCGGG >hg38_chr4:12331862-12331872(-) GTACAATAAGA >hg38_chr4:12419812-12419822(-) gaacaatagca >hg38_chr4:12479805-12479815(-) ggacaatggga >hg38_chr4:12495498-12495508(+) AGACAATGGAG >hg38_chr4:12508288-12508298(-) taacaatacat >hg38_chr4:12586058-12586068(-) CTATAATGGCT >hg38_chr4:12586108-12586118(+) ATACAATACAC >hg38_chr4:12633263-12633273(+) CCACAATGTGT >hg38_chr4:12729090-12729100(-) TTACAATAGAA >hg38_chr4:12846456-12846466(-) GAACAATGTGA >hg38_chr4:12876617-12876627(+) ctacaaaggac >hg38_chr4:12924471-12924481(-) ACACAATGGGT >hg38_chr4:12927473-12927483(+) AAACAATGGTA >hg38_chr4:12994166-12994176(-) ggacaatgggg >hg38_chr4:13015515-13015525(+) atacaatgata >hg38_chr4:13015541-13015551(-) tgacaatgtag >hg38_chr4:13041240-13041250(-) GAACAATAAAC >hg38_chr4:13041439-13041449(-) TAACAATGGAG >hg38_chr4:13059152-13059162(-) ccacaatgagc >hg38_chr4:13059497-13059507(-) acacaatagag >hg38_chr4:13059526-13059536(-) caataatggga >hg38_chr4:13059569-13059579(-) tcacaatagct >hg38_chr4:13089838-13089848(+) GAACAATGGCC >hg38_chr4:13240702-13240712(-) GTACAATGAAG >hg38_chr4:13242478-13242488(-) GGACAATGATG >hg38_chr4:13253728-13253738(+) atacaatgaga >hg38_chr4:13361999-13362009(+) tgacaatgcct >hg38_chr4:13400221-13400231(-) agacaataggg >hg38_chr4:13433795-13433805(-) tcataatggga >hg38_chr4:13441154-13441164(+) TCACAAAGGAA >hg38_chr4:13450642-13450652(+) aaacaatatat >hg38_chr4:13465017-13465027(-) caacaatggca >hg38_chr4:13474063-13474073(+) TAACAATAACA >hg38_chr4:13475054-13475064(+) TTACAATGCTT >hg38_chr4:13500381-13500391(-) ATACAGTGGAG >hg38_chr4:13541708-13541718(-) TGACAATGTTG >hg38_chr4:13586498-13586508(+) TCACAAAGGAA >hg38_chr4:13606020-13606030(+) AAATAATGGGC >hg38_chr4:13606044-13606054(-) GCACAAAGGTC >hg38_chr4:13706160-13706170(+) acacaatagaa >hg38_chr4:13727598-13727608(-) GAACAATGGAA >hg38_chr4:13790230-13790240(-) taacaataatc >hg38_chr4:13791508-13791518(-) caacaatgcta >hg38_chr4:13792102-13792112(-) aaacaatgcaa >hg38_chr4:13835312-13835322(-) ctacaatagca >hg38_chr4:13845412-13845422(-) GAACAAAGGGG >hg38_chr4:13845429-13845439(-) AGACAAAGGTC >hg38_chr4:13859840-13859850(-) GAACAATGGAG >hg38_chr4:13865381-13865391(+) gaacaatgtta >hg38_chr4:13902450-13902460(+) GCACAAAGGAA >hg38_chr4:13902463-13902473(+) ATACAGTGGAC >hg38_chr4:13902479-13902489(-) TCACAATGTGT >hg38_chr4:13920868-13920878(-) agacaatggtt >hg38_chr4:13921068-13921078(+) ACACAATGTCT >hg38_chr4:13924533-13924543(-) CTACAATAGAT >hg38_chr4:13969891-13969901(+) ccacaatgcac >hg38_chr4:13980527-13980537(-) ggacaatgaaa >hg38_chr4:14046856-14046866(+) TTACAATGGAG >hg38_chr4:14065135-14065145(+) tcataatggga >hg38_chr4:14095428-14095438(+) ACACAATGACT >hg38_chr4:14115308-14115318(-) AGACAAAGGAG >hg38_chr4:14119768-14119778(-) CAACAATGGGG >hg38_chr4:14266967-14266977(+) tgacaatgtct >hg38_chr4:14291096-14291106(+) taacaataaaa >hg38_chr4:14293723-14293733(+) GAACAATGGGA >hg38_chr4:14305369-14305379(-) acacaatgtat >hg38_chr4:14370871-14370881(+) GGACAATGGAT >hg38_chr4:14372422-14372432(-) caacaatgtaa >hg38_chr4:14384574-14384584(+) TAACAAAGGAT >hg38_chr4:14410687-14410697(-) GAATAATGGCT >hg38_chr4:14410698-14410708(+) AAACAATGCCC >hg38_chr4:14445130-14445140(-) aaataatgcgt >hg38_chr4:14445178-14445188(-) taacaataagt >hg38_chr4:14602415-14602425(+) GAACAATAGAC >hg38_chr4:14681956-14681966(+) gaacaaTAGCA >hg38_chr4:14682023-14682033(-) atacaATGAGG >hg38_chr4:14716848-14716858(-) CAACAATGGGA >hg38_chr4:14789285-14789295(+) gaacaataaca >hg38_chr4:14790571-14790581(+) taacaaaggtg >hg38_chr4:14902898-14902908(-) GTACAATAGAC >hg38_chr4:14918517-14918527(+) AAACAAAGGAT >hg38_chr4:14918524-14918534(-) ATACAATATCC >hg38_chr4:14935803-14935813(+) GAATAATGGGG >hg38_chr4:14974352-14974362(+) gaacaatgaaa >hg38_chr4:14988762-14988772(+) aaacaataaaa >hg38_chr4:14994116-14994126(+) TAACAATGCTA >hg38_chr4:15002414-15002424(-) TCACAATCGCG >hg38_chr4:15072049-15072059(+) taacaatgttt >hg38_chr4:15117806-15117816(-) CCACAATGCGG >hg38_chr4:15122419-15122429(-) TAACAAtgtca >hg38_chr4:15126004-15126014(-) GCACAATGCCT >hg38_chr4:15147900-15147910(+) GGACAATGGCC >hg38_chr4:15150137-15150147(-) AGACAAAGGAT >hg38_chr4:15158387-15158397(-) gtataatggta >hg38_chr4:15168216-15168226(-) GAACAATGGAG >hg38_chr4:15184748-15184758(-) TAACAATGTAC >hg38_chr4:15255710-15255720(-) gtacaatgccg >hg38_chr4:15318810-15318820(+) ggacaatagaa >hg38_chr4:15318842-15318852(-) gaacaatgcca >hg38_chr4:15371888-15371898(+) TAACAATGTCT >hg38_chr4:15396450-15396460(-) TAACACTGGAA >hg38_chr4:15409907-15409917(-) GGACAATGGAG >hg38_chr4:15418425-15418435(-) ggacaatgggt >hg38_chr4:15420702-15420712(+) ggacaatgatg >hg38_chr4:15420895-15420905(-) caacaatgact >hg38_chr4:15420946-15420956(-) aaacaatgtcc >hg38_chr4:15490387-15490397(+) atacaatatgt >hg38_chr4:15525539-15525549(-) CAACAATGGAG >hg38_chr4:15543961-15543971(+) TAACAACGGAT >hg38_chr4:15582002-15582012(-) ttactatggcc >hg38_chr4:15594538-15594548(+) tgacaatggca >hg38_chr4:15625486-15625496(+) GCACAATGCTG >hg38_chr4:15625523-15625533(+) CAACAATGTCC >hg38_chr4:15648874-15648884(+) acacaaagggt >hg38_chr4:15652460-15652470(+) taacaaaggtg >hg38_chr4:15655698-15655708(+) GCACAATGGCC >hg38_chr4:15668760-15668770(-) taacaatagtg >hg38_chr4:15670146-15670156(-) atacaatggaa >hg38_chr4:15678419-15678429(-) TTACAATGCCA >hg38_chr4:15682249-15682259(+) AAACAAAGGGA >hg38_chr4:15690203-15690213(-) ACACAATATAC >hg38_chr4:15690217-15690227(+) ATACAATGTAG >hg38_chr4:15763989-15763999(-) caacaaaggta >hg38_chr4:15799593-15799603(-) acacaatagac >hg38_chr4:15804311-15804321(-) caacaatgtgt >hg38_chr4:15859648-15859658(+) ccacaatgagg >hg38_chr4:15885614-15885624(-) acacaatgaag >hg38_chr4:15906360-15906370(+) ATACAAAGGGc >hg38_chr4:15956418-15956428(+) ATACAATACGT >hg38_chr4:16009122-16009132(-) TAACAAAGGTA >hg38_chr4:16025571-16025581(+) GAACAATGTTC >hg38_chr4:16032559-16032569(+) AAACAGTGGCG >hg38_chr4:16032589-16032599(+) GAACAATAACC >hg38_chr4:16067667-16067677(+) CGACAATGCTG >hg38_chr4:16143434-16143444(+) atacaaaggaa >hg38_chr4:16169425-16169435(+) GCACAATGTTA >hg38_chr4:16169812-16169822(+) aaacaatgtga >hg38_chr4:16192814-16192824(+) TGACAATGTTC >hg38_chr4:16193018-16193028(+) TCACAATGATG >hg38_chr4:16193076-16193086(+) CTACAATGTGC >hg38_chr4:16196800-16196810(+) GGACAATAAAA >hg38_chr4:16198439-16198449(-) TCACAATGCTG >hg38_chr4:16248911-16248921(-) AAACAATGCCT >hg38_chr4:16250839-16250849(+) AGACAGTGGAA >hg38_chr4:16282085-16282095(+) AAACAATGCTG >hg38_chr4:16302941-16302951(+) AGATAATGGAA >hg38_chr4:16338097-16338107(+) TAACAATGAGC >hg38_chr4:16365051-16365061(-) gtacaatggag >hg38_chr4:16375144-16375154(-) agacaatgtga >hg38_chr4:16450199-16450209(+) AGACAATGGTC >hg38_chr4:16451814-16451824(-) CAACAATGGCT >hg38_chr4:16465738-16465748(+) GAACAAAGGGT >hg38_chr4:16536519-16536529(+) AGACAAAGGAC >hg38_chr4:16536570-16536580(-) ACACAATGCCT >hg38_chr4:16536592-16536602(+) GTACTATGGAA >hg38_chr4:16580733-16580743(-) TAACAATAGAG >hg38_chr4:16597995-16598005(-) TCACAAAGGAA >hg38_chr4:16659870-16659880(+) ttacaaagGCT >hg38_chr4:16678971-16678981(+) caacaATAGAG >hg38_chr4:16679012-16679022(-) TAACAATGAGG >hg38_chr4:16680812-16680822(+) CTACAATGCAA >hg38_chr4:16695023-16695033(-) AAACAATAGGC >hg38_chr4:16710750-16710760(-) TAACAATAAAG >hg38_chr4:16711044-16711054(+) CAACAATGCTA >hg38_chr4:16713572-16713582(-) acacaatgatt >hg38_chr4:16732302-16732312(+) GGACAATGCTC >hg38_chr4:16732325-16732335(+) TAACAATGCAT >hg38_chr4:16748325-16748335(+) GCATAATGGTT >hg38_chr4:16757379-16757389(+) CAACAATGGCT >hg38_chr4:16775915-16775925(-) GAACAATGTGC >hg38_chr4:16790092-16790102(+) CTACAATGAAT >hg38_chr4:16817421-16817431(-) AAACAAAGGGC >hg38_chr4:16826543-16826553(-) AGACAATGTCT >hg38_chr4:16845151-16845161(-) GAACAAAGGCC >hg38_chr4:16846241-16846251(-) AGACAATGTAA >hg38_chr4:16846757-16846767(-) ATACAAAGGAG >hg38_chr4:16872467-16872477(-) atacaataaac >hg38_chr4:16872918-16872928(-) CGACAATGATC >hg38_chr4:16908833-16908843(+) TAACaataaca >hg38_chr4:16908839-16908849(+) taacaatacta >hg38_chr4:16909506-16909516(+) AGACAATCGTT >hg38_chr4:16909520-16909530(+) TGACAAAGGAA >hg38_chr4:16927352-16927362(+) ttacaaaggcc >hg38_chr4:16942331-16942341(-) TGACAATGTGG >hg38_chr4:16981594-16981604(-) gtacaatgtta >hg38_chr4:16982111-16982121(-) GGACAATAACA >hg38_chr4:17006703-17006713(+) CAACAATGGCT >hg38_chr4:17018360-17018370(+) AGACAATAGCT >hg38_chr4:17040210-17040220(-) TAACAATGGCA >hg38_chr4:17094525-17094535(+) ATACAAAGGGC >hg38_chr4:17126968-17126978(+) ACACAATAGTT >hg38_chr4:17142350-17142360(-) ttacaatgctt >hg38_chr4:17142393-17142403(-) ccacaatgacc >hg38_chr4:17153298-17153308(+) aaacaataaac >hg38_chr4:17156529-17156539(-) GAACAAAGGAA >hg38_chr4:17168288-17168298(-) TCACAATAGCT >hg38_chr4:17175886-17175896(-) TTACAATGAAT >hg38_chr4:17176965-17176975(+) gaacaatggcc >hg38_chr4:17235460-17235470(-) GCACAATGCTT >hg38_chr4:17235486-17235496(+) TTATAATGGAG >hg38_chr4:17242129-17242139(+) TAACAATGTAC >hg38_chr4:17304444-17304454(+) taataatggcc >hg38_chr4:17379917-17379927(-) caacaatagaa >hg38_chr4:17544475-17544485(-) TGACAATGACT >hg38_chr4:17698785-17698795(+) ACACaatgaag >hg38_chr4:17699890-17699900(+) atacaatatat >hg38_chr4:17699904-17699914(-) gtacaatagtt >hg38_chr4:17699920-17699930(+) acacaaagggt >hg38_chr4:17802847-17802857(+) CAACAATGGCT >hg38_chr4:17806826-17806836(+) agacaatagtc >hg38_chr4:17817225-17817235(-) GAACAAAGGCT >hg38_chr4:17823783-17823793(-) CAACAATGAGG >hg38_chr4:17824552-17824562(-) AGACAATGCAT >hg38_chr4:17836627-17836637(-) aaacaatgaag >hg38_chr4:17836662-17836672(-) AGACAAAGGAA >hg38_chr4:17845381-17845391(+) TTACAATACTA >hg38_chr4:17845402-17845412(+) TCACAATGTGT >hg38_chr4:17864709-17864719(+) atacaaaggca >hg38_chr4:17883322-17883332(-) TTATAATGGAA >hg38_chr4:17889272-17889282(+) AAACAATGACC >hg38_chr4:17918204-17918214(+) caacaatgaca >hg38_chr4:17941623-17941633(+) TAATAATGGCA >hg38_chr4:17963265-17963275(+) GAACAATGAGC >hg38_chr4:17963298-17963308(+) CAACAATAGGG >hg38_chr4:17976048-17976058(-) acacaatagaa >hg38_chr4:17977327-17977337(-) taacaataact >hg38_chr4:17987418-17987428(-) aaacagtggac >hg38_chr4:17997383-17997393(+) gaacaatatta >hg38_chr4:17997395-17997405(-) atacaaaggca >hg38_chr4:18001215-18001225(+) AGacaatgcct >hg38_chr4:18002211-18002221(+) aaacaatgaac >hg38_chr4:18002219-18002229(-) gaacagtggtt >hg38_chr4:18007496-18007506(-) CGATAATAGAA >hg38_chr4:18022239-18022249(-) CTACAATGACC >hg38_chr4:18124124-18124134(-) taacaatgaca >hg38_chr4:18150608-18150618(+) GCATAATGGGT >hg38_chr4:18197196-18197206(+) taacaaaggtg >hg38_chr4:18207861-18207871(-) aaacaatagac >hg38_chr4:18249582-18249592(+) AAACAATGAGC >hg38_chr4:18249628-18249638(+) TGACAAAGGAC >hg38_chr4:18388291-18388301(+) CAACAATGTGC >hg38_chr4:18390976-18390986(-) TGATAATGGGT >hg38_chr4:18474723-18474733(-) TGACAAAGGAT >hg38_chr4:18541345-18541355(+) GAACAATAGTG >hg38_chr4:18637138-18637148(+) TGACAATAGGA >hg38_chr4:18645742-18645752(+) gtacaatattt >hg38_chr4:18645770-18645780(-) GAACAATAAAT >hg38_chr4:18673427-18673437(+) gaacaatggtg >hg38_chr4:18690769-18690779(+) GCACAATGGAG >hg38_chr4:18698270-18698280(+) ataccatggaa >hg38_chr4:18698293-18698303(+) aaacaatggtg >hg38_chr4:18699803-18699813(-) TAACAATTGTA >hg38_chr4:18799528-18799538(+) TTACAATGGAG >hg38_chr4:18815568-18815578(+) caacaatgggg >hg38_chr4:18854971-18854981(-) ctacaatgcac >hg38_chr4:18931864-18931874(-) GAACAATAAGT >hg38_chr4:18932279-18932289(-) TAACAATGGCT >hg38_chr4:18934384-18934394(-) ATACAATATAT >hg38_chr4:19010864-19010874(+) TCACAATGCCA >hg38_chr4:19010911-19010921(-) AAACAATGGCA >hg38_chr4:19013470-19013480(-) TCACAATGACT >hg38_chr4:19013490-19013500(+) GAACAATACAC >hg38_chr4:19026452-19026462(-) AAACAATGCCC >hg38_chr4:19026463-19026473(-) TGACAATGTCT >hg38_chr4:19038382-19038392(-) TAACAAAGGCT >hg38_chr4:19051992-19052002(+) GAACAATGTGG >hg38_chr4:19076430-19076440(-) GGACAAAGGAT >hg38_chr4:19077646-19077656(+) atacaatacat >hg38_chr4:19095709-19095719(+) CAACAATAGCT >hg38_chr4:19108264-19108274(-) ctacaatagca >hg38_chr4:19129561-19129571(-) gtacaatgtta >hg38_chr4:19224272-19224282(+) ctacaatggac >hg38_chr4:19235908-19235918(-) ttacaatgatt >hg38_chr4:19266704-19266714(+) TAACAATGCAG >hg38_chr4:19319811-19319821(+) gaacagtggga >hg38_chr4:19369693-19369703(+) GGACAATAGCT >hg38_chr4:19369895-19369905(+) AAACAATGACA >hg38_chr4:19369913-19369923(+) TAACAATGTTA >hg38_chr4:19376718-19376728(-) aaacaataaca >hg38_chr4:19383124-19383134(+) TCACAATGGCT >hg38_chr4:19406301-19406311(+) AAACAATAAGT >hg38_chr4:19462300-19462310(-) ACACAATGAGT >hg38_chr4:19462615-19462625(+) ATACAATGTTA >hg38_chr4:19482189-19482199(-) gcacaatggac >hg38_chr4:19482366-19482376(-) ATACAATACTC >hg38_chr4:19488317-19488327(+) taacaatgaca >hg38_chr4:19499038-19499048(-) GCACAATGCAA >hg38_chr4:19526691-19526701(-) taacaattgta >hg38_chr4:19526727-19526737(-) atataatgata >hg38_chr4:19532184-19532194(+) CAACAATAGGT >hg38_chr4:19532748-19532758(-) GGACAAAGGAA >hg38_chr4:19598735-19598745(+) TTACAATGGAA >hg38_chr4:19598751-19598761(+) ATACAATATGC >hg38_chr4:19603953-19603963(-) agacaaagggc >hg38_chr4:19665360-19665370(+) caacaatggtt >hg38_chr4:19677587-19677597(-) AGACAATGGAG >hg38_chr4:19689645-19689655(-) TGACAATGGAC >hg38_chr4:19712166-19712176(-) ATACAAAGGGA >hg38_chr4:19724268-19724278(+) TCACAATAGCT >hg38_chr4:19724284-19724294(+) AAACAATGGGG >hg38_chr4:19730367-19730377(-) TTACTATGGTG >hg38_chr4:19737700-19737710(-) aaacaaaggtt >hg38_chr4:19739081-19739091(+) GAACAATGTCA >hg38_chr4:19770238-19770248(-) ACACAAAGGGA >hg38_chr4:19770271-19770281(-) AAACAAAGGGG >hg38_chr4:19802451-19802461(-) GTACAATATAT >hg38_chr4:19842727-19842737(+) ATACAATGGGC >hg38_chr4:19871053-19871063(+) CTACAATGTTT >hg38_chr4:19913051-19913061(-) CAACAATGTCT >hg38_chr4:19913460-19913470(+) atacaatatac >hg38_chr4:19943560-19943570(-) AAACAATGAAT >hg38_chr4:19945732-19945742(+) ggataatggtc >hg38_chr4:19968874-19968884(-) atacaaaggat >hg38_chr4:19992011-19992021(+) CTACAATATAA >hg38_chr4:19992062-19992072(-) tGACAATGAAT >hg38_chr4:20006142-20006152(+) ATATAATGGAG >hg38_chr4:20029824-20029834(-) GAACAATGGAC >hg38_chr4:20042089-20042099(+) CAATAATGGAA >hg38_chr4:20096550-20096560(-) CAACAATAGAT >hg38_chr4:20097479-20097489(+) gtacaataaaa >hg38_chr4:20130638-20130648(-) taacaatgtat >hg38_chr4:20186788-20186798(+) AAACAATGGCA >hg38_chr4:20203872-20203882(+) tgactatggac >hg38_chr4:20208602-20208612(+) ttacaatgatg >hg38_chr4:20214129-20214139(+) AAACAATGATT >hg38_chr4:20252269-20252279(-) AAACAATAGGA >hg38_chr4:20257403-20257413(-) GCACAATGGTC >hg38_chr4:20259929-20259939(-) TAACAATGTGC >hg38_chr4:20260260-20260270(+) AGACAATGTGA >hg38_chr4:20277597-20277607(-) CTACAGTGGTA >hg38_chr4:20277608-20277618(-) AAACAATGTAA >hg38_chr4:20283275-20283285(+) ACACAATGAGG >hg38_chr4:20284491-20284501(+) GAACAAAGGAA >hg38_chr4:20285119-20285129(-) AGATAATGGCC >hg38_chr4:20311961-20311971(+) ACATAATGGAA >hg38_chr4:20311969-20311979(+) GAATAATGGTG >hg38_chr4:20318172-20318182(-) CAACAATGTAA >hg38_chr4:20347767-20347777(-) ACACAATGTGT >hg38_chr4:20351751-20351761(-) ACACAATAGGT >hg38_chr4:20351767-20351777(+) AAACAATAGTG >hg38_chr4:20354274-20354284(-) CAACAATAGAG >hg38_chr4:20356733-20356743(-) AAACAATGGCT >hg38_chr4:20359374-20359384(+) TTACAAAGGGC >hg38_chr4:20371707-20371717(-) GTATAATGGTG >hg38_chr4:20378344-20378354(+) TAACAATGTGA >hg38_chr4:20391480-20391490(-) GAACAATATAG >hg38_chr4:20395424-20395434(+) GAACAAAGGAT >hg38_chr4:20396711-20396721(-) ATACAATATAC >hg38_chr4:20405982-20405992(+) GGACAATAGTA >hg38_chr4:20406845-20406855(+) aaacaatgtac >hg38_chr4:20406896-20406906(+) aaacaatgtaa >hg38_chr4:20406916-20406926(+) caacaatgaaa >hg38_chr4:20414295-20414305(-) taacaatgaca >hg38_chr4:20506996-20507006(-) GTACCATGGAC >hg38_chr4:20507008-20507018(-) GTACAATGACA >hg38_chr4:20516271-20516281(-) GAACAATAGGG >hg38_chr4:20516330-20516340(-) GTACAATATAC >hg38_chr4:20516912-20516922(+) TAACAATAGCA >hg38_chr4:20517347-20517357(-) caacaatagag >hg38_chr4:20525265-20525275(+) GTACAGTGGAT >hg38_chr4:20533544-20533554(-) GAACAAAGGTT >hg38_chr4:20549287-20549297(-) GGACAATGATA >hg38_chr4:20549312-20549322(+) GGACAATATAA >hg38_chr4:20565330-20565340(-) GAACAAAGGCG >hg38_chr4:20565442-20565452(-) TAACAATAAAT >hg38_chr4:20589569-20589579(+) TGACAATGACA >hg38_chr4:20611223-20611233(-) AGATAATGGTG >hg38_chr4:20618181-20618191(-) AGACAAAGGAG >hg38_chr4:20618660-20618670(+) TTACAAAGGGG >hg38_chr4:20685293-20685303(+) TGACAATAGAA >hg38_chr4:20698200-20698210(+) ggacaaaggga >hg38_chr4:20700270-20700280(-) CGACAAAGGGG >hg38_chr4:20832945-20832955(-) AAACAATATAA >hg38_chr4:20846834-20846844(+) acacaatataa >hg38_chr4:20848999-20849009(-) AAACAGTGGTA >hg38_chr4:20889992-20890002(+) ATACAGTGGCT >hg38_chr4:20892502-20892512(-) gaacaatgaga >hg38_chr4:20901106-20901116(+) TAACAATGATA >hg38_chr4:20987366-20987376(-) TTACAATGTAA >hg38_chr4:21057854-21057864(-) TAACAATGAGA >hg38_chr4:21217477-21217487(+) CAACAATGGTG >hg38_chr4:21312118-21312128(+) GAACAATAGAC >hg38_chr4:21381064-21381074(-) GGACAATGACA >hg38_chr4:21436926-21436936(-) AAACGATGGCa >hg38_chr4:21509577-21509587(-) ctataatgggt >hg38_chr4:21509600-21509610(-) GGATAAtggct >hg38_chr4:21513028-21513038(-) Taacaatgtaa >hg38_chr4:21744306-21744316(-) AGACAAAGGAC >hg38_chr4:21745413-21745423(-) GAACAATAACC >hg38_chr4:21745429-21745439(+) AGACAATGAAC >hg38_chr4:21748368-21748378(+) AGACAAAGGAA >hg38_chr4:21749406-21749416(-) GAACAATGTAA >hg38_chr4:21763480-21763490(+) CAACAATGTCT >hg38_chr4:21768526-21768536(-) AAACAAAGGTA >hg38_chr4:21768535-21768545(+) TTACAAAGGAT >hg38_chr4:21769429-21769439(+) TAACAATAGAC >hg38_chr4:21790817-21790827(-) TTATAATGGCT >hg38_chr4:21802607-21802617(-) gtacaatgtag >hg38_chr4:21802625-21802635(-) CAACAATGAGT >hg38_chr4:21828382-21828392(+) taacaatgtaa >hg38_chr4:21829278-21829288(-) atacaatggtt >hg38_chr4:21855150-21855160(-) GAACAATAGAC >hg38_chr4:21887643-21887653(-) TGACAATGACT >hg38_chr4:21891542-21891552(-) ATACACTGGTA >hg38_chr4:21901954-21901964(+) CAACAATAGAT >hg38_chr4:21912233-21912243(+) TGACTATGGTA >hg38_chr4:21912980-21912990(-) ccacaatagcc >hg38_chr4:21913000-21913010(+) taataatggtg >hg38_chr4:21929480-21929490(+) GTACAATAGTG >hg38_chr4:21929512-21929522(-) GCACAATAGAA >hg38_chr4:21962954-21962964(+) GGATAATGGTG >hg38_chr4:22012048-22012058(+) TAACAAAGGAA >hg38_chr4:22090955-22090965(-) AGACAGTGGAA >hg38_chr4:22130330-22130340(+) GGATAATGGGA >hg38_chr4:22131588-22131598(+) GAACAAAGGTT >hg38_chr4:22187451-22187461(+) TGACAAAGGAA >hg38_chr4:22192099-22192109(+) TGATAATGGCC >hg38_chr4:22268782-22268792(+) gcacaatggga >hg38_chr4:22300073-22300083(+) AAACAATGCCA >hg38_chr4:22327517-22327527(+) TTACAATAACA >hg38_chr4:22366052-22366062(+) taacaatattc >hg38_chr4:22373171-22373181(-) agacaatagag >hg38_chr4:22396973-22396983(+) ACACAATGAAG >hg38_chr4:22440797-22440807(+) gtacaatgtga >hg38_chr4:22440862-22440872(+) taacaATGAAT >hg38_chr4:22474313-22474323(+) TAACAATATAC >hg38_chr4:22474856-22474866(+) TCACAATGGGT >hg38_chr4:22474890-22474900(+) AGACAATGCAA >hg38_chr4:22492757-22492767(+) ATACAATGTAA >hg38_chr4:22499162-22499172(+) ATATAATGGTA >hg38_chr4:22500358-22500368(+) agacaatacgg >hg38_chr4:22501617-22501627(-) TTACAATGGAA >hg38_chr4:22515154-22515164(-) ACACAATGCTT >hg38_chr4:22522342-22522352(-) ggacaatatat >hg38_chr4:22578644-22578654(+) gtacaatgtga >hg38_chr4:22616351-22616361(-) ttacaatatac >hg38_chr4:22616391-22616401(-) aaacaatgagg >hg38_chr4:22616409-22616419(-) atacaatgcag >hg38_chr4:22655395-22655405(-) tcataatgggt >hg38_chr4:22675709-22675719(+) ACACAATAAAA >hg38_chr4:22721224-22721234(-) GCACAAAGGAA >hg38_chr4:22721264-22721274(-) TAACAATGCCT >hg38_chr4:22808391-22808401(-) gcacaataggt >hg38_chr4:22815055-22815065(+) TAACAATGAAA >hg38_chr4:22842513-22842523(-) GAACAATGCCT >hg38_chr4:22842569-22842579(-) ATACAATGCCC >hg38_chr4:22884293-22884303(-) tcacaatggca >hg38_chr4:22911934-22911944(-) ACACAATGCAA >hg38_chr4:22969594-22969604(-) ATACAATGATG >hg38_chr4:23048761-23048771(+) AAACAATGAAG >hg38_chr4:23048808-23048818(+) GAACAAAGGCA >hg38_chr4:23091635-23091645(-) AGACAATGAGA >hg38_chr4:23136009-23136019(-) AGACAATGAGA >hg38_chr4:23136426-23136436(+) AAACAATAGGC >hg38_chr4:23161639-23161649(-) AAACAAAGGAT >hg38_chr4:23166594-23166604(-) ACACAATGGTC >hg38_chr4:23192410-23192420(-) ggacaatgaag >hg38_chr4:23220343-23220353(-) agacaatagtt >hg38_chr4:23268835-23268845(+) ACATAATGGTT >hg38_chr4:23268848-23268858(-) CTACAATGATT >hg38_chr4:23279890-23279900(-) AAACAAAGGAA >hg38_chr4:23279908-23279918(+) GCACAATGAAA >hg38_chr4:23326105-23326115(+) AGACAATGCCG >hg38_chr4:23327089-23327099(+) GGACAATAAAA >hg38_chr4:23369386-23369396(-) GGACAATGTGA >hg38_chr4:23399758-23399768(+) gaacaaaggac >hg38_chr4:23504195-23504205(-) gcacaatggga >hg38_chr4:23540316-23540326(-) AGACAATGGAG >hg38_chr4:23572091-23572101(-) taacaaaggcc >hg38_chr4:23572124-23572134(+) tcacaatgatt >hg38_chr4:23651344-23651354(+) AGACtatggta >hg38_chr4:23654403-23654413(+) atacaatagct >hg38_chr4:23676916-23676926(+) TGACAATGGTG >hg38_chr4:23697335-23697345(+) GTACAAAGGAG >hg38_chr4:23697383-23697393(-) GAACAAAGGAG >hg38_chr4:23776370-23776380(+) AGACAATGACA >hg38_chr4:23806013-23806023(+) TTATAATGGAT >hg38_chr4:23811535-23811545(-) cgataatggaa >hg38_chr4:23815750-23815760(+) TTACAATGGAG >hg38_chr4:23861539-23861549(-) GGATAATGGCT >hg38_chr4:23869289-23869299(+) GTACAAAGGAT >hg38_chr4:23877118-23877128(-) CCACAATGAAA >hg38_chr4:23877773-23877783(+) TAACAATGTGG >hg38_chr4:23887377-23887387(+) GGACAATGCCA >hg38_chr4:23889000-23889010(-) GCACAATGGAA >hg38_chr4:23891192-23891202(+) ACACAGTGGAA >hg38_chr4:23899373-23899383(-) ACACAATGCAG >hg38_chr4:23921574-23921584(+) CGACAATAGAA >hg38_chr4:23922801-23922811(-) GTACAATAGTG >hg38_chr4:23933221-23933231(-) TAACAAAGGAA >hg38_chr4:23996245-23996255(-) TTATAATGGGG >hg38_chr4:24013909-24013919(+) acacaaaggga >hg38_chr4:24025638-24025648(+) ACACAATGTCA >hg38_chr4:24030148-24030158(+) ACACAATGGTG >hg38_chr4:24056380-24056390(-) CAACAATGGGA >hg38_chr4:24091977-24091987(-) GAACAATGCAA >hg38_chr4:24107332-24107342(+) gtacaatagta >hg38_chr4:24212837-24212847(+) CAACAATGGTT >hg38_chr4:24232793-24232803(-) ggacaatgccc >hg38_chr4:24261162-24261172(-) GAACAATGGAA >hg38_chr4:24316372-24316382(+) GCACAATGTCC >hg38_chr4:24316395-24316405(-) TAACAATGCAA >hg38_chr4:24321229-24321239(+) AAACAAAGGTC >hg38_chr4:24367880-24367890(+) GGACAATCGCT >hg38_chr4:24419006-24419016(+) GGACAATAGTA >hg38_chr4:24450291-24450301(-) ggacaatgtgt >hg38_chr4:24474699-24474709(-) GAACAATGGAG >hg38_chr4:24474711-24474721(-) AAACAATAGAG >hg38_chr4:24493963-24493973(+) TGACAATAGCT >hg38_chr4:24510969-24510979(+) TCACAATGTGT >hg38_chr4:24515892-24515902(+) GGACAATGAAG >hg38_chr4:24528603-24528613(+) GCACAATATAA >hg38_chr4:24529427-24529437(+) TAACAAAGGAA >hg38_chr4:24533459-24533469(-) GAACAATACAC >hg38_chr4:24538245-24538255(+) AGATAATGGGA >hg38_chr4:24549462-24549472(-) GCACAATGAAG >hg38_chr4:24549744-24549754(+) acacaatggga >hg38_chr4:24550805-24550815(+) taacaatgtcc >hg38_chr4:24550819-24550829(-) taataatgata >hg38_chr4:24564768-24564778(+) TTACAATGACA >hg38_chr4:24583026-24583036(+) CTACAATGACA >hg38_chr4:24658203-24658213(-) AAACAATAGTC >hg38_chr4:24659534-24659544(-) TGACAATGCTC >hg38_chr4:24659575-24659585(-) AAACAAAGGCA >hg38_chr4:24662327-24662337(-) TCACAATGTTC >hg38_chr4:24689341-24689351(+) aaacaatgcag >hg38_chr4:24704354-24704364(+) GAACAATGCCG >hg38_chr4:24736210-24736220(-) ctacaatagct >hg38_chr4:24744556-24744566(-) TAACAATGCAT >hg38_chr4:24783813-24783823(+) tgacaatagga >hg38_chr4:24784328-24784338(-) tgacaatggca >hg38_chr4:24800807-24800817(-) ACACAAAGGTT >hg38_chr4:24821070-24821080(-) CTACAATGTTT >hg38_chr4:24911186-24911196(-) TAACAATAGGC >hg38_chr4:24925655-24925665(-) aaacaataaga >hg38_chr4:25064707-25064717(+) ctacaaaggta >hg38_chr4:25068724-25068734(+) TAACAATGCCC >hg38_chr4:25070798-25070808(-) atacaatgtgg >hg38_chr4:25097344-25097354(+) AAACAATGACA >hg38_chr4:25115970-25115980(-) taacaataatg >hg38_chr4:25115994-25116004(+) tgataatggta >hg38_chr4:25121280-25121290(-) ACACAATGTGT >hg38_chr4:25121478-25121488(+) GTACAAAGATA >hg38_chr4:25121487-25121497(+) TAACAAAGGCC >hg38_chr4:25133043-25133053(+) gtacaatgaat >hg38_chr4:25173601-25173611(-) GGACAATGCTG >hg38_chr4:25230781-25230791(+) aaacaatgaag >hg38_chr4:25230798-25230808(+) ggacaatagaa >hg38_chr4:25266878-25266888(+) gcacaatgcca >hg38_chr4:25316071-25316081(+) atacaatattt >hg38_chr4:25367722-25367732(-) GCACTATGGAC >hg38_chr4:25440567-25440577(-) CAACAATGGAA >hg38_chr4:25481814-25481824(+) TGACAATGTAT >hg38_chr4:25486345-25486355(+) TTACAATAGGT >hg38_chr4:25524812-25524822(-) AGACAATAGTC >hg38_chr4:25535690-25535700(-) GGACAATAGAG >hg38_chr4:25535722-25535732(-) GCACAAAGGAA >hg38_chr4:25541171-25541181(+) AAATAATGGCC >hg38_chr4:25553179-25553189(-) GAACAAAGGGA >hg38_chr4:25567207-25567217(-) AAACAATGATA >hg38_chr4:25567510-25567520(+) aaacaatgctt >hg38_chr4:25575806-25575816(-) tgactatggga >hg38_chr4:25578576-25578586(-) TAACAATAGTG >hg38_chr4:25586002-25586012(+) AAACAATGGGT >hg38_chr4:25590375-25590385(+) ACACAAaggta >hg38_chr4:25625827-25625837(-) gtacaatggca >hg38_chr4:25659443-25659453(+) ACACAGTGGAA >hg38_chr4:25659508-25659518(-) GAACAATAAAC >hg38_chr4:25668026-25668036(-) GAACAATAGAG >hg38_chr4:25795724-25795734(-) GAACTATGGAG >hg38_chr4:25795743-25795753(+) CTACAATAGCA >hg38_chr4:25822105-25822115(+) TTATAATGGAA >hg38_chr4:25856992-25857002(+) AAACAATAACT >hg38_chr4:25891303-25891313(-) GGACAATGGAA >hg38_chr4:25953867-25953877(-) GCACAATGGTT >hg38_chr4:25965679-25965689(-) GAACAAAGGGA >hg38_chr4:25971284-25971294(-) tcacaatgagt >hg38_chr4:25991591-25991601(-) ACACAATGGGG >hg38_chr4:25991599-25991609(-) TTACAATGACA >hg38_chr4:25993673-25993683(-) TGACAATAGGG >hg38_chr4:26005605-26005615(+) aaacaatgttg >hg38_chr4:26005663-26005673(-) TTACAATAAAT >hg38_chr4:26030407-26030417(+) gaataatggtc >hg38_chr4:26074936-26074946(-) GTACAATGAAA >hg38_chr4:26074959-26074969(+) GAACAATAATT >hg38_chr4:26076195-26076205(-) TGACAATGGGA >hg38_chr4:26088230-26088240(-) CTACAATGATA >hg38_chr4:26141575-26141585(+) atacaacgtat >hg38_chr4:26157736-26157746(+) ggacaatggca >hg38_chr4:26239460-26239470(-) TGACAATGTAA >hg38_chr4:26298224-26298234(-) CAACAATAGCA >hg38_chr4:26330699-26330709(-) AAACAATGCCT >hg38_chr4:26343069-26343079(+) CTACAATGATG >hg38_chr4:26370409-26370419(+) ACACAGTGGTA >hg38_chr4:26370420-26370430(-) TAACAATAATA >hg38_chr4:26380561-26380571(+) TAATAATGTAT >hg38_chr4:26391299-26391309(-) tcacaatggtc >hg38_chr4:26398015-26398025(-) ACACAATAGGC >hg38_chr4:26406552-26406562(+) gaacaatggag >hg38_chr4:26406574-26406584(+) gaacaaaggaa >hg38_chr4:26420322-26420332(+) ATACAATAGAT >hg38_chr4:26420332-26420342(+) TGACAATGTTT >hg38_chr4:26433701-26433711(-) CTACAATGGAC >hg38_chr4:26434275-26434285(-) aaacaataaaa >hg38_chr4:26476346-26476356(+) GAACAATGCTA >hg38_chr4:26482666-26482676(+) GGACAGTGGAT >hg38_chr4:26530169-26530179(+) ttacaataacc >hg38_chr4:26530192-26530202(-) Tgacaatagca >hg38_chr4:26531789-26531799(+) AAACAATGACA >hg38_chr4:26564122-26564132(+) ttacaataata >hg38_chr4:26583459-26583469(+) ggacaatgtct >hg38_chr4:26583937-26583947(+) GAACAACGGTG >hg38_chr4:26602014-26602024(+) GGACAATAAAA >hg38_chr4:26602043-26602053(+) GGACAATGTCT >hg38_chr4:26606945-26606955(-) GAACAAAGGGA >hg38_chr4:26608367-26608377(-) GAACAATGAGC >hg38_chr4:26608376-26608386(-) AAACAATAAGA >hg38_chr4:26623759-26623769(-) CTACTATGGTA >hg38_chr4:26623813-26623823(-) AAACAATAAAA >hg38_chr4:26634353-26634363(+) acacaataaaa >hg38_chr4:26639871-26639881(-) AAACAATGTCA >hg38_chr4:26670121-26670131(-) AGACAATGCTT >hg38_chr4:26686722-26686732(-) AGACAAAGGTA >hg38_chr4:26700750-26700760(-) AGACAATATAA >hg38_chr4:26707144-26707154(-) taacagtggac >hg38_chr4:26728067-26728077(-) TTACAATAAAT >hg38_chr4:26772679-26772689(-) gaacaatgaaa >hg38_chr4:26772749-26772759(+) gaataatggct >hg38_chr4:26785905-26785915(-) TTACAATGGAA >hg38_chr4:26785951-26785961(+) GAATAATGGTT >hg38_chr4:26812593-26812603(-) TTACAATGTGG >hg38_chr4:26864110-26864120(-) TGACAATGGGT >hg38_chr4:26864367-26864377(+) AAACAATAGTT >hg38_chr4:26865019-26865029(-) TCACAATGGAA >hg38_chr4:26865064-26865074(-) GAACAAAGGTC >hg38_chr4:26883422-26883432(-) ATACAATATAA >hg38_chr4:26883489-26883499(+) GCACAATGTCT >hg38_chr4:26887030-26887040(-) TAACAATGAAC >hg38_chr4:26936412-26936422(+) CCACAATAGAA >hg38_chr4:26966452-26966462(-) AGACAATGTCA >hg38_chr4:26987565-26987575(-) GAACAAAGGAG >hg38_chr4:26995461-26995471(+) CAACAATGTCA >hg38_chr4:27005750-27005760(-) GAACAATGTTC >hg38_chr4:27010773-27010783(+) TAACAATATAC >hg38_chr4:27010790-27010800(-) AGACAATATTA >hg38_chr4:27016360-27016370(-) taacaatagct >hg38_chr4:27085464-27085474(+) GAACAATGTCT >hg38_chr4:27092334-27092344(-) ACACAAAGGTT >hg38_chr4:27123589-27123599(-) aaacaatgggg >hg38_chr4:27137817-27137827(+) GAACAATAAAG >hg38_chr4:27137894-27137904(-) TTACAATGATG >hg38_chr4:27142530-27142540(-) cgacaatgaag >hg38_chr4:27206598-27206608(-) AGACAATGGTC >hg38_chr4:27227966-27227976(+) ATACAATGCCA >hg38_chr4:27228322-27228332(-) taacaatgtat >hg38_chr4:27239664-27239674(-) ggacaatgctt >hg38_chr4:27254343-27254353(+) TGACAATGAAC >hg38_chr4:27319422-27319432(-) AGACAATGGGA >hg38_chr4:27326863-27326873(-) ACACAATGGAG >hg38_chr4:27326920-27326930(+) CGACAATATCC >hg38_chr4:27326937-27326947(-) CAACAATGAGG >hg38_chr4:27336604-27336614(-) ATACAATAGAT >hg38_chr4:27336644-27336654(+) TGACAATGTGA >hg38_chr4:27361175-27361185(-) TTACAATGCTC >hg38_chr4:27384867-27384877(-) GGACAAAGGTT >hg38_chr4:27387919-27387929(-) caacaatggtt >hg38_chr4:27390049-27390059(-) TGACAATGAAG >hg38_chr4:27391683-27391693(-) ccacaatagca >hg38_chr4:27391738-27391748(-) acacaaaggaa >hg38_chr4:27436496-27436506(+) gtacaagggta >hg38_chr4:27442321-27442331(-) caacaatgaat >hg38_chr4:27474560-27474570(+) gaacaatggcc >hg38_chr4:27503182-27503192(+) cgacaatgatc >hg38_chr4:27513972-27513982(-) AGACTATGGAC >hg38_chr4:27514030-27514040(+) TCACAATGCCT >hg38_chr4:27624895-27624905(+) acacaatgaaa >hg38_chr4:27624930-27624940(-) gcacaatgccc >hg38_chr4:27650786-27650796(+) CCACAATGCAT >hg38_chr4:27665890-27665900(-) ATACAATATGC >hg38_chr4:27669744-27669754(+) ATACAATAAGA >hg38_chr4:27686319-27686329(-) aaacaatgagt >hg38_chr4:27714258-27714268(+) acacaatgtag >hg38_chr4:27714276-27714286(+) atacaatgaga >hg38_chr4:27714327-27714337(+) ctacaatgcag >hg38_chr4:27846238-27846248(-) AGACAAAGGAC >hg38_chr4:27855748-27855758(+) TCACAATGCAC >hg38_chr4:27888620-27888630(-) ATATAATGGGC >hg38_chr4:27906208-27906218(+) ctacaatgaca >hg38_chr4:27909419-27909429(-) taacaatggcc >hg38_chr4:27919451-27919461(+) TGACAAAGGAT >hg38_chr4:27931173-27931183(+) TTACAAAGGGA >hg38_chr4:27937403-27937413(-) tgacaatgtca >hg38_chr4:27962226-27962236(-) gtacaatgtgt >hg38_chr4:27962244-27962254(+) atacaatgaaa >hg38_chr4:27984703-27984713(+) TGACAATGCTT >hg38_chr4:28017587-28017597(-) agacaatgcta >hg38_chr4:28049785-28049795(-) caacaatgaga >hg38_chr4:28058264-28058274(+) CAACAATAGGA >hg38_chr4:28093147-28093157(+) ACACAATGACA >hg38_chr4:28128369-28128379(+) ttacaatgaag >hg38_chr4:28165824-28165834(-) atacaatagtt >hg38_chr4:28189225-28189235(-) agaCAATGCTT >hg38_chr4:28203408-28203418(-) GAACAATGCTT >hg38_chr4:28203450-28203460(-) GTACAATGTAG >hg38_chr4:28203460-28203470(-) GAACAATGTAG >hg38_chr4:28234396-28234406(+) GAACAAAGGCA >hg38_chr4:28236521-28236531(+) TCACAATGCCC >hg38_chr4:28237853-28237863(+) GAATAATGTAC >hg38_chr4:28238990-28239000(+) AAACAATAACA >hg38_chr4:28238996-28239006(+) TAACAATAATG >hg38_chr4:28238999-28239009(+) CAATAATGGTA >hg38_chr4:28282580-28282590(+) gcacaatgaac >hg38_chr4:28288644-28288654(-) AGATAATGGTT >hg38_chr4:28324728-28324738(+) CAACAATAGCA >hg38_chr4:28351315-28351325(-) TCACAATGACA >hg38_chr4:28355092-28355102(-) GAACAATGGAT >hg38_chr4:28373379-28373389(+) ATACAAAGGCT >hg38_chr4:28373391-28373401(+) CTATAATGGAA >hg38_chr4:28373424-28373434(+) ATATAATGATA >hg38_chr4:28380259-28380269(+) CAACAATGGAA >hg38_chr4:28386388-28386398(+) atacaatgtgG >hg38_chr4:28425786-28425796(-) agacagtggaa >hg38_chr4:28427202-28427212(-) tcacaatagga >hg38_chr4:28450076-28450086(-) acacaaaggat >hg38_chr4:28469693-28469703(-) ATACAATGCTC >hg38_chr4:28479905-28479915(+) ATATAATGGAC >hg38_chr4:28489126-28489136(-) agacaatagaa >hg38_chr4:28503551-28503561(-) CAACAATGTGA >hg38_chr4:28523706-28523716(+) GTACAATGTCA >hg38_chr4:28523746-28523756(-) ATACTATGGTG >hg38_chr4:28558799-28558809(-) GAACAATGAAT >hg38_chr4:28559236-28559246(+) GTATAATGAAA >hg38_chr4:28559271-28559281(+) TCACAATAGCA >hg38_chr4:28572690-28572700(-) ATACAATGTAA >hg38_chr4:28678310-28678320(-) aaacaatgacc >hg38_chr4:28683004-28683014(-) TAACAATAGTG >hg38_chr4:28683061-28683071(+) ACACAATGGAA >hg38_chr4:28705926-28705936(-) GTACAATGCTT >hg38_chr4:28705938-28705948(+) TAACAATATAA >hg38_chr4:28750381-28750391(+) TTACAATAAAA >hg38_chr4:28750410-28750420(+) ATATAATGATA >hg38_chr4:28759214-28759224(+) TAACAATGAGT >hg38_chr4:28798192-28798202(+) AAACAAAGGTC >hg38_chr4:28798273-28798283(-) ACACAATGCAA >hg38_chr4:28799408-28799418(+) GCACAATGCAG >hg38_chr4:28809966-28809976(-) GCACAAAGGAA >hg38_chr4:28810593-28810603(+) GCACAATGACA >hg38_chr4:28810599-28810609(+) TGACAATGAAT >hg38_chr4:28810663-28810673(-) AGACAATGAAT >hg38_chr4:28813832-28813842(-) caacaatgcct >hg38_chr4:28813847-28813857(-) caacaatgtga >hg38_chr4:28822844-28822854(-) TAACAATGAAG >hg38_chr4:28834940-28834950(-) ctacaatagGT >hg38_chr4:28877815-28877825(+) ACACAATGAGT >hg38_chr4:28885947-28885957(+) GAACAATGGAC >hg38_chr4:28885988-28885998(-) ATACAATAGAG >hg38_chr4:28889083-28889093(-) AAACAATGGCT >hg38_chr4:28923000-28923010(+) GTACAAAGGCT >hg38_chr4:28925943-28925953(-) ACACAATGCTA >hg38_chr4:28939383-28939393(-) atagaatggta >hg38_chr4:28939440-28939450(-) acacaatgcaa >hg38_chr4:28979097-28979107(+) GCACAATGCCA >hg38_chr4:28983512-28983522(+) TTACAATGCTA >hg38_chr4:28985952-28985962(+) ggacaaaggga >hg38_chr4:29010835-29010845(+) ATACAATAAAC >hg38_chr4:29010835-29010845(+) ATACAATAAAC >hg38_chr4:29030898-29030908(-) acacaatgaga >hg38_chr4:29030925-29030935(-) acacaatgtgt >hg38_chr4:29037683-29037693(+) AAACAATGGAC >hg38_chr4:29037692-29037702(+) ACACAATGTTA >hg38_chr4:29046410-29046420(-) ccacaatgtga >hg38_chr4:29084007-29084017(-) GAACAATGTGG >hg38_chr4:29170282-29170292(+) AGACAATGAAT >hg38_chr4:29179451-29179461(+) GAACAATGATT >hg38_chr4:29266968-29266978(-) aaacaaaggca >hg38_chr4:29353599-29353609(+) TCACAATGATG >hg38_chr4:29353637-29353647(-) TTACAAAGGAG >hg38_chr4:29359980-29359990(+) GGACAAAGGGA >hg38_chr4:29375826-29375836(+) atataatggga >hg38_chr4:29464528-29464538(-) ttataatggtt >hg38_chr4:29464567-29464577(+) caataatggaa >hg38_chr4:29566484-29566494(-) GGACAATGTAA >hg38_chr4:29566758-29566768(-) ATACAATGGGG >hg38_chr4:29640632-29640642(-) CAACAATGCAT >hg38_chr4:29651596-29651606(+) gaacaatgcag >hg38_chr4:29680533-29680543(+) ATACAATGAAG >hg38_chr4:29680557-29680567(+) AAACAATGAAG >hg38_chr4:29806019-29806029(-) ATACAAAGGAG >hg38_chr4:29806578-29806588(+) CTACAATGTAC >hg38_chr4:29948823-29948833(-) ACATAATGGTG >hg38_chr4:30035525-30035535(-) gaataatgata >hg38_chr4:30056216-30056226(-) GAACAATAGGC >hg38_chr4:30056241-30056251(-) ACACAATGATT >hg38_chr4:30115845-30115855(-) gaacaatgcct >hg38_chr4:30270007-30270017(-) ttacaataaaa >hg38_chr4:30525850-30525860(-) agacaatgggg >hg38_chr4:30543294-30543304(+) GAACAATGGAT >hg38_chr4:30600372-30600382(-) ACACAATGGGC >hg38_chr4:30601097-30601107(-) GGACAAAGGGT >hg38_chr4:30601144-30601154(-) ATACAATGTCC >hg38_chr4:30703472-30703482(-) TTACAATGAGT >hg38_chr4:30723462-30723472(+) GAACAATAACA >hg38_chr4:30754547-30754557(+) AAACAATAAAT >hg38_chr4:30754605-30754615(+) ACACAATAATA >hg38_chr4:30793919-30793929(+) TTACAAAGGAC >hg38_chr4:30794572-30794582(-) TAACAATGAAA >hg38_chr4:30836288-30836298(+) tgacaatggga >hg38_chr4:30847366-30847376(-) GCACAAAGGGA >hg38_chr4:30862402-30862412(+) CCACAATGTAG >hg38_chr4:30903956-30903966(+) acacaatatat >hg38_chr4:30918187-30918197(-) TGACAATGTTG >hg38_chr4:30929834-30929844(-) AAACAATGGAC >hg38_chr4:31016521-31016531(+) ttacaAAGGCT >hg38_chr4:31017407-31017417(+) atacactggtc >hg38_chr4:31017658-31017668(+) GTATAATGAAA >hg38_chr4:31030725-31030735(+) CAACAATGACT >hg38_chr4:31030760-31030770(+) AGACAATAGAA >hg38_chr4:31041631-31041641(-) AAACAATGGTC >hg38_chr4:31043859-31043869(+) ttacaaaggag >hg38_chr4:31051225-31051235(-) ttataatggag >hg38_chr4:31058832-31058842(+) GGACAATAGAA >hg38_chr4:31068764-31068774(-) GAACAATGTCA >hg38_chr4:31068777-31068787(-) AAACAATGATA >hg38_chr4:31071613-31071623(+) AAATAATGGGG >hg38_chr4:31071666-31071676(-) GAACTATGGTG >hg38_chr4:31087596-31087606(+) GAACAATGACA >hg38_chr4:31088228-31088238(-) TAACAATGTCC >hg38_chr4:31112713-31112723(+) TTACAATGCAG >hg38_chr4:31112730-31112740(+) TTACAATGTGG >hg38_chr4:31122465-31122475(-) AAACAATGCAC >hg38_chr4:31146568-31146578(-) GAACAATAGTC >hg38_chr4:31161659-31161669(-) gaataaTGGTT >hg38_chr4:31161668-31161678(-) agacaaaggga >hg38_chr4:31194886-31194896(+) GAACAATATTA >hg38_chr4:31194916-31194926(-) TAACAATGACC >hg38_chr4:31203958-31203968(+) aaacaatgctg >hg38_chr4:31219727-31219737(-) atacaatatga >hg38_chr4:31235013-31235023(-) CAATAATGGAC >hg38_chr4:31237210-31237220(+) CAACAATAGAT >hg38_chr4:31237237-31237247(-) AAACAATGTGT >hg38_chr4:31275845-31275855(+) GTACAATATTT >hg38_chr4:31346345-31346355(+) ccacaatgaaa >hg38_chr4:31346394-31346404(+) aaacaataaca >hg38_chr4:31391181-31391191(+) ATACAATAATA >hg38_chr4:31529973-31529983(-) ttactatggat >hg38_chr4:31539035-31539045(-) ttacaatgact >hg38_chr4:31575779-31575789(-) taacaatggcc >hg38_chr4:31575822-31575832(-) ccacaatgtca >hg38_chr4:31607268-31607278(+) aaacaatagag >hg38_chr4:31607310-31607320(+) taacaatatag >hg38_chr4:31662077-31662087(+) ATACAATGTGG >hg38_chr4:31667686-31667696(-) AAACAATAGCA >hg38_chr4:31707810-31707820(-) TCACAATGACA >hg38_chr4:31735261-31735271(-) CTATAATGGAG >hg38_chr4:31768950-31768960(+) ATACAATTGTA >hg38_chr4:31768995-31769005(+) GCACAAAGGAA >hg38_chr4:31793580-31793590(+) acacaaaggga >hg38_chr4:31846843-31846853(-) aaacaaaggaa >hg38_chr4:32068487-32068497(-) gaacaatgaaa >hg38_chr4:32132064-32132074(+) ccacaatagca >hg38_chr4:32140962-32140972(+) TGACAATGGAC >hg38_chr4:32148253-32148263(+) AAACTATGGGA >hg38_chr4:32148291-32148301(+) AGACAATGGGT >hg38_chr4:32235500-32235510(-) atacagtgggc >hg38_chr4:32235524-32235534(-) tcacaatagcc >hg38_chr4:32303320-32303330(+) ttataatggat >hg38_chr4:32396675-32396685(-) tgacaatggca >hg38_chr4:32572974-32572984(-) GAACAAAGGCT >hg38_chr4:32587998-32588008(-) aaacaatgctc >hg38_chr4:32725886-32725896(+) TAACAATGCTG >hg38_chr4:32745544-32745554(+) tgacaaaggga >hg38_chr4:32745552-32745562(+) ggacaaaggat >hg38_chr4:32745586-32745596(-) ggacaaagggc >hg38_chr4:32771708-32771718(+) ccacaATGACA >hg38_chr4:32775271-32775281(-) gcacaatgcta >hg38_chr4:32791055-32791065(-) TTACAATGAGG >hg38_chr4:32812340-32812350(+) ATACAATGCTG >hg38_chr4:32816637-32816647(-) ATACAATGTTT >hg38_chr4:32952187-32952197(-) TAACTATGGAC >hg38_chr4:33000079-33000089(+) gcacaaaggaa >hg38_chr4:33020824-33020834(-) AAATAATGGCT >hg38_chr4:33032231-33032241(-) ATACAATGTGA >hg38_chr4:33037449-33037459(-) ACACAAAGGAA >hg38_chr4:33063126-33063136(+) GTACAATGTAC >hg38_chr4:33063512-33063522(-) AGACAATGAAT >hg38_chr4:33110980-33110990(-) gaacaatgagg >hg38_chr4:33160400-33160410(+) ctacaatgtac >hg38_chr4:33160407-33160417(+) gtacaatggaa >hg38_chr4:33172883-33172893(+) CTACAATGTGT >hg38_chr4:33173767-33173777(-) aaacaaaggca >hg38_chr4:33219808-33219818(+) aaacaaaggga >hg38_chr4:33275524-33275534(-) CAACAATGCAC >hg38_chr4:33275567-33275577(+) TAACAATGTTC >hg38_chr4:33318589-33318599(+) ACACAATGCTA >hg38_chr4:33324024-33324034(+) ggacaataaca >hg38_chr4:33324060-33324070(+) tgacaatgcct >hg38_chr4:33324416-33324426(-) ttataatggag >hg38_chr4:33325576-33325586(+) CAATAATGGGT >hg38_chr4:33334444-33334454(+) gtacaatagca >hg38_chr4:33334461-33334471(-) taacaatgtag >hg38_chr4:33334477-33334487(-) ttacaatagag >hg38_chr4:33349261-33349271(-) AAACAATAGAC >hg38_chr4:33349274-33349284(+) CTACAATGTTA >hg38_chr4:33349282-33349292(+) TTACAATATAT >hg38_chr4:33389424-33389434(-) GGACAATGGCA >hg38_chr4:33421232-33421242(-) AAACAATAGTG >hg38_chr4:33430220-33430230(-) AGACAATAGAA >hg38_chr4:33448537-33448547(-) AAACAAAGGAT >hg38_chr4:33532763-33532773(+) TTACAAAGGCA >hg38_chr4:33532810-33532820(-) ATACAATACAT >hg38_chr4:33535346-33535356(+) AGACAATAGTA >hg38_chr4:33537464-33537474(-) ggacaatgtgt >hg38_chr4:33628485-33628495(-) CTACAATGATG >hg38_chr4:33643230-33643240(-) TTACAATGAAT >hg38_chr4:33643292-33643302(-) TTACAATAAAA >hg38_chr4:33644064-33644074(+) AGACAATGAGG >hg38_chr4:33702901-33702911(-) gaacaatggaa >hg38_chr4:33702913-33702923(+) gtacactggtt >hg38_chr4:33739455-33739465(+) ACACAATGCTC >hg38_chr4:33748722-33748732(+) TAACAATACAT >hg38_chr4:33749915-33749925(+) acacaatggag >hg38_chr4:33776429-33776439(-) AGACAATGAGA >hg38_chr4:33815940-33815950(-) GAACAATAGTG >hg38_chr4:33816401-33816411(+) TTACAATGTAA >hg38_chr4:33841243-33841253(-) TCACAATGAAA >hg38_chr4:33885100-33885110(-) AAACAATGACA >hg38_chr4:33932110-33932120(-) GAACAATATAT >hg38_chr4:34023763-34023773(-) ATACAATGCAT >hg38_chr4:34046774-34046784(-) TTACTATGGCA >hg38_chr4:34152781-34152791(-) atacaatagtt >hg38_chr4:34157998-34158008(-) ACACAATAAAT >hg38_chr4:34184611-34184621(-) caacaatgaca >hg38_chr4:34227678-34227688(+) taacaatagct >hg38_chr4:34246764-34246774(+) GCACAATATAT >hg38_chr4:34296172-34296182(+) AAACAATGGCT >hg38_chr4:34309252-34309262(+) atacaaagggg >hg38_chr4:34366100-34366110(-) GCACAATGTCA >hg38_chr4:34366974-34366984(+) CCACAATAACG >hg38_chr4:34383880-34383890(-) ttacaaaggtt >hg38_chr4:34411055-34411065(+) acacaatgttg >hg38_chr4:34422984-34422994(+) TTACAATGGCC >hg38_chr4:34423071-34423081(+) TGACAATGCAT >hg38_chr4:34486610-34486620(+) GAACAAAGGAA >hg38_chr4:34516800-34516810(+) GAACAATGAAT >hg38_chr4:35016930-35016940(+) acacaatcgta >hg38_chr4:35025717-35025727(-) ttacaatgatc >hg38_chr4:35566297-35566307(+) GCACAATGAGC >hg38_chr4:35689694-35689704(+) TTACAATGGGG >hg38_chr4:35863901-35863911(-) taacaaagggt >hg38_chr4:35918673-35918683(-) tcacaatgatt >hg38_chr4:36027397-36027407(-) atacaaaggca >hg38_chr4:36038783-36038793(+) TTACAATGGAA >hg38_chr4:36051193-36051203(-) aaacaatgatg >hg38_chr4:36051212-36051222(+) TAACAATGggc >hg38_chr4:36081368-36081378(+) GAACAAAGGTT >hg38_chr4:36183906-36183916(-) ATACAATAGCT >hg38_chr4:36256234-36256244(+) GAACAATGAAA >hg38_chr4:36256266-36256276(-) GGACAATAAAA >hg38_chr4:36284105-36284115(+) AGACAATGTCC >hg38_chr4:36292645-36292655(-) TCACAATATCG >hg38_chr4:36292694-36292704(-) TCACAATGGAA >hg38_chr4:36332514-36332524(+) CCACAATGGCA >hg38_chr4:36332537-36332547(-) AGACAATGCAG >hg38_chr4:36362186-36362196(+) aaacaatagct >hg38_chr4:36391503-36391513(+) CAACAATGGCA >hg38_chr4:36451849-36451859(-) TTACTATGGTG >hg38_chr4:36451866-36451876(-) CTACAATAGAA >hg38_chr4:36479888-36479898(-) tgataatggga >hg38_chr4:36727334-36727344(+) GTACACTGGAA >hg38_chr4:36753359-36753369(+) AAACAATGTGC >hg38_chr4:36914562-36914572(+) AGACAAAGGAA >hg38_chr4:36914587-36914597(-) ACACAATGCGT >hg38_chr4:36924331-36924341(-) AAACAATGAGG >hg38_chr4:36924365-36924375(+) GAACAATGGCT >hg38_chr4:36946161-36946171(+) agacaatgcct >hg38_chr4:37046042-37046052(+) GTACAATGGAT >hg38_chr4:37146339-37146349(+) agacaatggga >hg38_chr4:37393153-37393163(+) AAACAAAGGGT >hg38_chr4:37396228-37396238(-) agacaatcgga >hg38_chr4:37397904-37397914(-) TCACAATGAGA >hg38_chr4:37400780-37400790(+) GAACAATAGTT >hg38_chr4:37400789-37400799(-) AAACAATATAA >hg38_chr4:37402457-37402467(-) ACACAATGTAA >hg38_chr4:37402511-37402521(+) AGACAATGTGG >hg38_chr4:37463676-37463686(+) AAACAAAGGCA >hg38_chr4:37561854-37561864(-) taacaatacat >hg38_chr4:37607229-37607239(+) agacaatggat >hg38_chr4:37627331-37627341(+) TGACAATGAAG >hg38_chr4:37642544-37642554(-) ggacaatgacc >hg38_chr4:37649492-37649502(-) AGACAATGGGA >hg38_chr4:37662532-37662542(+) GAACAAAGGAC >hg38_chr4:37662891-37662901(+) AAACAATGGTT >hg38_chr4:37687412-37687422(-) TGACAATGAGA >hg38_chr4:37687418-37687428(-) ACACAATGACA >hg38_chr4:37775075-37775085(-) AAACAATGTTT >hg38_chr4:37775407-37775417(-) GAACAATGATG >hg38_chr4:37779994-37780004(+) GGACAATAGTT >hg38_chr4:37781032-37781042(-) GAACAATGAAG >hg38_chr4:37809958-37809968(+) GTACAATGTAA >hg38_chr4:37810014-37810024(+) tgacaatgtga >hg38_chr4:37826468-37826478(+) AGACGATGGCA >hg38_chr4:37873660-37873670(-) TAACAATGAAT >hg38_chr4:37901539-37901549(+) AGACAATGTTT >hg38_chr4:37970848-37970858(+) TTACAATGGCT >hg38_chr4:37984378-37984388(+) caacaatgaat >hg38_chr4:38035412-38035422(-) GAACAGTGGAG >hg38_chr4:38077224-38077234(-) GAACAATGCTT >hg38_chr4:38077266-38077276(+) AGACAAAGGAG >hg38_chr4:38081452-38081462(-) CAACAATAGGA >hg38_chr4:38087404-38087414(-) TCACAATGAGC >hg38_chr4:38129184-38129194(+) ACACAATGAAC >hg38_chr4:38129191-38129201(+) GAACAAAGGAG >hg38_chr4:38131858-38131868(+) ATATAATGGAT >hg38_chr4:38161148-38161158(+) aaacaatgctg >hg38_chr4:38242103-38242113(-) tgacaatggcc >hg38_chr4:38242622-38242632(+) CGATAATGACT >hg38_chr4:38259926-38259936(-) ttacaatggcc >hg38_chr4:38270746-38270756(+) caacaatgtgg >hg38_chr4:38287645-38287655(+) TTACAATGACA >hg38_chr4:38287651-38287661(+) TGACAATGGCG >hg38_chr4:38292706-38292716(+) tgacaatgggg >hg38_chr4:38356746-38356756(+) tcacaatgagt >hg38_chr4:38389951-38389961(+) ggacaatgaag >hg38_chr4:38415826-38415836(-) GGACAATGGCA >hg38_chr4:38424781-38424791(+) GGACAAAGGGC >hg38_chr4:38459027-38459037(-) aaataatgggt >hg38_chr4:38489023-38489033(-) agacaatgttt >hg38_chr4:38495720-38495730(-) AAACAAAGGGG >hg38_chr4:38512938-38512948(-) caacaatagaa >hg38_chr4:38550135-38550145(+) acacaatggca >hg38_chr4:38606065-38606075(-) AGACAAAGGTA >hg38_chr4:38644746-38644756(+) AAATAATGGTA >hg38_chr4:38666158-38666168(-) TTACAATGTAT >hg38_chr4:38670093-38670103(-) ACACAATGGAG >hg38_chr4:38672573-38672583(+) GAACAAAGGCC >hg38_chr4:38685378-38685388(+) TTACAATAATC >hg38_chr4:38707501-38707511(-) aaacaatagac >hg38_chr4:38728779-38728789(-) CAACAATGCAG >hg38_chr4:38729113-38729123(+) TGACAATAGCA >hg38_chr4:38843225-38843235(+) AGACAAAGGAC >hg38_chr4:38860964-38860974(-) TTACAATGAAA >hg38_chr4:38889374-38889384(-) AAACAATGAGA >hg38_chr4:38928889-38928899(-) GAACAAAGGCA >hg38_chr4:38956227-38956237(-) gaacaaaggag >hg38_chr4:38957929-38957939(-) CAACAATGCTT >hg38_chr4:38967346-38967356(+) GTATAATGATA >hg38_chr4:39009784-39009794(-) gtacaatgttg >hg38_chr4:39028816-39028826(-) ATATAATGGTT >hg38_chr4:39043372-39043382(+) ACACAAAGGTA >hg38_chr4:39046077-39046087(-) TCACAATGCAG >hg38_chr4:39046145-39046155(+) ATACACTGACG >hg38_chr4:39064361-39064371(-) AAACAATGAAC >hg38_chr4:39083329-39083339(-) CAACAATGGAA >hg38_chr4:39083371-39083381(+) AAACAATAGAA >hg38_chr4:39108395-39108405(-) AAACAATGATA >hg38_chr4:39120284-39120294(-) GAACAATAGGA >hg38_chr4:39155117-39155127(+) CAACAATGCTT >hg38_chr4:39283929-39283939(-) agacaatcgtg >hg38_chr4:39283951-39283961(-) gaacaatgaag >hg38_chr4:39306073-39306083(-) AGACAATGGGA >hg38_chr4:39306105-39306115(+) AGACAATAGGA >hg38_chr4:39321186-39321196(+) TAACAATACAT >hg38_chr4:39324622-39324632(+) TCACAATaata >hg38_chr4:39324643-39324653(-) atacaatgggc >hg38_chr4:39324680-39324690(+) taacaatggtt >hg38_chr4:39340897-39340907(+) GGACAATGTTT >hg38_chr4:39407032-39407042(+) ATACAATGTCC >hg38_chr4:39408443-39408453(+) AAACAATAGTG >hg38_chr4:39436098-39436108(+) AAACAACGGAG >hg38_chr4:39456073-39456083(-) gcacaatgtga >hg38_chr4:39456096-39456106(-) atataatggtg >hg38_chr4:39499361-39499371(+) GAACAAAGGAA >hg38_chr4:39526748-39526758(+) CAACAATGGAT >hg38_chr4:39541254-39541264(-) gaacaatggta >hg38_chr4:39608360-39608370(-) aaacaatgctg >hg38_chr4:39638229-39638239(+) ACACAATAGGA >hg38_chr4:39638290-39638300(+) CAACAAAGGAC >hg38_chr4:39665051-39665061(-) TTACAATGTTC >hg38_chr4:39666239-39666249(+) gtataatgata >hg38_chr4:39745484-39745494(-) AGACAATGCAA >hg38_chr4:39755067-39755077(-) GCACAATGTAA >hg38_chr4:39755732-39755742(+) GATCAATGGTA >hg38_chr4:39824398-39824408(+) GAACAATGCCA >hg38_chr4:39864667-39864677(+) TAACAATGAGG >hg38_chr4:39893829-39893839(+) gtataatgaac >hg38_chr4:39898246-39898256(+) TGACAATAGAT >hg38_chr4:39927535-39927545(+) gaacaaaggca >hg38_chr4:39959122-39959132(-) TTACAATGTGA >hg38_chr4:39965456-39965466(+) agacaataaga >hg38_chr4:40058112-40058122(+) AAACAATGACT >hg38_chr4:40138707-40138717(-) taactatggtc >hg38_chr4:40166103-40166113(+) AGACAATGGAA >hg38_chr4:40180716-40180726(+) atacaatggac >hg38_chr4:40268059-40268069(-) ACACAATGGAG >hg38_chr4:40275519-40275529(-) AGACAATGGCA >hg38_chr4:40289550-40289560(+) aaacaatggaa >hg38_chr4:40292659-40292669(-) caacaatggaa >hg38_chr4:40315699-40315709(+) atacaataagt >hg38_chr4:40315727-40315737(+) gaacaatgtac >hg38_chr4:40316486-40316496(-) CAACAATGGAA >hg38_chr4:40483287-40483297(+) caacaatggct >hg38_chr4:40489034-40489044(+) tcacaatgcta >hg38_chr4:40514880-40514890(-) GAACAATGAGC >hg38_chr4:40544280-40544290(+) caacaatacgt >hg38_chr4:40544303-40544313(+) aaacaaaGGGG >hg38_chr4:40585528-40585538(-) TAACAATAGGC >hg38_chr4:40585583-40585593(+) CCACAATAGGT >hg38_chr4:40601603-40601613(+) GAACAATGGAT >hg38_chr4:40621428-40621438(+) GTACAAAGGTT >hg38_chr4:40621460-40621470(+) AAACAATGACT >hg38_chr4:40648903-40648913(+) TGACAATGATA >hg38_chr4:40673011-40673021(-) GAACAATGGCT >hg38_chr4:40698920-40698930(+) AAACAATGCAT >hg38_chr4:40717396-40717406(+) AAACAAAGGAA >hg38_chr4:40717428-40717438(+) TTACAATGCTG >hg38_chr4:40717443-40717453(-) AAACAATGTGA >hg38_chr4:40718822-40718832(+) GAACAATGGCC >hg38_chr4:40726975-40726985(-) atacaatggaa >hg38_chr4:40727050-40727060(-) tcacaatggtc >hg38_chr4:40831605-40831615(+) AAACAATAGGC >hg38_chr4:40831638-40831648(+) AAACAATGGAC >hg38_chr4:40846753-40846763(+) AAACAAAGGAC >hg38_chr4:40846787-40846797(+) ACACAATAGTT >hg38_chr4:40934876-40934886(+) AAACAACGGGG >hg38_chr4:40934899-40934909(+) TCACAATGGGA >hg38_chr4:40969412-40969422(+) ACACAATGCTA >hg38_chr4:40998009-40998019(+) aaactatggtt >hg38_chr4:41002647-41002657(-) tcacaatgctg >hg38_chr4:41017603-41017613(+) TAACAATAAAT >hg38_chr4:41017646-41017656(+) TAACAATAAAT >hg38_chr4:41085647-41085657(+) CTACAATGAGT >hg38_chr4:41085662-41085672(+) AGACAAAGGCA >hg38_chr4:41107672-41107682(+) ggacaatggaa >hg38_chr4:41125014-41125024(+) GTACAATGCGT >hg38_chr4:41129523-41129533(-) ATACAATGTGT >hg38_chr4:41145604-41145614(+) AAACAATGAGT >hg38_chr4:41146916-41146926(+) ACACAATGGCT >hg38_chr4:41147043-41147053(+) ACACAATGTCA >hg38_chr4:41169445-41169455(+) AAACAATGAAC >hg38_chr4:41179135-41179145(+) ATACAATGACG >hg38_chr4:41181219-41181229(-) CCACAATGGCT >hg38_chr4:41200033-41200043(-) TAACAATGATT >hg38_chr4:41216860-41216870(-) AGACAATGTGT >hg38_chr4:41222253-41222263(+) GAACAATGGTA >hg38_chr4:41222314-41222324(+) ACACAATAAAA >hg38_chr4:41258108-41258118(-) AAACAATGAGT >hg38_chr4:41282330-41282340(+) CAACAATGGCA >hg38_chr4:41282632-41282642(-) AGACAAAGGAT >hg38_chr4:41299819-41299829(-) ggacaaaggac >hg38_chr4:41307822-41307832(-) aaacaatagct >hg38_chr4:41316429-41316439(+) tgacaaaggga >hg38_chr4:41325761-41325771(-) gaacaatggat >hg38_chr4:41326818-41326828(-) atacaatataa >hg38_chr4:41347619-41347629(-) agacaatggat >hg38_chr4:41365991-41366001(+) TGACAATAGAG >hg38_chr4:41406172-41406182(-) AAACACTGGAT >hg38_chr4:41455497-41455507(-) AAACAATGAAA >hg38_chr4:41470855-41470865(-) AGACAATAGAG >hg38_chr4:41525305-41525315(-) TCACAATGGAA >hg38_chr4:41531948-41531958(-) TCACAATGTCT >hg38_chr4:41575886-41575896(+) CTACAATAGCT >hg38_chr4:41598637-41598647(+) TAACAATGCTA >hg38_chr4:41598919-41598929(+) GGACAATATTA >hg38_chr4:41600392-41600402(+) AAACAATAGCA >hg38_chr4:41612705-41612715(-) GAACAATGGCT >hg38_chr4:41617671-41617681(+) CAACAATGAAC >hg38_chr4:41617726-41617736(-) atataatggct >hg38_chr4:41618447-41618457(+) GTACAAAGGCA >hg38_chr4:41620989-41620999(-) ATACAATGTTA >hg38_chr4:41621002-41621012(-) AAACAATAGAA >hg38_chr4:41643543-41643553(+) TAACAAAGGTT >hg38_chr4:41692872-41692882(+) CAACAATGAGG >hg38_chr4:41702158-41702168(-) TGACAATGCAC >hg38_chr4:41710211-41710221(+) CAACAATGAAG >hg38_chr4:41748833-41748843(+) GGACAATAGCA >hg38_chr4:41752821-41752831(-) ATACAATGGCA >hg38_chr4:41787805-41787815(+) GCACAATGGTT >hg38_chr4:41788095-41788105(-) GAACAATGAAG >hg38_chr4:41794119-41794129(+) ACACAATAAAC >hg38_chr4:41859121-41859131(-) AAACTATGGAG >hg38_chr4:41866255-41866265(+) AGACAATGGAC >hg38_chr4:41951423-41951433(-) GAACAATGATA >hg38_chr4:41953143-41953153(-) ATACAATGAAA >hg38_chr4:41953166-41953176(+) CTACAATGCAT >hg38_chr4:41976420-41976430(+) AAACAATGTCT >hg38_chr4:42000607-42000617(-) GCACAAAggac >hg38_chr4:42003533-42003543(-) gcacaatgata >hg38_chr4:42004135-42004145(-) aaacaatcgag >hg38_chr4:42005340-42005350(-) tcacaatgtaa >hg38_chr4:42005911-42005921(-) atacaatattt >hg38_chr4:42017775-42017785(+) agacaatgttt >hg38_chr4:42049678-42049688(+) TGACAATGGAA >hg38_chr4:42049698-42049708(-) TGACAATGTTA >hg38_chr4:42059990-42060000(+) aaacaatggtg >hg38_chr4:42081338-42081348(+) agacaatgact >hg38_chr4:42119353-42119363(+) GAACAATGTTT >hg38_chr4:42120402-42120412(+) TGACAATGAAC >hg38_chr4:42122425-42122435(+) acacaatgcag >hg38_chr4:42178598-42178608(-) CTACAATGAAA >hg38_chr4:42262905-42262915(+) gtacaatgttt >hg38_chr4:42262921-42262931(+) taacaaaggaa >hg38_chr4:42294441-42294451(+) aaacaatagtc >hg38_chr4:42311855-42311865(+) ATACAATGCTG >hg38_chr4:42397430-42397440(-) AGACAATGAGG >hg38_chr4:42410302-42410312(-) AGACAATACGA >hg38_chr4:42410320-42410330(-) TTACAATGTTT >hg38_chr4:42425330-42425340(-) TCACAATGGTC >hg38_chr4:42429771-42429781(+) atacaatggaa >hg38_chr4:42452040-42452050(+) AAACAATGAAC >hg38_chr4:42455008-42455018(+) GGACAATGATT >hg38_chr4:42457294-42457304(-) ACACAATAGAG >hg38_chr4:42487223-42487233(+) GGACAATAAAA >hg38_chr4:42513390-42513400(+) gtacaaaggat >hg38_chr4:42515676-42515686(-) aaacaatgtca >hg38_chr4:42525223-42525233(+) aaacactggac >hg38_chr4:42540220-42540230(+) ccacaatgaga >hg38_chr4:42593850-42593860(+) GCATAATGGCA >hg38_chr4:42636363-42636373(-) TCACAATCGAA >hg38_chr4:42710565-42710575(-) CAACAATAGAG >hg38_chr4:42778025-42778035(+) ggataatggat >hg38_chr4:42786920-42786930(-) ggacaaagggc >hg38_chr4:42952921-42952931(-) gaacaaaggag >hg38_chr4:43130562-43130572(+) ttacaatagtc >hg38_chr4:43297100-43297110(+) TAACAATGCAT >hg38_chr4:43299859-43299869(+) ATACAAAGGCA >hg38_chr4:43380224-43380234(+) TAACTATGGCT >hg38_chr4:43393975-43393985(-) aaacaatagga >hg38_chr4:43480167-43480177(+) CTATAATGGAT >hg38_chr4:43480192-43480202(+) TAACAATGCTA >hg38_chr4:43525044-43525054(+) ttataatggct >hg38_chr4:43774461-43774471(+) gaacaatgtgt >hg38_chr4:43780303-43780313(+) AAACAATGAGC >hg38_chr4:43786366-43786376(+) gaataatggtc >hg38_chr4:43853143-43853153(+) agacaatagaa >hg38_chr4:43861685-43861695(-) GAACAATAAAA >hg38_chr4:43875879-43875889(-) aaactatggct >hg38_chr4:43877577-43877587(+) tcacaaaggaa >hg38_chr4:43927906-43927916(-) taacaatgtta >hg38_chr4:44033719-44033729(+) ggacaatggaa >hg38_chr4:44057022-44057032(-) gaataatgggc >hg38_chr4:44158510-44158520(+) AAACAATGTAA >hg38_chr4:44232440-44232450(-) GTACACTGGGT >hg38_chr4:44332912-44332922(-) tgacaatgcct >hg38_chr4:44339522-44339532(+) tcacaatgaag >hg38_chr4:44431413-44431423(-) TTACAATATAG >hg38_chr4:44655697-44655707(-) AAACAATGCGT >hg38_chr4:44662062-44662072(-) GTATAATGTAA >hg38_chr4:44662428-44662438(+) ggacaaaggga >hg38_chr4:44678489-44678499(-) AGACAATGAAC >hg38_chr4:44738773-44738783(+) TTACAATGAAA >hg38_chr4:44757618-44757628(-) ggacaatggct >hg38_chr4:44860035-44860045(-) AAACAATGAGC >hg38_chr4:44940536-44940546(+) AAACAAAGGCA >hg38_chr4:45005215-45005225(+) ACACAAAGGTA >hg38_chr4:45067157-45067167(+) ATACAATGAAA >hg38_chr4:45084344-45084354(+) AGACAATGGTA >hg38_chr4:45137870-45137880(-) GTACAATAGTT >hg38_chr4:45137891-45137901(-) ACACAATGTAA >hg38_chr4:45308383-45308393(-) aaacaataacc >hg38_chr4:45347334-45347344(-) TGACAATAGTG >hg38_chr4:45368996-45369006(-) TCACAATGGGC >hg38_chr4:45552267-45552277(-) agacaaaggga >hg38_chr4:45552293-45552303(-) GGACAAAGGGA >hg38_chr4:45643811-45643821(-) aaacaataaat >hg38_chr4:45658662-45658672(+) gtacaatgaaa >hg38_chr4:45663854-45663864(-) caacaatggat >hg38_chr4:45673199-45673209(+) taacaattgta >hg38_chr4:45742038-45742048(-) aaacaatagct >hg38_chr4:45877897-45877907(+) tcacaatgctt >hg38_chr4:45944232-45944242(+) GAACAATAATT >hg38_chr4:45985233-45985243(+) taacaatgttT >hg38_chr4:46046358-46046368(-) TGACAATGGCC >hg38_chr4:46262103-46262113(-) TAACAATGACA >hg38_chr4:46329269-46329279(+) atacaatgtca >hg38_chr4:46352682-46352692(-) GGACAATGGAT >hg38_chr4:46485043-46485053(+) GGACAATGGGC >hg38_chr4:46492130-46492140(-) gaacactggaa >hg38_chr4:46784002-46784012(-) AGACAATGGGA >hg38_chr4:46839308-46839318(-) TAACAATGAGG >hg38_chr4:46840974-46840984(+) AGACAAAGGGA >hg38_chr4:46841637-46841647(+) TAACAATGTGC >hg38_chr4:46851061-46851071(+) GAACAAAGGCT >hg38_chr4:46886433-46886443(+) atacaataagt >hg38_chr4:46886462-46886472(-) gtacaataggg >hg38_chr4:46896717-46896727(+) GGACAATGAAT >hg38_chr4:46896724-46896734(-) ATACAATATTC >hg38_chr4:46945981-46945991(-) GGACAATGTTA >hg38_chr4:47039877-47039887(+) ggacaatgtca >hg38_chr4:47039896-47039906(-) acacaatgaga >hg38_chr4:47051683-47051693(+) aaacaataaga >hg38_chr4:47086922-47086932(+) tcacaatgtta >hg38_chr4:47095424-47095434(+) AAATAATGGCT >hg38_chr4:47098761-47098771(-) GTACAATATCA >hg38_chr4:47178518-47178528(-) AGATAATGGCT >hg38_chr4:47186789-47186799(-) CAACAATGCCA >hg38_chr4:47203328-47203338(-) TCACAATGGCT >hg38_chr4:47214268-47214278(-) AAACAAAGGGA >hg38_chr4:47215320-47215330(+) ttacaatgagt >hg38_chr4:47253988-47253998(+) TAACAATGCTT >hg38_chr4:47254027-47254037(-) GTACAATGCCC >hg38_chr4:47254038-47254048(+) TGACAATAGGG >hg38_chr4:47313528-47313538(-) CAACAATGAGT >hg38_chr4:47331069-47331079(-) TGACAATGGGG >hg38_chr4:47332215-47332225(+) ACACAATGGTC >hg38_chr4:47332298-47332308(+) CAACAATGGTG >hg38_chr4:47359581-47359591(-) TAATAATGGTG >hg38_chr4:47435499-47435509(-) AAACAATGATA >hg38_chr4:47440446-47440456(-) gtacaatgtac >hg38_chr4:47440458-47440468(+) gtacaatgtat >hg38_chr4:47440465-47440475(-) gtacaatatac >hg38_chr4:47452169-47452179(-) AAACAGTGGAA >hg38_chr4:47452216-47452226(-) TAACAATAACT >hg38_chr4:47459615-47459625(+) acacaatgctg >hg38_chr4:47463891-47463901(-) AAACAAAGGTA >hg38_chr4:47475483-47475493(+) GGACAATGTAA >hg38_chr4:47475612-47475622(+) TAACAATAAGC >hg38_chr4:47511364-47511374(-) CCACAATAGAT >hg38_chr4:47513604-47513614(+) GAATAATGGCA >hg38_chr4:47513924-47513934(+) gaacaatgtgg >hg38_chr4:47567491-47567501(-) ATACAATAACA >hg38_chr4:47584037-47584047(+) ACACAATGCTG >hg38_chr4:47584053-47584063(-) TCACAATGCTG >hg38_chr4:47652561-47652571(-) AGACAATGGTC >hg38_chr4:47652587-47652597(-) CCACAATGTAT >hg38_chr4:47684997-47685007(+) ctacaatggaa >hg38_chr4:47691255-47691265(+) CCACAATGGGA >hg38_chr4:47692334-47692344(+) TTACAATGTAC >hg38_chr4:47698632-47698642(-) acacaataggc >hg38_chr4:47711745-47711755(-) CAACAATGGGA >hg38_chr4:47713236-47713246(-) TGACAATGCTC >hg38_chr4:47716188-47716198(-) GTACAATGTAA >hg38_chr4:47716227-47716237(+) ACACAATGACA >hg38_chr4:47741377-47741387(+) ccacaatggga >hg38_chr4:47741465-47741475(-) aaacaatgtat >hg38_chr4:47820123-47820133(-) GAACAATAGTT >hg38_chr4:47824664-47824674(-) TAACAATAGAA >hg38_chr4:47824678-47824688(-) GAACAATAGAA >hg38_chr4:47854289-47854299(+) TGACAATAGGA >hg38_chr4:47854721-47854731(-) ACACAATGTAA >hg38_chr4:47897510-47897520(+) TGACAATAgca >hg38_chr4:47897528-47897538(-) tgacaataggg >hg38_chr4:47974948-47974958(-) ttacaataggc >hg38_chr4:47975384-47975394(-) taacaatgatC >hg38_chr4:47975452-47975462(+) ATACAATAAAA >hg38_chr4:47988359-47988369(-) gtacaatgcct >hg38_chr4:48012378-48012388(+) aaacaaaggca >hg38_chr4:48042539-48042549(-) ACACAAAGGCA >hg38_chr4:48042718-48042728(-) TAACAATGGTA >hg38_chr4:48094080-48094090(-) GCACAATGCAG >hg38_chr4:48094145-48094155(-) GGACAGTGGTA >hg38_chr4:48128024-48128034(+) GGACAAAGGAA >hg38_chr4:48241165-48241175(-) TGACAATGATG >hg38_chr4:48254458-48254468(+) GAACAATGCTC >hg38_chr4:48255958-48255968(+) ACACAATGCAG >hg38_chr4:48261827-48261837(-) CTACAATATAT >hg38_chr4:48290588-48290598(-) ttacaatgccc >hg38_chr4:48328606-48328616(-) GGACAATAGGG >hg38_chr4:48333743-48333753(+) TAACAATGTGC >hg38_chr4:48345947-48345957(-) cgataatagat >hg38_chr4:48369372-48369382(-) cgacaatgtgt >hg38_chr4:48380722-48380732(+) TTACAATGAAA >hg38_chr4:48387254-48387264(-) AGACAATGCAT >hg38_chr4:48387425-48387435(+) ACACAATGGGA >hg38_chr4:48406920-48406930(+) gtacaatagat >hg38_chr4:48427081-48427091(-) CTACAATAATA >hg38_chr4:48436516-48436526(+) Taacaatagca >hg38_chr4:48447394-48447404(-) ataccatggaa >hg38_chr4:48470842-48470852(+) TGACAATGATT >hg38_chr4:48483194-48483204(-) TGACAAAGGAC >hg38_chr4:48546458-48546468(-) AAACAATGTTT >hg38_chr4:48584403-48584413(+) TGACAATGAGA >hg38_chr4:48584789-48584799(+) TTACAATGCAC >hg38_chr4:48584797-48584807(-) AAACAAAGGTG >hg38_chr4:48585634-48585644(-) agacaatagag >hg38_chr4:48585660-48585670(+) ctataatggca >hg38_chr4:48594317-48594327(+) agacaatagcc >hg38_chr4:48597879-48597889(+) CTACAATGCTG >hg38_chr4:48598747-48598757(+) CAACAATGGGA >hg38_chr4:48603514-48603524(+) CTACAATGTGC >hg38_chr4:48622024-48622034(+) GAACAATAAAA >hg38_chr4:48622042-48622052(-) TTACAATAGTT >hg38_chr4:48629949-48629959(+) atacaatgatg >hg38_chr4:48629971-48629981(+) atacaatggtt >hg38_chr4:48633777-48633787(+) gtacaataact >hg38_chr4:48633817-48633827(-) gaacaatagag >hg38_chr4:48633845-48633855(+) TCACAATAGCA >hg38_chr4:48642227-48642237(-) TGACAATAGCT >hg38_chr4:48673140-48673150(+) ATACAATATGT >hg38_chr4:48681953-48681963(+) GTACAATAAAG >hg38_chr4:48682058-48682068(+) ACACAAAGGTA >hg38_chr4:48704348-48704358(+) ctacaatgaga >hg38_chr4:48706906-48706916(-) gcacaaaggaa >hg38_chr4:48722712-48722722(+) AAACAATGGGC >hg38_chr4:48734359-48734369(+) taacaatacta >hg38_chr4:48744808-48744818(+) TTACAATGTTT >hg38_chr4:48752491-48752501(+) TAACAatgaat >hg38_chr4:48754993-48755003(-) acacaatgcta >hg38_chr4:48777562-48777572(+) AAACAATGTGT >hg38_chr4:48777576-48777586(+) AAACAATGTAG >hg38_chr4:48780613-48780623(-) TAATAATGGTA >hg38_chr4:48784627-48784637(-) ACACAAAGGGA >hg38_chr4:48787177-48787187(+) AAACAAAGGAC >hg38_chr4:48787184-48787194(+) GGACAAAGGAA >hg38_chr4:48799401-48799411(+) acacagtggaa >hg38_chr4:48831849-48831859(-) ACACAATGAGG >hg38_chr4:48843801-48843811(-) GAACAATAATA >hg38_chr4:48847127-48847137(-) ccacaatggct >hg38_chr4:48847158-48847168(-) ccacaatgcaa >hg38_chr4:48916150-48916160(-) CAACAATGTAA >hg38_chr4:48944441-48944451(+) GGACAAAGGGA >hg38_chr4:48945151-48945161(+) TCACAATAGTA >hg38_chr4:48954428-48954438(-) GAACAATGAAG >hg38_chr4:48967360-48967370(-) caacaatgggt >hg38_chr4:49709750-49709760(-) atacaatatat >hg38_chr4:51107433-51107443(-) atacaatacat >hg38_chr4:51829732-51829742(-) TGACAATGCat >hg38_chr4:51829748-51829758(+) TAACAATAACA >hg38_chr4:51843770-51843780(+) GGACAATGGGA >hg38_chr4:51843819-51843829(+) GAACAATGGGG >hg38_chr4:51843819-51843829(+) GAACAATGGGG >hg38_chr4:51847875-51847885(+) TAACAAtagct >hg38_chr4:51859317-51859327(-) ttataatggca >hg38_chr4:51875293-51875303(+) TTACAAAGGCA >hg38_chr4:51883559-51883569(-) aaacaatggca >hg38_chr4:51895775-51895785(-) ACACAATGTAA >hg38_chr4:51896852-51896862(-) gaacaatggag >hg38_chr4:51913750-51913760(-) GAACAATGCCA >hg38_chr4:51916271-51916281(-) TTACAGTGGAT >hg38_chr4:52012763-52012773(+) TTACAAAGGAC >hg38_chr4:52017253-52017263(-) CAACAATGCCA >hg38_chr4:52019709-52019719(+) ATACAATGGTG >hg38_chr4:52028042-52028052(+) GCACAATAGCA >hg38_chr4:52038587-52038597(-) GAACAAAGGGG >hg38_chr4:52093235-52093245(+) ATACAATATGA >hg38_chr4:52127151-52127161(+) AAACAATAACA >hg38_chr4:52141409-52141419(+) ggactatggat >hg38_chr4:52148954-52148964(-) ATACAGTGGCA >hg38_chr4:52179507-52179517(+) atataatggaa >hg38_chr4:52182095-52182105(+) atataatgtat >hg38_chr4:52188221-52188231(+) agacaaaggtt >hg38_chr4:52369178-52369188(-) aCACAATGTAG >hg38_chr4:52376318-52376328(+) AAACAATCGAT >hg38_chr4:52489373-52489383(+) agacaatagcc >hg38_chr4:52533274-52533284(+) GTACAATGTCC >hg38_chr4:52538416-52538426(-) TAACAATAGAT >hg38_chr4:52574247-52574257(-) GCACAATGGAA >hg38_chr4:52662898-52662908(+) agacaataggg >hg38_chr4:52713578-52713588(+) TTACAATAGGA >hg38_chr4:52718906-52718916(-) AAACAATATAT >hg38_chr4:52740525-52740535(-) ACACAAAGGTA >hg38_chr4:52824972-52824982(+) GAACAATAACC >hg38_chr4:52839015-52839025(-) GCACAATGGGC >hg38_chr4:52842968-52842978(+) ACACAATGTCC >hg38_chr4:52870291-52870301(-) TTACAATGGGA >hg38_chr4:52873364-52873374(+) GAACAATGCAG >hg38_chr4:52873374-52873384(-) TAACAAAGGAC >hg38_chr4:52916769-52916779(+) TAACAAAGGAA >hg38_chr4:52957525-52957535(+) TCACAAAGGCG >hg38_chr4:52958084-52958094(+) ACACAAAGGAA >hg38_chr4:52984527-52984537(+) TTACAAAGGTT >hg38_chr4:52989174-52989184(-) GTACAATGATT >hg38_chr4:53012173-53012183(+) CAACAATGAGA >hg38_chr4:53015840-53015850(-) GTACAATAAGA >hg38_chr4:53019981-53019991(+) ACACAAAGGTC >hg38_chr4:53064387-53064397(+) TAACAATATCC >hg38_chr4:53102802-53102812(+) tcacaatgtct >hg38_chr4:53131947-53131957(-) TGACAATGCTG >hg38_chr4:53176111-53176121(+) TAATAATGGAA >hg38_chr4:53187928-53187938(-) GAACAATGGGC >hg38_chr4:53220648-53220658(+) ATACAATAAAG >hg38_chr4:53220686-53220696(+) TAACAATATCC >hg38_chr4:53222030-53222040(-) AAACAATGTGG >hg38_chr4:53233319-53233329(-) TAACAGTGGTT >hg38_chr4:53234198-53234208(+) TGACAATGTTA >hg38_chr4:53234234-53234244(-) TAACAATGTAT >hg38_chr4:53274603-53274613(+) CTACAATGGTC >hg38_chr4:53275886-53275896(-) acacaatgaaa >hg38_chr4:53282403-53282413(-) agacaatagtt >hg38_chr4:53305405-53305415(-) acacaatggga >hg38_chr4:53306287-53306297(+) ATACAAAGATA >hg38_chr4:53321850-53321860(-) GAACAAAGGGC >hg38_chr4:53364388-53364398(+) GAACAATAAAG >hg38_chr4:53374545-53374555(-) GAACAATACTA >hg38_chr4:53377418-53377428(+) GAACAATGGTG >hg38_chr4:53379308-53379318(+) AAACAATGGTT >hg38_chr4:53391714-53391724(-) AAACAATAGTT >hg38_chr4:53393857-53393867(-) gtacaatggat >hg38_chr4:53399329-53399339(-) AAACAATAGGT >hg38_chr4:53436569-53436579(+) tcacaatgtcc >hg38_chr4:53461445-53461455(-) ATACAATGATG >hg38_chr4:53461469-53461479(+) CAACAATGGAT >hg38_chr4:53461499-53461509(-) ATACAATGGAA >hg38_chr4:53461523-53461533(+) CTACAATGCAG >hg38_chr4:53490289-53490299(-) AAATAATGGAC >hg38_chr4:53520259-53520269(-) AAACAATGGAA >hg38_chr4:53532154-53532164(+) TCACAATATAT >hg38_chr4:53541618-53541628(+) GAACAATATAA >hg38_chr4:53542016-53542026(+) GAACAATGGTG >hg38_chr4:53558689-53558699(+) AAACAATGTAC >hg38_chr4:53579713-53579723(+) GAACAATGGGA >hg38_chr4:53595471-53595481(+) TGACAATGGTT >hg38_chr4:53601586-53601596(-) GGACAATGGGC >hg38_chr4:53628463-53628473(-) taataatggtc >hg38_chr4:53629324-53629334(+) GAATAATGGCT >hg38_chr4:53634174-53634184(-) TTACAATAAAA >hg38_chr4:53648700-53648710(+) CAACAATGGGG >hg38_chr4:53696420-53696430(+) aaacaaTAACA >hg38_chr4:53696441-53696451(-) agacaataaaC >hg38_chr4:53770166-53770176(-) TGACAATAGTA >hg38_chr4:53790470-53790480(-) TAACAATGGAT >hg38_chr4:53790486-53790496(-) GAACAAAGGGT >hg38_chr4:53790524-53790534(-) TCACAATGGAG >hg38_chr4:53852818-53852828(+) ttacaatgcaa >hg38_chr4:53916641-53916651(-) acacaatgaat >hg38_chr4:53931705-53931715(-) acataatggca >hg38_chr4:53962443-53962453(+) CCACAATAGCC >hg38_chr4:53988207-53988217(+) TGACAATGGCA >hg38_chr4:53990138-53990148(+) TGACAATGTCT >hg38_chr4:54002340-54002350(+) ACACAATGCAA >hg38_chr4:54002370-54002380(+) TAACAATATCT >hg38_chr4:54027440-54027450(+) TAATAATGGCA >hg38_chr4:54038988-54038998(+) tgacaatgtac >hg38_chr4:54038995-54039005(+) gtacaatggca >hg38_chr4:54039505-54039515(+) ccacaatgaga >hg38_chr4:54042437-54042447(-) AAACAAAGGTA >hg38_chr4:54050169-54050179(-) AAACAAAGGAA >hg38_chr4:54059693-54059703(-) AAACAATGTTC >hg38_chr4:54059703-54059713(-) GAACAAAGGCA >hg38_chr4:54094722-54094732(-) TAACAATGGCC >hg38_chr4:54095625-54095635(+) CCACAATGCAA >hg38_chr4:54109484-54109494(-) TTACAAAGGGC >hg38_chr4:54185499-54185509(+) acacaatggag >hg38_chr4:54197528-54197538(-) GAACAATGGGG >hg38_chr4:54213992-54214002(-) GAACAATGACG >hg38_chr4:54227294-54227304(+) TAACAAAGGCA >hg38_chr4:54275568-54275578(+) AGACAAAGGCA >hg38_chr4:54307808-54307818(+) TAATAATGGGT >hg38_chr4:54332703-54332713(+) TCACAAAGGAC >hg38_chr4:54350934-54350944(-) TGACAATGAAA >hg38_chr4:54350967-54350977(+) AGACAATACCG >hg38_chr4:54350991-54351001(+) CTACAATGTGT >hg38_chr4:54446858-54446868(+) AAACAATAGAG >hg38_chr4:54499817-54499827(+) gaacaaaggct >hg38_chr4:54562206-54562216(+) TCACAATGAAT >hg38_chr4:54576122-54576132(-) AAACAATAGCt >hg38_chr4:54576497-54576507(-) TGACAATAATA >hg38_chr4:54576505-54576515(+) TCACAAAGGAC >hg38_chr4:54615930-54615940(+) TAATAATGATA >hg38_chr4:54615996-54616006(-) TAATAATGGAA >hg38_chr4:54618237-54618247(+) ATACAATGGAC >hg38_chr4:54669381-54669391(-) ACACAATGCTC >hg38_chr4:54736002-54736012(-) ttacaatatag >hg38_chr4:54741312-54741322(-) AAATAATGGGA >hg38_chr4:54756274-54756284(-) AAACACTGGTA >hg38_chr4:54756286-54756296(-) GAACAAAGGGG >hg38_chr4:54769023-54769033(-) AAACAAAGGCA >hg38_chr4:54837705-54837715(+) TAATAATGGGT >hg38_chr4:54837758-54837768(-) GAACAATGGTG >hg38_chr4:54870759-54870769(-) GAACAGTGGTT >hg38_chr4:54986326-54986336(+) taacaaaggga >hg38_chr4:54989898-54989908(+) aaactatggaa >hg38_chr4:54989950-54989960(-) acacaatggtt >hg38_chr4:55132938-55132948(+) TAACAATAGCT >hg38_chr4:55150596-55150606(+) TTACAATGCTT >hg38_chr4:55155850-55155860(+) TTACAATAATA >hg38_chr4:55172885-55172895(-) GAACAATAGCC >hg38_chr4:55175828-55175838(+) ATACAATGTGA >hg38_chr4:55181253-55181263(-) TTACAATAACA >hg38_chr4:55184868-55184878(+) AGACAATAGCA >hg38_chr4:55257953-55257963(-) aaACAATGACA >hg38_chr4:55258008-55258018(+) atacaatgagt >hg38_chr4:55258336-55258346(+) GAACAATGAAT >hg38_chr4:55304127-55304137(-) AAACAATGAGA >hg38_chr4:55312759-55312769(-) aaacaatagca >hg38_chr4:55323639-55323649(+) AAATAATGGGA >hg38_chr4:55361103-55361113(-) GAACAAAGGAC >hg38_chr4:55396784-55396794(-) CAACAATGAAA >hg38_chr4:55403832-55403842(-) ACACAAAGGAT >hg38_chr4:55426297-55426307(-) GAACAATGCCT >hg38_chr4:55426310-55426320(-) TGACAATGCTT >hg38_chr4:55431253-55431263(+) TGACAATAGGC >hg38_chr4:55432893-55432903(+) GGACAATGTGA >hg38_chr4:55440355-55440365(+) GAACAATAACC >hg38_chr4:55440390-55440400(+) GAACAATATTA >hg38_chr4:55453613-55453623(+) TTACAATGCCA >hg38_chr4:55455433-55455443(+) gcacaaagGAT >hg38_chr4:55464273-55464283(-) GTACAATGGGA >hg38_chr4:55468820-55468830(+) taacaatgttt >hg38_chr4:55477341-55477351(+) TAACAATAGGC >hg38_chr4:55491665-55491675(+) tcataatggtc >hg38_chr4:55491709-55491719(+) gtacaatggat >hg38_chr4:55491738-55491748(+) atataatggaa >hg38_chr4:55503283-55503293(+) atacaatgaag >hg38_chr4:55529744-55529754(-) tgacaatgggc >hg38_chr4:55529808-55529818(-) tgacaatggaa >hg38_chr4:55585852-55585862(+) ttacaatgctt >hg38_chr4:55635370-55635380(-) TAACAATAGGG >hg38_chr4:55638397-55638407(-) aaacaatgtaa >hg38_chr4:55645170-55645180(+) AGACAATGAAA >hg38_chr4:55645182-55645192(+) GTACAATGAAT >hg38_chr4:55858386-55858396(-) TCACAATGCTC >hg38_chr4:55859231-55859241(-) atacaataaaa >hg38_chr4:55859432-55859442(-) taacaatatat >hg38_chr4:55859448-55859458(+) ctacaatgaat >hg38_chr4:55867958-55867968(-) AAACAATGACT >hg38_chr4:55884693-55884703(-) ACACAATGTGG >hg38_chr4:55903730-55903740(-) ACATAATGGCT >hg38_chr4:55903752-55903762(-) ACACAATGTGA >hg38_chr4:55903787-55903797(-) taacagtggag >hg38_chr4:55939250-55939260(+) agacaatgcct >hg38_chr4:55939276-55939286(-) GAacaatgaca >hg38_chr4:55991469-55991479(-) acacaatgttt >hg38_chr4:55996876-55996886(-) GGACAAAGGTA >hg38_chr4:55996917-55996927(+) ATACAAtataa >hg38_chr4:56027200-56027210(+) TTACAAAGGAT >hg38_chr4:56040994-56041004(-) TGACAATGGGT >hg38_chr4:56049830-56049840(+) AGACAAAGGTT >hg38_chr4:56050510-56050520(-) AAACAATGCCA >hg38_chr4:56050807-56050817(-) ATACAATGCAT >hg38_chr4:56055812-56055822(-) gtacaatatgc >hg38_chr4:56091656-56091666(-) TGACAATGGCA >hg38_chr4:56099755-56099765(+) ATACAATGCTG >hg38_chr4:56129408-56129418(+) ACACAAAGGCA >hg38_chr4:56130688-56130698(+) ACACAATGCTT >hg38_chr4:56131598-56131608(+) ACATAATGGAT >hg38_chr4:56155135-56155145(-) gtacaatgtgg >hg38_chr4:56194280-56194290(+) TGACAGTGGTA >hg38_chr4:56200422-56200432(+) GCATAATGGGA >hg38_chr4:56210603-56210613(+) aaacaaaggca >hg38_chr4:56302251-56302261(+) CTACAATGACC >hg38_chr4:56311387-56311397(+) AGACAATGAGG >hg38_chr4:56372523-56372533(-) CCACAATGACT >hg38_chr4:56409222-56409232(-) tgacaatggga >hg38_chr4:56411553-56411563(-) AGACAATGAGT >hg38_chr4:56411617-56411627(-) AGACTATGGTA >hg38_chr4:56446494-56446504(-) atacaatgtat >hg38_chr4:56467865-56467875(-) GGACAATAGAC >hg38_chr4:56471410-56471420(-) ATACAAAGATA >hg38_chr4:56471634-56471644(-) AAACACTGGAT >hg38_chr4:56471658-56471668(+) ATATAATGGGT >hg38_chr4:56471672-56471682(-) TAACAATGCAA >hg38_chr4:56476765-56476775(-) GTACAATGAAG >hg38_chr4:56477758-56477768(-) gtacaatgaat >hg38_chr4:56492594-56492604(+) CAACAATGACT >hg38_chr4:56492638-56492648(-) CAACAATGCTT >hg38_chr4:56530775-56530785(-) GCACAATGGGC >hg38_chr4:56531432-56531442(-) taacaATAGCT >hg38_chr4:56544989-56544999(+) GGACAATGCTG >hg38_chr4:56612055-56612065(+) caACAATGAgc >hg38_chr4:56621698-56621708(+) AGACAATGTGA >hg38_chr4:56621729-56621739(+) ATACAATGTAA >hg38_chr4:56631383-56631393(-) TAACAATGGAG >hg38_chr4:56663136-56663146(-) GAACAAAGGAA >hg38_chr4:56735162-56735172(+) ccacaatagcc >hg38_chr4:56735237-56735247(+) aaacaatggaa >hg38_chr4:56768867-56768877(-) TAACAAAGGAA >hg38_chr4:56844968-56844978(-) AAACAATAGTT >hg38_chr4:56871542-56871552(+) CAACAATGGAG >hg38_chr4:56906024-56906034(-) GAAGAATGGCG >hg38_chr4:56907530-56907540(+) tgacaatagct >hg38_chr4:56934074-56934084(+) TGATAATGGTA >hg38_chr4:56934105-56934115(-) TTACAATGTCC >hg38_chr4:57030930-57030940(-) AAACAATAGTT >hg38_chr4:57074846-57074856(+) AAACAATATAG >hg38_chr4:57089676-57089686(+) AGACAATGTAG >hg38_chr4:57091737-57091747(+) TTACAAAGGAC >hg38_chr4:57092551-57092561(-) ATACAATAGAA >hg38_chr4:57111398-57111408(-) CCACAATGTGA >hg38_chr4:57120771-57120781(+) agacaatggct >hg38_chr4:57150066-57150076(-) AGACAATGGTT >hg38_chr4:57150076-57150086(+) TGACAATGACC >hg38_chr4:57187468-57187478(+) agacaatgaac >hg38_chr4:57213587-57213597(-) GTACAATAATG >hg38_chr4:57213599-57213609(-) GAACTATGGAG >hg38_chr4:57234287-57234297(+) TCACAATAGCG >hg38_chr4:57254907-57254917(+) ACATAATGGAA >hg38_chr4:57328640-57328650(+) gaacaatgaga >hg38_chr4:57375051-57375061(+) gaacaatgaaa >hg38_chr4:57404641-57404651(+) GGACAATGCCT >hg38_chr4:57416449-57416459(+) GAACAATGAAA >hg38_chr4:57416502-57416512(+) GGACAATGCAA >hg38_chr4:57424107-57424117(-) AGACAATAGGA >hg38_chr4:57434103-57434113(+) AGACAAAGGAA >hg38_chr4:57441860-57441870(-) CTACAATGAGC >hg38_chr4:57463004-57463014(-) GAACAATGCAA >hg38_chr4:57463046-57463056(+) AAACAATGTTG >hg38_chr4:57476743-57476753(-) atacaaagata >hg38_chr4:57495630-57495640(-) TGACAATAGTT >hg38_chr4:57497627-57497637(-) CCACAATGTAG >hg38_chr4:57545408-57545418(+) aaataatggga >hg38_chr4:57711829-57711839(+) acacaataaat >hg38_chr4:57711869-57711879(+) gcacaatgaat >hg38_chr4:57778195-57778205(+) aaactatggct >hg38_chr4:57835883-57835893(-) atacagtggaa >hg38_chr4:57835902-57835912(-) ggacactggta >hg38_chr4:57870387-57870397(+) TTATAATGGGA >hg38_chr4:57870396-57870406(+) GAACAAAGGCT >hg38_chr4:57998681-57998691(+) AGACAATAGTT >hg38_chr4:58068279-58068289(-) ccacaatggct >hg38_chr4:58214035-58214045(+) taacaaagggt >hg38_chr4:58283313-58283323(+) ttacaatgtct >hg38_chr4:58499393-58499403(+) gaacaatgtga >hg38_chr4:58602959-58602969(+) TTACAATAGAT >hg38_chr4:58685566-58685576(-) GTACAATGCTA >hg38_chr4:58719577-58719587(+) TAACAATGGGA >hg38_chr4:58719621-58719631(+) GTACAGTGGCA >hg38_chr4:58809426-58809436(+) GGACAATGAGG >hg38_chr4:58811441-58811451(+) GTACAAAGGCT >hg38_chr4:58941785-58941795(+) TAACAATGTTA >hg38_chr4:58941830-58941840(+) GAACAATGGAA >hg38_chr4:59051698-59051708(-) taacaatagtc >hg38_chr4:59052356-59052366(+) CAACAATGCAA >hg38_chr4:59069148-59069158(+) GTACAATATTT >hg38_chr4:59092315-59092325(+) ggacaaaggaa >hg38_chr4:59092333-59092343(+) gaacaatggaa >hg38_chr4:59119419-59119429(+) taacaatataa >hg38_chr4:59159448-59159458(-) CAACAATGatt >hg38_chr4:59159460-59159470(-) GAACAATAAAA >hg38_chr4:59339877-59339887(-) gcacaatgggc >hg38_chr4:59368297-59368307(-) TTACAatagtt >hg38_chr4:59397982-59397992(-) agacaatgaga >hg38_chr4:59521858-59521868(-) atacaatatct >hg38_chr4:59574733-59574743(+) AAACAATGCCT >hg38_chr4:59617568-59617578(+) gaacaatagaa >hg38_chr4:59726429-59726439(+) gaacaatgtaa >hg38_chr4:59870461-59870471(-) GAACaatggaa >hg38_chr4:59888650-59888660(+) agacaatagtc >hg38_chr4:59905113-59905123(+) agacaatgcag >hg38_chr4:59922644-59922654(+) aaacaatgggg >hg38_chr4:59922720-59922730(-) gcacaatgttg >hg38_chr4:60017140-60017150(-) CAATAATGGAA >hg38_chr4:60031330-60031340(-) atacaataata >hg38_chr4:60035442-60035452(-) agacaatggtg >hg38_chr4:60065751-60065761(-) AGATAATGGCA >hg38_chr4:60065796-60065806(+) AAACAATGGAT >hg38_chr4:60089659-60089669(-) TTACAAAGGAA >hg38_chr4:60089681-60089691(-) AAACAATGTGA >hg38_chr4:60129068-60129078(-) GTACAATACAC >hg38_chr4:60130890-60130900(+) GGACAATGTAT >hg38_chr4:60148172-60148182(-) GAACAATGTGG >hg38_chr4:60178604-60178614(+) tgataatggta >hg38_chr4:60178627-60178637(-) taacaataact >hg38_chr4:60178674-60178684(+) GTACAATATAA >hg38_chr4:60178690-60178700(-) TAATAATGTAT >hg38_chr4:60179478-60179488(-) aaacaatagca >hg38_chr4:60179511-60179521(-) CAACAATGTAC >hg38_chr4:60202619-60202629(+) GAATAATGGCC >hg38_chr4:60202979-60202989(+) CTACTATGGTA >hg38_chr4:60204040-60204050(-) TAACAATATAG >hg38_chr4:60226382-60226392(-) ggacaatagta >hg38_chr4:60240054-60240064(+) ATACAATGCTC >hg38_chr4:60314708-60314718(-) gaacaatgtac >hg38_chr4:60326590-60326600(-) CTATAATGGCT >hg38_chr4:60344937-60344947(+) TTACAATAGCA >hg38_chr4:60404109-60404119(-) tgacaatgact >hg38_chr4:60404134-60404144(-) tgacaatgaca >hg38_chr4:60452884-60452894(-) atacaatacac >hg38_chr4:60481716-60481726(-) acacaatgtga >hg38_chr4:60483045-60483055(+) ggacaatggaa >hg38_chr4:60485631-60485641(-) aaacagtggga >hg38_chr4:60520940-60520950(-) TGACAATAGCC >hg38_chr4:60561900-60561910(+) CAACAATAGCC >hg38_chr4:60561923-60561933(+) GAACAATGCAT >hg38_chr4:60562428-60562438(-) TGACAATGAGC >hg38_chr4:60591096-60591106(-) ACACAATAGGC >hg38_chr4:60605834-60605844(-) AAACAATAAAA >hg38_chr4:60605887-60605897(+) GTACAGTGGCA >hg38_chr4:60607321-60607331(-) ACACAAAGGAT >hg38_chr4:60653222-60653232(-) CTACAAAGGAT >hg38_chr4:60655508-60655518(-) tcacaatgaag >hg38_chr4:60655581-60655591(-) agacaaaggaa >hg38_chr4:60684590-60684600(+) ATACAATGTAG >hg38_chr4:60688027-60688037(-) CCACAATGACT >hg38_chr4:60706294-60706304(+) taacaatgtgt >hg38_chr4:60711392-60711402(+) CAACAATGACC >hg38_chr4:60744201-60744211(+) AGACAATAGAA >hg38_chr4:60841659-60841669(-) AAACAATAAAT >hg38_chr4:60841691-60841701(+) CAACAATAGTA >hg38_chr4:60859621-60859631(-) gtacaatggac >hg38_chr4:60863361-60863371(+) TGACAATGCTT >hg38_chr4:60864690-60864700(-) AAACAATAAAT >hg38_chr4:60873720-60873730(-) GGACAATGAAG >hg38_chr4:60878939-60878949(+) atacaattgta >hg38_chr4:60896946-60896956(+) TAACACTGGAT >hg38_chr4:60909908-60909918(-) GTACAATGGCT >hg38_chr4:60911487-60911497(+) ATACAATGCAA >hg38_chr4:61001894-61001904(+) ttacaatgtgt >hg38_chr4:61002008-61002018(+) acacaatggaa >hg38_chr4:61046602-61046612(-) agataatggct >hg38_chr4:61140959-61140969(-) ccacAATGAGA >hg38_chr4:61200116-61200126(-) AGACAAAGGGT >hg38_chr4:61213046-61213056(+) TAACAATGGTA >hg38_chr4:61227748-61227758(-) TAACAATAATG >hg38_chr4:61227817-61227827(-) TAACAATAACC >hg38_chr4:61239491-61239501(+) TTACTATGGAT >hg38_chr4:61245518-61245528(-) tgacaatgcct >hg38_chr4:61376793-61376803(+) GGACAATGGCT >hg38_chr4:61395380-61395390(-) GCACAATGTGC >hg38_chr4:61395924-61395934(-) GCACAATGCGC >hg38_chr4:61444044-61444054(+) AGACAAAGGGA >hg38_chr4:61462788-61462798(-) GAACAATAGCT >hg38_chr4:61468149-61468159(-) CAACAATGGGG >hg38_chr4:61490612-61490622(-) CAACAATGAGT >hg38_chr4:61493601-61493611(-) TTACAGTGGCG >hg38_chr4:61493637-61493647(-) ACACAACGGGT >hg38_chr4:61512183-61512193(-) TTACAATAGCA >hg38_chr4:61520876-61520886(-) TGACAATGAGC >hg38_chr4:61581499-61581509(+) ctacaatgtga >hg38_chr4:61585004-61585014(-) TCACAATAGAC >hg38_chr4:61612374-61612384(+) AAACAATAGTC >hg38_chr4:61622364-61622374(+) TTACAAAGGAC >hg38_chr4:61639155-61639165(-) GTACAAAGGCA >hg38_chr4:61640769-61640779(-) TGACAATGAGA >hg38_chr4:61656338-61656348(+) GTACAATGAAG >hg38_chr4:61656406-61656416(-) CAACAATGAAC >hg38_chr4:61658670-61658680(+) gcacaatgacc >hg38_chr4:61666516-61666526(+) GTATAATGAAT >hg38_chr4:61708214-61708224(+) CAACAATGAAT >hg38_chr4:61710251-61710261(-) GAACAATAGAG >hg38_chr4:61710264-61710274(+) AAACAATAGGG >hg38_chr4:61716826-61716836(-) TGACAATGGGC >hg38_chr4:61769991-61770001(+) atacgatggct >hg38_chr4:61770677-61770687(+) agacaatggta >hg38_chr4:61837362-61837372(+) acacaaaggca >hg38_chr4:61841836-61841846(-) TAACAATGTGT >hg38_chr4:61843498-61843508(+) atacaatatgc >hg38_chr4:61911143-61911153(-) TAACAATAGCT >hg38_chr4:61911344-61911354(+) AAATAATGGGC >hg38_chr4:61934992-61935002(-) TGACAATGTCA >hg38_chr4:62070119-62070129(+) GAACAATGCCA >hg38_chr4:62134288-62134298(-) aaacaatggac >hg38_chr4:62206724-62206734(+) caacaatgact >hg38_chr4:62299753-62299763(-) TTATAATGCGA >hg38_chr4:62319362-62319372(-) tgacaatagtc >hg38_chr4:62377862-62377872(+) CAACAATAGAG >hg38_chr4:62377918-62377928(+) AGACAATGAAG >hg38_chr4:62395237-62395247(-) TCATAATGGAG >hg38_chr4:62441417-62441427(-) taacaatgaaa >hg38_chr4:62441496-62441506(+) cgacgatgata >hg38_chr4:62448605-62448615(+) TGacaataggt >hg38_chr4:62515910-62515920(+) AAACAATAGAG >hg38_chr4:62593398-62593408(+) AAACAAAGGCA >hg38_chr4:62693945-62693955(-) AAACAATAGCT >hg38_chr4:62709885-62709895(-) GTACAATAGCC >hg38_chr4:62735088-62735098(-) GAACAATAAAA >hg38_chr4:62856649-62856659(-) TTACAATGTGG >hg38_chr4:62856688-62856698(-) TAACAATGATA >hg38_chr4:62858987-62858997(+) gaacaatgctg >hg38_chr4:62940493-62940503(-) gcacaatgaat >hg38_chr4:62940500-62940510(-) tcacaatgcac >hg38_chr4:63007418-63007428(+) atacaataggc >hg38_chr4:63087248-63087258(+) AAACAATAGAA >hg38_chr4:63219072-63219082(-) acacaatgcat >hg38_chr4:63226403-63226413(-) tgacaatgagg >hg38_chr4:63323381-63323391(-) AAACAAAGGAG >hg38_chr4:63371153-63371163(-) atacaatacat >hg38_chr4:63722321-63722331(+) aaacaatagcc >hg38_chr4:63922234-63922244(-) agacaaagggc >hg38_chr4:63984273-63984283(+) aaacaaaggta >hg38_chr4:64048225-64048235(+) agataatggta >hg38_chr4:64151743-64151753(-) gaacaatagtt >hg38_chr4:64157048-64157058(-) agacaatgggt >hg38_chr4:64193200-64193210(+) atacaatgtct >hg38_chr4:64208729-64208739(-) ggacaatggcc >hg38_chr4:64269339-64269349(+) caataatggag >hg38_chr4:64290308-64290318(-) ACATAATGGCC >hg38_chr4:64418250-64418260(-) AAACAAAGGGC >hg38_chr4:64442572-64442582(-) TTATAATGGGA >hg38_chr4:64442615-64442625(-) TAACAATAGAT >hg38_chr4:64449770-64449780(-) aaaCAATGGCT >hg38_chr4:64540910-64540920(-) TGACAATAGCA >hg38_chr4:64542602-64542612(-) taacaataaca >hg38_chr4:64543700-64543710(+) AAACTATGGCT >hg38_chr4:64623790-64623800(+) agataatggat >hg38_chr4:64691509-64691519(-) ACACAATGGGA >hg38_chr4:64777413-64777423(-) acacaATGCCC >hg38_chr4:64777458-64777468(-) gaataatggca >hg38_chr4:64781942-64781952(+) CCACAATGAAA >hg38_chr4:64781987-64781997(-) TAATAATGTAA >hg38_chr4:64784320-64784330(-) gaacaatggaa >hg38_chr4:64784837-64784847(-) ATACAATGTAT >hg38_chr4:64811526-64811536(-) AGACAATGGTG >hg38_chr4:64890237-64890247(-) ACACAATGTAA >hg38_chr4:64890284-64890294(+) AAATAATGGTG >hg38_chr4:65002119-65002129(+) aaacaatagca >hg38_chr4:65002188-65002198(-) tcacaatggat >hg38_chr4:65188983-65188993(-) taaCAATAGCT >hg38_chr4:65214250-65214260(+) atacaatagtc >hg38_chr4:65280363-65280373(-) TCACAATAGTT >hg38_chr4:65437311-65437321(-) GTACAATAAGA >hg38_chr4:65437327-65437337(-) GAACAATAGCC >hg38_chr4:65451094-65451104(-) TAACAATGGGC >hg38_chr4:65485741-65485751(-) caacaATGTAT >hg38_chr4:65508380-65508390(-) TAACAATGTGT >hg38_chr4:65516768-65516778(+) ttacaatgtct >hg38_chr4:65516789-65516799(+) atacaatgttg >hg38_chr4:65516832-65516842(-) ccacaatggga >hg38_chr4:65540308-65540318(-) ATACAATACAA >hg38_chr4:65540328-65540338(+) GAACAATGAGA >hg38_chr4:65552212-65552222(-) GAACAATGCTG >hg38_chr4:65561401-65561411(-) GGACAATGAGA >hg38_chr4:65566169-65566179(+) AAACAATGGGG >hg38_chr4:65635490-65635500(-) ACACAATGGGG >hg38_chr4:65656193-65656203(+) CTACAATGACT >hg38_chr4:65665286-65665296(+) AGACAAAGGAG >hg38_chr4:65669374-65669384(+) AAACAATGCAA >hg38_chr4:65705053-65705063(-) CCACAATGGCA >hg38_chr4:65791991-65792001(+) taacaaagggc >hg38_chr4:65799984-65799994(-) AAACAATAGCA >hg38_chr4:65852992-65853002(+) aaacaaaggac >hg38_chr4:65917672-65917682(+) TAACAGTGGCA >hg38_chr4:65943297-65943307(-) tgacaatagac >hg38_chr4:65968981-65968991(+) GTACAATACTC >hg38_chr4:65970549-65970559(-) ATACAATTGAT >hg38_chr4:66019748-66019758(-) taacaatagtt >hg38_chr4:66062043-66062053(+) ACATAATGGCA >hg38_chr4:66063639-66063649(+) TAACAATGTCA >hg38_chr4:66072072-66072082(-) aaacaatgatg >hg38_chr4:66097356-66097366(-) ctacaatggta >hg38_chr4:66097391-66097401(+) acacaatggtt >hg38_chr4:66123950-66123960(-) AGACAATGAGT >hg38_chr4:66198498-66198508(-) taacaataaca >hg38_chr4:66294835-66294845(-) agacaatgtgg >hg38_chr4:66326407-66326417(+) AGACAATGGCC >hg38_chr4:66334279-66334289(-) TGACAATGTGG >hg38_chr4:66334290-66334300(-) CAACAATGTGG >hg38_chr4:66358656-66358666(+) gcacaatgaca >hg38_chr4:66358675-66358685(+) taacaatgtgt >hg38_chr4:66358711-66358721(+) ctataatggat >hg38_chr4:66456782-66456792(-) taacaattgta >hg38_chr4:66525387-66525397(-) aAACAGTGGTA >hg38_chr4:66583934-66583944(+) GAACAATAGCT >hg38_chr4:66602836-66602846(+) TGACAATGAAT >hg38_chr4:66636625-66636635(-) TTACAATAGAT >hg38_chr4:66640385-66640395(-) GAACAATATCG >hg38_chr4:66640426-66640436(-) CAACAATGAAC >hg38_chr4:66643613-66643623(-) AAACAATGCAG >hg38_chr4:66644523-66644533(+) GTACAATGGGC >hg38_chr4:66736808-66736818(-) CCACAATGGGG >hg38_chr4:66736822-66736832(+) ATACAATGTAT >hg38_chr4:66794521-66794531(+) GAACAAAGGAT >hg38_chr4:66872508-66872518(-) CCACAATGAAG >hg38_chr4:66887272-66887282(+) CAACAATAGAT >hg38_chr4:66906504-66906514(+) GAACAATAGAA >hg38_chr4:66922191-66922201(-) AAACAATGTGT >hg38_chr4:66923844-66923854(-) agacaatgaaa >hg38_chr4:66950526-66950536(-) ATACAATATGC >hg38_chr4:66991550-66991560(-) AGACAAAGGGA >hg38_chr4:67085012-67085022(+) AGACAATAACA >hg38_chr4:67085745-67085755(-) agacaataggg >hg38_chr4:67122289-67122299(+) AAACAATGAGA >hg38_chr4:67134579-67134589(-) gcacaatgcca >hg38_chr4:67142875-67142885(+) TGACAATGACA >hg38_chr4:67161522-67161532(+) AAACAAAGGGC >hg38_chr4:67222690-67222700(+) GAACAATGCCA >hg38_chr4:67263145-67263155(+) taacaAAGGTA >hg38_chr4:67301942-67301952(-) gcACAATGCCT >hg38_chr4:67306972-67306982(-) ACACAATGCTG >hg38_chr4:67326169-67326179(+) ACATAATGGTC >hg38_chr4:67327964-67327974(-) GAACAAAGGGG >hg38_chr4:67327972-67327982(-) GTACAAAGGAA >hg38_chr4:67357165-67357175(-) ggacaatgaaa >hg38_chr4:67371197-67371207(-) agacaatgcca >hg38_chr4:67375426-67375436(+) AAACAATAGGA >hg38_chr4:67375437-67375447(-) AGACAATGAAT >hg38_chr4:67413465-67413475(+) atacaatatgc >hg38_chr4:67437273-67437283(-) tgacaatgata >hg38_chr4:67461662-67461672(+) agacaatagcc >hg38_chr4:67546214-67546224(-) tcactatggaa >hg38_chr4:67563320-67563330(+) ATATAATGGAT >hg38_chr4:67629811-67629821(-) TAACAATATCT >hg38_chr4:67630482-67630492(+) ATACAATATAC >hg38_chr4:67635482-67635492(+) GTACAATAACT >hg38_chr4:67635510-67635520(-) GAACAATGGGC >hg38_chr4:67649187-67649197(+) GCACAATGTCA >hg38_chr4:67649231-67649241(+) AGACAATAACA >hg38_chr4:67650163-67650173(+) aaacaatgatg >hg38_chr4:67652174-67652184(-) ttacaatagtc >hg38_chr4:67671527-67671537(+) AAACAAAGGTT >hg38_chr4:67671580-67671590(-) TTACAATAGGG >hg38_chr4:67688167-67688177(+) CTACAAAGGAA >hg38_chr4:67688412-67688422(+) TAACAATAAAG >hg38_chr4:67693524-67693534(-) gaacaatgaag >hg38_chr4:67696136-67696146(+) TTACAATGAAG >hg38_chr4:67744693-67744703(-) TCACAATGGTG >hg38_chr4:67745757-67745767(+) tgacaatgtct >hg38_chr4:67749092-67749102(-) TAACAATAGCA >hg38_chr4:67750804-67750814(+) GCACAATAGGA >hg38_chr4:67757197-67757207(+) agacaatgggc >hg38_chr4:67770642-67770652(-) CAACAATGGGG >hg38_chr4:67785517-67785527(-) AGACAATGGAG >hg38_chr4:67789886-67789896(-) agacaatgcat >hg38_chr4:67797267-67797277(-) gtacaacgata >hg38_chr4:67797274-67797284(+) gtacaatgtgc >hg38_chr4:67808108-67808118(+) ATACACTGGAA >hg38_chr4:67872846-67872856(+) acataatggtt >hg38_chr4:67910470-67910480(+) CTACAATAATA >hg38_chr4:67918973-67918983(-) TTACTATGGTG >hg38_chr4:67922097-67922107(-) tcacaatagtg >hg38_chr4:67929283-67929293(+) TGATAATGGTA >hg38_chr4:67929291-67929301(+) GTATAATGGGC >hg38_chr4:67929332-67929342(+) GAACAATGTAC >hg38_chr4:67996241-67996251(+) CCACAATGTTA >hg38_chr4:68019647-68019657(-) TGACAATGACT >hg38_chr4:68056584-68056594(-) taacaatgata >hg38_chr4:68056619-68056629(-) taacaatgata >hg38_chr4:68122531-68122541(+) AAACAATAGTT >hg38_chr4:68123706-68123716(+) CCACAATGGGA >hg38_chr4:68151497-68151507(-) TAACAAAGGCA >hg38_chr4:68159998-68160008(-) gtacaatgaaa >hg38_chr4:68181412-68181422(+) taataatagta >hg38_chr4:68209452-68209462(+) atacagtggga >hg38_chr4:68212439-68212449(-) TCACTATGGAT >hg38_chr4:68218171-68218181(+) aaacaaTAGAT >hg38_chr4:68273416-68273426(-) CTACAATGGTA >hg38_chr4:68288439-68288449(-) tgataatggcc >hg38_chr4:68452000-68452010(-) TAACAATGTTT >hg38_chr4:69024306-69024316(-) taacaatggac >hg38_chr4:69024329-69024339(-) ctacaatggga >hg38_chr4:69079064-69079074(+) ggacaatagga >hg38_chr4:69166664-69166674(-) tgacaatagca >hg38_chr4:69573374-69573384(-) GTACAATGCCT >hg38_chr4:69598689-69598699(-) TGACAATGGTG >hg38_chr4:69671290-69671300(+) acacaatgaag >hg38_chr4:69671317-69671327(+) aaacaatagtc >hg38_chr4:69690417-69690427(+) atacaataact >hg38_chr4:69703472-69703482(-) TAACAATGGAG >hg38_chr4:69704600-69704610(-) TCACGATGGAC >hg38_chr4:69745444-69745454(-) atacaatgata >hg38_chr4:69776247-69776257(-) GAACAATGTTC >hg38_chr4:69800589-69800599(+) AGACAATAATA >hg38_chr4:69812743-69812753(+) CAACAATGAAA >hg38_chr4:69839720-69839730(-) AGACAATGAAA >hg38_chr4:69866968-69866978(+) ctacagtggta >hg38_chr4:69882221-69882231(-) TTATAATGGGG >hg38_chr4:69911228-69911238(+) GAACAATGATT >hg38_chr4:69911271-69911281(+) TAACAATGTCA >hg38_chr4:69989419-69989429(+) TTACAATGCAT >hg38_chr4:69991130-69991140(-) TGACAAAGGAA >hg38_chr4:70157963-70157973(+) AGACAATGGAG >hg38_chr4:70174560-70174570(+) CTAcaaaggaa >hg38_chr4:70240249-70240259(+) TTACAATGCTT >hg38_chr4:70240279-70240289(+) CCACAATAGCC >hg38_chr4:70240348-70240358(+) AAACAAAGGGA >hg38_chr4:70254039-70254049(-) caacaatgcac >hg38_chr4:70322348-70322358(+) AAACAATGTTG >hg38_chr4:70322589-70322599(+) ACACAATGTTA >hg38_chr4:70343152-70343162(+) GCACAATGGGT >hg38_chr4:70460994-70461004(-) tgactatggga >hg38_chr4:70560232-70560242(-) AAACAATGAGC >hg38_chr4:70560576-70560586(-) CAACAATGAAA >hg38_chr4:70607478-70607488(+) ttacaatggac >hg38_chr4:70608623-70608633(-) AGATAATGGGA >hg38_chr4:70616763-70616773(+) agacaaagggc >hg38_chr4:70628185-70628195(-) GTACAATGGCA >hg38_chr4:70628434-70628444(-) GAACAAAGGCG >hg38_chr4:70709794-70709804(-) GAACAATGTTT >hg38_chr4:70723201-70723211(-) ATATAATGGTG >hg38_chr4:70734306-70734316(-) GAACAATAACA >hg38_chr4:70739678-70739688(+) AAACAAAGGCG >hg38_chr4:70777629-70777639(+) taacaatgaaa >hg38_chr4:70777643-70777653(+) aaacaatggca >hg38_chr4:70832430-70832440(-) AAACAATGAAG >hg38_chr4:70832489-70832499(+) TAACAAAGGAA >hg38_chr4:70836577-70836587(+) TAACAATAGTG >hg38_chr4:70849383-70849393(+) taacaatagtg >hg38_chr4:70849398-70849408(+) taacaatagct >hg38_chr4:70901804-70901814(-) TTACAAAGGGA >hg38_chr4:70977289-70977299(-) caacaatatcg >hg38_chr4:70987129-70987139(-) TAACAATGAAG >hg38_chr4:71024225-71024235(+) GGATAATGGCA >hg38_chr4:71038068-71038078(-) aaacaatgggt >hg38_chr4:71038103-71038113(-) aaacaataaca >hg38_chr4:71038366-71038376(-) agacaatgcca >hg38_chr4:71072395-71072405(-) taacaatagac >hg38_chr4:71075067-71075077(-) TAATAATAGCG >hg38_chr4:71077716-71077726(-) CTACAATGCTC >hg38_chr4:71093662-71093672(-) CAACAATAGCA >hg38_chr4:71096194-71096204(+) TCACAAAGGTA >hg38_chr4:71184407-71184417(+) AAACAAAGGTC >hg38_chr4:71194300-71194310(-) TAACaataata >hg38_chr4:71194306-71194316(-) ATACAATAACa >hg38_chr4:71258085-71258095(-) ggacaatggga >hg38_chr4:71303955-71303965(-) agacaatgcat >hg38_chr4:71341040-71341050(-) TGACAATGAGA >hg38_chr4:71390228-71390238(-) TTACAATGAGT >hg38_chr4:71391372-71391382(-) ATACAATGACA >hg38_chr4:71429954-71429964(-) GAACAATAATA >hg38_chr4:71429968-71429978(-) ACACAATAAAC >hg38_chr4:71468249-71468259(-) ACACAATGTTT >hg38_chr4:71474592-71474602(+) TCACAATAGGC >hg38_chr4:71502906-71502916(-) caacaatggac >hg38_chr4:71502939-71502949(-) atacaataata >hg38_chr4:71534706-71534716(+) AGACAATGACA >hg38_chr4:71576495-71576505(-) ttacaatagcc >hg38_chr4:71593550-71593560(-) AAACAATGGGC >hg38_chr4:71655909-71655919(+) ccacaatgtca >hg38_chr4:71777310-71777320(-) TCACAATGGTT >hg38_chr4:71787343-71787353(-) GCACAATGTGT >hg38_chr4:71787363-71787373(-) AGACAGTGGAA >hg38_chr4:71801445-71801455(-) gcacaatggtc >hg38_chr4:71828632-71828642(+) TAACAATAGCC >hg38_chr4:71840775-71840785(+) GGACAATGAGA >hg38_chr4:71844413-71844423(-) tcacaatagtt >hg38_chr4:71844425-71844435(-) aaacaatgtca >hg38_chr4:71853318-71853328(-) caacaacggat >hg38_chr4:71878232-71878242(-) GTACAAAGGCC >hg38_chr4:71941623-71941633(+) TAACAATGTGT >hg38_chr4:71989597-71989607(+) ctacaatgtgg >hg38_chr4:71989624-71989634(+) caacaaaggac >hg38_chr4:72012864-72012874(+) CCACAATGAGA >hg38_chr4:72033267-72033277(-) TGATAATGGCA >hg38_chr4:72092870-72092880(-) gcacaatgaat >hg38_chr4:72191549-72191559(-) AAACAATGTGG >hg38_chr4:72191564-72191574(+) GGACAATAGGT >hg38_chr4:72221085-72221095(+) ATACAATAGAA >hg38_chr4:72221095-72221105(+) AAACAATGTGG >hg38_chr4:72275287-72275297(-) TCACAATAGGC >hg38_chr4:72314963-72314973(-) AAACAAAGGCA >hg38_chr4:72315645-72315655(-) aaacaatggat >hg38_chr4:72383748-72383758(+) ctacaatgtat >hg38_chr4:72394510-72394520(+) CAACAATGATG >hg38_chr4:72410052-72410062(-) GAACAATAGAA >hg38_chr4:72431187-72431197(+) GCACAATGGCG >hg38_chr4:72461345-72461355(-) TAATAATGGAT >hg38_chr4:72469480-72469490(+) gtacaatgaac >hg38_chr4:72490598-72490608(-) agacaatgtcc >hg38_chr4:72490630-72490640(-) taacaatgtgc >hg38_chr4:72508052-72508062(+) TAACAATAATA >hg38_chr4:72537732-72537742(+) gcacaaaggaa >hg38_chr4:72537777-72537787(+) aaacaatagat >hg38_chr4:72563683-72563693(-) TGACAATGGCT >hg38_chr4:72588364-72588374(-) GCACAAtagtt >hg38_chr4:72662912-72662922(+) taacaataaac >hg38_chr4:72663062-72663072(+) GAATAATGGAA >hg38_chr4:72675628-72675638(-) gaacaatgaac >hg38_chr4:72675655-72675665(-) acacaatgaag >hg38_chr4:72675663-72675673(-) aaacaataaca >hg38_chr4:72701035-72701045(-) ggacaataggc >hg38_chr4:72720340-72720350(-) AAACAATAGTG >hg38_chr4:72748135-72748145(-) CAACAATGTCT >hg38_chr4:72759723-72759733(+) TGACAATGTTT >hg38_chr4:72790013-72790023(-) ctacaaaggaa >hg38_chr4:72806027-72806037(+) acactatggat >hg38_chr4:72843523-72843533(+) GGACAATGTTG >hg38_chr4:72843647-72843657(+) CAACAATAGTA >hg38_chr4:72904661-72904671(+) GGACAGTGGAC >hg38_chr4:72970123-72970133(-) GAACAATGTCT >hg38_chr4:72970328-72970338(+) AAACAATCGTG >hg38_chr4:72970342-72970352(+) GGACAATGCCT >hg38_chr4:72971743-72971753(-) ggacaaaggga >hg38_chr4:72971750-72971760(-) atacactggac >hg38_chr4:73039038-73039048(+) CAACAATGATC >hg38_chr4:73055413-73055423(+) gaacaatgcaa >hg38_chr4:73083325-73083335(+) tgacaatggta >hg38_chr4:73083612-73083622(+) TTACAATATAG >hg38_chr4:73092426-73092436(+) aaacaAAGGAC >hg38_chr4:73103066-73103076(+) TTATAATGGCA >hg38_chr4:73113780-73113790(-) TAACAATAATC >hg38_chr4:73124717-73124727(+) AAACAATGTGT >hg38_chr4:73124754-73124764(+) TAACAATGATA >hg38_chr4:73128049-73128059(+) CAACAATGGAG >hg38_chr4:73140191-73140201(+) CTACAATGCTC >hg38_chr4:73180088-73180098(+) ATACAATATTT >hg38_chr4:73192313-73192323(-) TAACAATGTGA >hg38_chr4:73202870-73202880(+) aaacaataact >hg38_chr4:73202927-73202937(+) aaacaatgaga >hg38_chr4:73205480-73205490(+) acacaatgtga >hg38_chr4:73219309-73219319(+) TAACAATGTGC >hg38_chr4:73246324-73246334(+) acacaatgggt >hg38_chr4:73246984-73246994(+) AAACAATAAAT >hg38_chr4:73247010-73247020(+) AAACAATGTCA >hg38_chr4:73248970-73248980(+) CAACAATGGGG >hg38_chr4:73283300-73283310(+) agacaatggga >hg38_chr4:73308338-73308348(-) ACACAAAGGAG >hg38_chr4:73385206-73385216(-) acacaatgtct >hg38_chr4:73402511-73402521(+) TAACAATTGTA >hg38_chr4:73407173-73407183(-) caataatggat >hg38_chr4:73407176-73407186(-) taacaataatg >hg38_chr4:73407700-73407710(-) ATACAAAGATA >hg38_chr4:73407717-73407727(+) ATACAATAAAA >hg38_chr4:73453081-73453091(-) CCACAATGAAC >hg38_chr4:73466203-73466213(+) atacaatggca >hg38_chr4:73486647-73486657(+) GGACAATAGCC >hg38_chr4:73505000-73505010(+) CAACAATGACA >hg38_chr4:73533637-73533647(+) GAACAATAATA >hg38_chr4:73576722-73576732(-) GAACAAAGACG >hg38_chr4:73583596-73583606(-) ATACAATGACG >hg38_chr4:73655689-73655699(+) ctacaatataa >hg38_chr4:73655703-73655713(+) caactatggta >hg38_chr4:73655737-73655747(+) aaacaatagag >hg38_chr4:73677157-73677167(-) TTACAAAGGTG >hg38_chr4:73692986-73692996(+) AAACAATGCAA >hg38_chr4:73698290-73698300(+) TAACAATGTAC >hg38_chr4:73698323-73698333(+) AAACAATAGCA >hg38_chr4:73705158-73705168(+) TGACAATAGAA >hg38_chr4:73708608-73708618(-) AGACAATGGGC >hg38_chr4:73762033-73762043(+) aaacaatgtat >hg38_chr4:73762058-73762068(+) gaaccatggta >hg38_chr4:73797841-73797851(+) atacaatatca >hg38_chr4:73803129-73803139(-) acacaatgcca >hg38_chr4:73810356-73810366(+) ACACAAAGGGT >hg38_chr4:73822531-73822541(-) gaacaatggtc >hg38_chr4:73844536-73844546(+) TGACAATGTAT >hg38_chr4:73844576-73844586(+) AGACAATGACT >hg38_chr4:73897648-73897658(-) CTACAATGGTT >hg38_chr4:73922633-73922643(-) ATACAATGGAA >hg38_chr4:73922659-73922669(+) TGacaatggcc >hg38_chr4:73979681-73979691(-) ggacaaaggga >hg38_chr4:73983794-73983804(+) caacaatagac >hg38_chr4:73983813-73983823(+) tgacaatggtc >hg38_chr4:73983832-73983842(+) ttataatggag >hg38_chr4:73985310-73985320(+) ACACAATGGCT >hg38_chr4:74016212-74016222(-) TAACAAAGGTT >hg38_chr4:74037816-74037826(-) TAACAATGCCA >hg38_chr4:74056995-74057005(+) CGACAATGATG >hg38_chr4:74095643-74095653(-) GGACAATGGTG >hg38_chr4:74108940-74108950(-) TGACAATAGCT >hg38_chr4:74110137-74110147(-) caacaatgaat >hg38_chr4:74117174-74117184(-) AGACAATGTCA >hg38_chr4:74133166-74133176(-) tcacaatggaa >hg38_chr4:74156441-74156451(-) atacaatggga >hg38_chr4:74162187-74162197(-) aaacaatagca >hg38_chr4:74193232-74193242(+) ttactatggca >hg38_chr4:74260611-74260621(+) AAACAATAAAT >hg38_chr4:74261109-74261119(-) atacaatgctg >hg38_chr4:74268447-74268457(-) tcacaatgatg >hg38_chr4:74268512-74268522(+) ggataatgggt >hg38_chr4:74320646-74320656(-) GTACAATGGGG >hg38_chr4:74369256-74369266(-) gtacaatgtac >hg38_chr4:74371957-74371967(+) gaacaatgtct >hg38_chr4:74371984-74371994(-) aaacactggaa >hg38_chr4:74372415-74372425(+) ATACAATATAG >hg38_chr4:74408769-74408779(-) AAACAATGTCC >hg38_chr4:74416755-74416765(+) CGACAATTGAT >hg38_chr4:74446410-74446420(+) AAACAATAGCA >hg38_chr4:74490807-74490817(+) gaacaatgCAC >hg38_chr4:74497933-74497943(-) taataatagta >hg38_chr4:74522514-74522524(+) CTACAATAGTT >hg38_chr4:74534665-74534675(+) agacaatgctg >hg38_chr4:74542218-74542228(+) aaacaaaggca >hg38_chr4:74543429-74543439(-) GAACAATGAAA >hg38_chr4:74544313-74544323(-) GTACAATGCCT >hg38_chr4:74544333-74544343(+) CCACAATGCAT >hg38_chr4:74546251-74546261(+) TAACAATAGAG >hg38_chr4:74549811-74549821(-) AAATAATGGAA >hg38_chr4:74554155-74554165(-) AAACAAAGGGG >hg38_chr4:74600248-74600258(-) gcacaatggta >hg38_chr4:74600314-74600324(+) tcacaatgggc >hg38_chr4:74623301-74623311(-) gaacaatgcac >hg38_chr4:74648066-74648076(-) CCACAATGGTG >hg38_chr4:74658232-74658242(-) AAACAATGTTC >hg38_chr4:74768442-74768452(-) tcacaatggca >hg38_chr4:74779314-74779324(+) CGACAATGTCT >hg38_chr4:74779355-74779365(-) TAATAATGGTA >hg38_chr4:74780111-74780121(+) AAACAATGGCC >hg38_chr4:74780163-74780173(-) ACACAATGAAA >hg38_chr4:74810359-74810369(+) GAACAAAGGAA >hg38_chr4:74879744-74879754(-) CAACAATGTGC >hg38_chr4:74887064-74887074(-) gcacaatggcc >hg38_chr4:74906326-74906336(-) aaacaatgaac >hg38_chr4:74907087-74907097(+) ttacaaaggat >hg38_chr4:74921130-74921140(-) acacaatgcca >hg38_chr4:74921516-74921526(-) acacaatgctt >hg38_chr4:74929662-74929672(+) aaacaaaggta >hg38_chr4:75008090-75008100(-) ATACAAAGATA >hg38_chr4:75034729-75034739(+) TAACAAAGGAC >hg38_chr4:75037427-75037437(+) TAACAATGGGT >hg38_chr4:75039825-75039835(-) ttacaaaggca >hg38_chr4:75055177-75055187(+) GTATAATGGGC >hg38_chr4:75083875-75083885(-) GAACAATAGAG >hg38_chr4:75083914-75083924(-) ATATAATGGAA >hg38_chr4:75105644-75105654(+) ATACAATGTAA >hg38_chr4:75208054-75208064(-) taacaatgtaa >hg38_chr4:75211643-75211653(+) ACACAATGGAA >hg38_chr4:75248371-75248381(-) GGACAATGGAA >hg38_chr4:75248406-75248416(-) AGACAATAGTC >hg38_chr4:75255009-75255019(+) TTACAATAGCT >hg38_chr4:75327807-75327817(-) AGACAATGCAG >hg38_chr4:75329790-75329800(-) TAACAAtgacc >hg38_chr4:75491464-75491474(+) TAACAATATTC >hg38_chr4:75507225-75507235(+) caacaatagca >hg38_chr4:75510934-75510944(+) gtacactggaa >hg38_chr4:75511934-75511944(+) gaacaacggca >hg38_chr4:75514395-75514405(+) CGACAATATGG >hg38_chr4:75573557-75573567(+) TAACAATGTTC >hg38_chr4:75673075-75673085(+) GAACAATGTCT >hg38_chr4:75673437-75673447(-) AAACAATAAGA >hg38_chr4:75679397-75679407(-) AGATAATGGCT >hg38_chr4:75710489-75710499(+) TAACAATAGGG >hg38_chr4:75712611-75712621(-) GAATAATGGCA >hg38_chr4:75731102-75731112(+) CTATAATGGAA >hg38_chr4:75745397-75745407(-) TAACAAAGGAA >hg38_chr4:75768366-75768376(-) tgacaataggt >hg38_chr4:75768428-75768438(-) tgacaatcgga >hg38_chr4:75794306-75794316(+) AAACAATGGAA >hg38_chr4:75794314-75794324(+) GAACAGTGGTC >hg38_chr4:75808056-75808066(-) GGACAATGGCA >hg38_chr4:75828908-75828918(-) TGACAATGGGT >hg38_chr4:75834961-75834971(-) GAACAATGTAG >hg38_chr4:75878381-75878391(-) ATACCATGGAA >hg38_chr4:75895873-75895883(-) AAACAATAggc >hg38_chr4:75898896-75898906(+) atacaatgcat >hg38_chr4:75916202-75916212(-) GAACAAAGGCA >hg38_chr4:75938235-75938245(-) TAACAAAGGAA >hg38_chr4:75942651-75942661(-) tgacaatgggc >hg38_chr4:75947962-75947972(-) TTACAATGTCT >hg38_chr4:75947971-75947981(+) AAACACTGGAA >hg38_chr4:75947986-75947996(+) TTACAATGGGA >hg38_chr4:75948008-75948018(+) TAAtaatggct >hg38_chr4:75950484-75950494(+) tgacaatgaat >hg38_chr4:75977521-75977531(+) ACACAATGGTT >hg38_chr4:75995919-75995929(-) AAACAATAggc >hg38_chr4:76010703-76010713(+) GGACAATGCTC >hg38_chr4:76013008-76013018(+) gaacaatgatt >hg38_chr4:76053818-76053828(+) GAACAGTGGGT >hg38_chr4:76053997-76054007(-) TAACAATAGGC >hg38_chr4:76075404-76075414(+) TGACAATGTGC >hg38_chr4:76087317-76087327(-) GTACAATGGCT >hg38_chr4:76115095-76115105(+) GTACAATAGTA >hg38_chr4:76115687-76115697(+) GAACAATAAAA >hg38_chr4:76115708-76115718(+) atataatgaac >hg38_chr4:76153509-76153519(+) atacaaaggaa >hg38_chr4:76198021-76198031(+) AAACAAAGGCG >hg38_chr4:76198535-76198545(+) GAACAATAAAA >hg38_chr4:76297203-76297213(+) aaacaaaggcc >hg38_chr4:76311579-76311589(-) GTACAATATAA >hg38_chr4:76322602-76322612(-) AAACAATAAAT >hg38_chr4:76322905-76322915(-) AAATAATGGTA >hg38_chr4:76377184-76377194(+) AAACAATGGGT >hg38_chr4:76377229-76377239(+) GTATAATGGAA >hg38_chr4:76380723-76380733(+) agacaatgggg >hg38_chr4:76420463-76420473(-) ACACAATGAGT >hg38_chr4:76434687-76434697(-) CTACAATGCCT >hg38_chr4:76434740-76434750(+) TAACAATGAAA >hg38_chr4:76435555-76435565(-) TTACAATAGCC >hg38_chr4:76447390-76447400(+) GTACAATGTAA >hg38_chr4:76449560-76449570(+) CCACAATGTGA >hg38_chr4:76449834-76449844(-) GAACAATGCCT >hg38_chr4:76450615-76450625(+) acacaaaggaa >hg38_chr4:76459420-76459430(+) AGACAAAGGAT >hg38_chr4:76466199-76466209(-) AAACAATGCCA >hg38_chr4:76526859-76526869(-) ACACAATGCAA >hg38_chr4:76540209-76540219(-) TAACAATAGTG >hg38_chr4:76541535-76541545(+) ATACAATAACG >hg38_chr4:76546601-76546611(+) AGACAATAGAA >hg38_chr4:76564711-76564721(+) ATACAAAGGAA >hg38_chr4:76564724-76564734(-) GAACAATAGAA >hg38_chr4:76570474-76570484(-) AAACAAAGGTT >hg38_chr4:76571881-76571891(-) TCACAATGGAC >hg38_chr4:76581467-76581477(-) ATACAATGCCT >hg38_chr4:76588454-76588464(+) ACACAATGGGG >hg38_chr4:76591272-76591282(-) TAACAATGCAG >hg38_chr4:76606823-76606833(-) GTACAATACTA >hg38_chr4:76622702-76622712(+) GTATAATGGGT >hg38_chr4:76622746-76622756(-) GAACAATAAAA >hg38_chr4:76655271-76655281(-) GAACAATGGAC >hg38_chr4:76689567-76689577(-) CAACAATGGGC >hg38_chr4:76692657-76692667(+) atacaatgaat >hg38_chr4:76694248-76694258(-) gtacaatgtct >hg38_chr4:76704227-76704237(-) acacaatgcat >hg38_chr4:76713819-76713829(-) caacaatggag >hg38_chr4:76716082-76716092(-) GAACAATAGCC >hg38_chr4:76737118-76737128(-) acacaataaaa >hg38_chr4:76737127-76737137(-) gaacaatggac >hg38_chr4:76744357-76744367(+) GAACAATGCAT >hg38_chr4:76758501-76758511(-) GGACAATGCTT >hg38_chr4:76758534-76758544(-) TCACAATGGCT >hg38_chr4:76763714-76763724(+) TGACAATGGAG >hg38_chr4:76799889-76799899(+) GAACAATGCCT >hg38_chr4:76799899-76799909(-) gaacaatgagA >hg38_chr4:76799936-76799946(+) GAACAATAGTG >hg38_chr4:76831043-76831053(-) TGACAATGCCC >hg38_chr4:76833962-76833972(-) agacaatgcca >hg38_chr4:76913222-76913232(+) atacaatgact >hg38_chr4:76913240-76913250(+) taataatggca >hg38_chr4:76924080-76924090(+) taacaatgcat >hg38_chr4:76924144-76924154(-) ATACACTGGCA >hg38_chr4:76950417-76950427(-) TCACAATGCGC >hg38_chr4:76952117-76952127(-) AGACAATAGCC >hg38_chr4:76976457-76976467(+) CGACAATGGCT >hg38_chr4:76981295-76981305(+) GGACAATGGAG >hg38_chr4:77031755-77031765(+) GCACGATGGTA >hg38_chr4:77032061-77032071(-) GGACAATAGCA >hg38_chr4:77032505-77032515(-) GTACAATGCCC >hg38_chr4:77032513-77032523(-) TAACAAAGGTA >hg38_chr4:77049763-77049773(-) ctataatggaa >hg38_chr4:77049792-77049802(-) atacaatgcca >hg38_chr4:77049833-77049843(+) gcacaATGACT >hg38_chr4:77059674-77059684(-) ttactatggtt >hg38_chr4:77060079-77060089(+) atacaatgtgg >hg38_chr4:77073103-77073113(-) CAACAATAGGC >hg38_chr4:77132084-77132094(-) ACACAATAGCT >hg38_chr4:77132142-77132152(+) GAACAATATCA >hg38_chr4:77146552-77146562(+) ATACATTGGTA >hg38_chr4:77158127-77158137(-) GCACAATAGGG >hg38_chr4:77180781-77180791(+) acacaatggaa >hg38_chr4:77187434-77187444(+) tgacaatGGAG >hg38_chr4:77191672-77191682(-) GGACAATGAGA >hg38_chr4:77332993-77333003(+) gcacaatgtaa >hg38_chr4:77367633-77367643(+) atacaATTGAT >hg38_chr4:77382738-77382748(-) agactatggca >hg38_chr4:77410768-77410778(-) acacaatggac >hg38_chr4:77410776-77410786(-) taacaatgaca >hg38_chr4:77590631-77590641(+) ACACAATGTCC >hg38_chr4:77595881-77595891(+) AGACAATGTCC >hg38_chr4:77603378-77603388(+) ggacaaaggag >hg38_chr4:77603436-77603446(+) atacaatgttc >hg38_chr4:77639197-77639207(+) gaacaatgtat >hg38_chr4:77653457-77653467(+) AGACTATGGTA >hg38_chr4:77671226-77671236(+) taacaatgcaa >hg38_chr4:77694828-77694838(-) ctacaatgtat >hg38_chr4:77710356-77710366(+) GCACAATAATA >hg38_chr4:77716928-77716938(-) GCACAATGGGT >hg38_chr4:77717739-77717749(+) TTACAAAGGGA >hg38_chr4:77717748-77717758(+) GAACAAAGGGT >hg38_chr4:77730959-77730969(+) TGACAATGACT >hg38_chr4:77771762-77771772(-) ATATAATGGAT >hg38_chr4:77773311-77773321(+) GCACAATATAA >hg38_chr4:77773355-77773365(+) TAACAATGGTT >hg38_chr4:77797442-77797452(-) ggacaaaggga >hg38_chr4:77833119-77833129(-) TTACAAAGGGA >hg38_chr4:77906594-77906604(-) taacaaaggcc >hg38_chr4:77921812-77921822(+) TAACAatagac >hg38_chr4:77921985-77921995(+) agataatgggg >hg38_chr4:77928117-77928127(-) TGACAATACGC >hg38_chr4:77928974-77928984(-) ATACAATGGAT >hg38_chr4:77928985-77928995(+) TTACAATGTAG >hg38_chr4:77956575-77956585(+) ATACAAAGGTC >hg38_chr4:77982063-77982073(-) aaacaatagtc >hg38_chr4:77990724-77990734(+) GCACAATAGGA >hg38_chr4:78023604-78023614(+) ggacaataggc >hg38_chr4:78024254-78024264(+) gtacagtggta >hg38_chr4:78024265-78024275(+) tcacaatgtgg >hg38_chr4:78032551-78032561(+) aaacaatagag >hg38_chr4:78037197-78037207(-) caacaatgtga >hg38_chr4:78037229-78037239(-) gaacaataaaa >hg38_chr4:78055968-78055978(-) GAACAAAGGAG >hg38_chr4:78066099-78066109(-) CTACAATGATA >hg38_chr4:78074033-78074043(-) TAACAATAAAC >hg38_chr4:78075392-78075402(-) atacaataatt >hg38_chr4:78075417-78075427(-) aaacaatgagg >hg38_chr4:78131522-78131532(-) ACACAATAGTC >hg38_chr4:78162635-78162645(-) TGACAATGGCA >hg38_chr4:78162641-78162651(-) CAACAATGACA >hg38_chr4:78167034-78167044(-) acacaatagca >hg38_chr4:78175547-78175557(-) atacaatgccc >hg38_chr4:78201128-78201138(-) ACACAAAGGCA >hg38_chr4:78205754-78205764(+) GAACAAAGGCA >hg38_chr4:78270705-78270715(-) AAACAATGTTT >hg38_chr4:78323644-78323654(-) gcacaaaggta >hg38_chr4:78356530-78356540(+) TCACAATAGTT >hg38_chr4:78361998-78362008(+) CTACAAAGGAA >hg38_chr4:78395437-78395447(-) taacaataaag >hg38_chr4:78395470-78395480(-) caataatggta >hg38_chr4:78418066-78418076(-) TAACAGTGGCA >hg38_chr4:78439484-78439494(+) ATACAATGCAT >hg38_chr4:78465549-78465559(+) atacaaaggca >hg38_chr4:78509675-78509685(+) GAACAATGAAT >hg38_chr4:78543963-78543973(-) GTACAATAAAA >hg38_chr4:78544002-78544012(-) CAACAATAGAA >hg38_chr4:78557942-78557952(+) ttacaatagcc >hg38_chr4:78558016-78558026(+) acacaatggaa >hg38_chr4:78558653-78558663(-) AAACAATGGTA >hg38_chr4:78589698-78589708(-) AGACAATAGAA >hg38_chr4:78610237-78610247(-) GAACAATGAAA >hg38_chr4:78638787-78638797(-) ccacaatggcc >hg38_chr4:78652516-78652526(+) AGACAATAGCT >hg38_chr4:78669668-78669678(+) TTACAAAGGAC >hg38_chr4:78684202-78684212(-) gtacaaagata >hg38_chr4:78720548-78720558(+) ttacaaaggca >hg38_chr4:78756888-78756898(-) ccacaatgcaa >hg38_chr4:78823785-78823795(-) TAACAATGCTt >hg38_chr4:78848535-78848545(+) AAACAATAGGC >hg38_chr4:78862488-78862498(-) tcacaatagtc >hg38_chr4:78876748-78876758(-) AGACAATGTAA >hg38_chr4:78914433-78914443(-) ACACAAAGGAA >hg38_chr4:78927046-78927056(+) agactatggga >hg38_chr4:78940250-78940260(-) AAACAATGGAC >hg38_chr4:78940260-78940270(-) ttacaATGCCA >hg38_chr4:78966683-78966693(-) ggacaatggac >hg38_chr4:78966933-78966943(-) atacaatggaa >hg38_chr4:78966949-78966959(-) aaactatggca >hg38_chr4:78973270-78973280(-) TAACAATAGAG >hg38_chr4:78987578-78987588(-) TCACAATGTTT >hg38_chr4:78999004-78999014(+) taacaataatt >hg38_chr4:78999036-78999046(+) acacaatgttc >hg38_chr4:78999054-78999064(-) tgacaatgtag >hg38_chr4:79002843-79002853(-) atacaatgagt >hg38_chr4:79042247-79042257(-) TAACAGTGGGA >hg38_chr4:79057831-79057841(+) TAACAATACTA >hg38_chr4:79081495-79081505(-) agacaatgcaa >hg38_chr4:79083563-79083573(+) GAACAATGTGT >hg38_chr4:79083997-79084007(+) TAACAATGGGT >hg38_chr4:79087223-79087233(+) GAACAATAGCA >hg38_chr4:79111488-79111498(-) aaacaatggtt >hg38_chr4:79134239-79134249(+) gcacaataggg >hg38_chr4:79134258-79134268(-) aaacaatgggg >hg38_chr4:79134268-79134278(-) tgacaatagca >hg38_chr4:79174763-79174773(+) AAACAATGTGT >hg38_chr4:79175197-79175207(-) TTACAATGACC >hg38_chr4:79175872-79175882(+) TGACAATAGAA >hg38_chr4:79185962-79185972(-) GCACAATGTGG >hg38_chr4:79232714-79232724(-) ATACAAAGGTC >hg38_chr4:79241942-79241952(-) ctacaaaggat >hg38_chr4:79241966-79241976(-) tgacaatgttt >hg38_chr4:79276276-79276286(+) AGACAATGGAG >hg38_chr4:79281706-79281716(+) acacaatgacA >hg38_chr4:79325874-79325884(+) TCATAATGGCA >hg38_chr4:79358861-79358871(-) AAACAATGGCT >hg38_chr4:79367241-79367251(-) TAACATTGGTA >hg38_chr4:79413337-79413347(+) TTACACTGGAT >hg38_chr4:79413385-79413395(+) GAACAAAGGGA >hg38_chr4:79449610-79449620(-) TAACAATAAGA >hg38_chr4:79450000-79450010(+) GCACAATAGAC >hg38_chr4:79450007-79450017(+) AGACAATGATG >hg38_chr4:79467641-79467651(+) TTACAATGATT >hg38_chr4:79467722-79467732(+) CCACAATGATT >hg38_chr4:79591942-79591952(+) ccacaatgcaa >hg38_chr4:79615065-79615075(+) AGACTATGGAA >hg38_chr4:79615101-79615111(-) CAACAATAGTT >hg38_chr4:79650890-79650900(+) AGACAATGCTT >hg38_chr4:79651674-79651684(-) TCACAATGCAC >hg38_chr4:79674166-79674176(-) TTACAATGATA >hg38_chr4:79749838-79749848(+) ttacaatgaat >hg38_chr4:79750331-79750341(+) aaacaaaggct >hg38_chr4:79754715-79754725(-) CTACAATGTGT >hg38_chr4:79756294-79756304(+) TAACTATGGGG >hg38_chr4:79767267-79767277(+) caacaaaggta >hg38_chr4:79780143-79780153(+) gcataatggac >hg38_chr4:79780466-79780476(+) caacaatagca >hg38_chr4:79813314-79813324(+) agataatggaa >hg38_chr4:79831857-79831867(+) AAACAATGACA >hg38_chr4:79831908-79831918(+) ATACAATGACC >hg38_chr4:79832725-79832735(+) AAACAATGTAG >hg38_chr4:79852009-79852019(+) ACACAATGGGA >hg38_chr4:79852047-79852057(-) CAACAATAGGG >hg38_chr4:79870153-79870163(-) TTACAATGTCA >hg38_chr4:79884251-79884261(-) AGACAATGCTT >hg38_chr4:79893844-79893854(-) ccacaatgaga >hg38_chr4:79893868-79893878(-) tcactatggaa >hg38_chr4:79918523-79918533(+) aaacaatgaag >hg38_chr4:79922307-79922317(+) ATACAATGGCA >hg38_chr4:79936163-79936173(+) GTACAATACCA >hg38_chr4:79945412-79945422(-) TAACAATACAT >hg38_chr4:79959843-79959853(-) AGACAATGCGA >hg38_chr4:79959878-79959888(+) TAACAATGAGG >hg38_chr4:79961044-79961054(+) ACACAAAGGCA >hg38_chr4:79965348-79965358(-) AGACAATAAAA >hg38_chr4:79965723-79965733(+) AGACAATAACA >hg38_chr4:79976494-79976504(+) tgacaatgacc >hg38_chr4:79983042-79983052(+) TGACAATAGGA >hg38_chr4:79983059-79983069(+) CAACAATGGTA >hg38_chr4:80051392-80051402(+) acacaatgcct >hg38_chr4:80063335-80063345(-) TTACTATGGAG >hg38_chr4:80071082-80071092(-) ACACAATGTGT >hg38_chr4:80072744-80072754(+) GGACAAAGGGA >hg38_chr4:80126798-80126808(-) TAACAATAGTC >hg38_chr4:80136566-80136576(+) ttataatggca >hg38_chr4:80136625-80136635(-) aaacaataaaa >hg38_chr4:80137745-80137755(-) acacaatgtcc >hg38_chr4:80186787-80186797(+) ATATAATGCGG >hg38_chr4:80194328-80194338(-) GAACAATGGGT >hg38_chr4:80197677-80197687(+) ATACAATAGGC >hg38_chr4:80198255-80198265(-) TAACAATACGG >hg38_chr4:80204672-80204682(+) AAACAATGTTC >hg38_chr4:80255488-80255498(+) ACACAATGAAC >hg38_chr4:80260597-80260607(-) CAACAATAGCA >hg38_chr4:80287256-80287266(-) AAACAATAAAA >hg38_chr4:80288617-80288627(-) TAACAATAGAT >hg38_chr4:80305344-80305354(-) TGACAATAGTG >hg38_chr4:80306442-80306452(+) tgacaatggca >hg38_chr4:80361114-80361124(+) TGATAATGGTA >hg38_chr4:80362389-80362399(-) AGACAATGGTA >hg38_chr4:80362404-80362414(-) AAACAATAAAA >hg38_chr4:80366014-80366024(-) TTACAAAGGCA >hg38_chr4:80407961-80407971(-) acacaataata >hg38_chr4:80407974-80407984(-) aaacaatagca >hg38_chr4:80425562-80425572(-) GGATAATGGAA >hg38_chr4:80437866-80437876(-) CAACAATAGTG >hg38_chr4:80454795-80454805(-) taacaataaaa >hg38_chr4:80496056-80496066(-) agacaatggga >hg38_chr4:80547266-80547276(+) aaacaatgagg >hg38_chr4:80569106-80569116(-) ccacaatgacg >hg38_chr4:80580401-80580411(+) AAACAATATTA >hg38_chr4:80592248-80592258(-) GTACAATAGCA >hg38_chr4:80606067-80606077(-) CTACAATAGTT >hg38_chr4:80621545-80621555(-) GTACAATATCC >hg38_chr4:80637425-80637435(+) GCACAATGGCA >hg38_chr4:80668565-80668575(-) aaacaatgggg >hg38_chr4:80713626-80713636(-) ttacaatgttg >hg38_chr4:80752935-80752945(-) tgacaataata >hg38_chr4:80778813-80778823(-) tgacaatatac >hg38_chr4:80864361-80864371(+) CCACAATAGTG >hg38_chr4:80899931-80899941(-) acacaatgggc >hg38_chr4:80946475-80946485(-) ttacaatggaa >hg38_chr4:80960607-80960617(+) CTACAATAGGA >hg38_chr4:80961895-80961905(+) taacaatggct >hg38_chr4:80961909-80961919(+) ccacaatgttc >hg38_chr4:80990159-80990169(-) AAACAATAGAC >hg38_chr4:81032608-81032618(+) GAACAATAGCC >hg38_chr4:81051714-81051724(+) ATACAATGTGC >hg38_chr4:81058165-81058175(+) ggacaataaac >hg38_chr4:81095464-81095474(+) TTACAATGCTC >hg38_chr4:81118466-81118476(-) gaacaatggca >hg38_chr4:81137572-81137582(+) GAACAATGATA >hg38_chr4:81249339-81249349(-) TCACAATGGTA >hg38_chr4:81282517-81282527(+) ATACAAAGGAA >hg38_chr4:81330925-81330935(-) ttataatggat >hg38_chr4:81342074-81342084(-) atataatgata >hg38_chr4:81342081-81342091(+) atataatggcc >hg38_chr4:81362160-81362170(-) GTACAATGCCC >hg38_chr4:81378308-81378318(-) taacaatagac >hg38_chr4:81392742-81392752(-) TAACAATAAAT >hg38_chr4:81392760-81392770(+) TAACAATAAAA >hg38_chr4:81392801-81392811(-) GGACAATAAAA >hg38_chr4:81396765-81396775(+) GGACAAAGGGA >hg38_chr4:81427700-81427710(+) AAACAAAGGCC >hg38_chr4:81458435-81458445(+) TAACAATACAC >hg38_chr4:81486681-81486691(+) AAACAGTGGAA >hg38_chr4:81486695-81486705(-) AGACAATGAGT >hg38_chr4:81552809-81552819(+) GTACACTGGAA >hg38_chr4:81557084-81557094(+) TAACAATACTA >hg38_chr4:81559070-81559080(+) AAACAATGTTA >hg38_chr4:81559140-81559150(+) TAACAATGAAG >hg38_chr4:81600975-81600985(+) AAACAATGTCA >hg38_chr4:81647521-81647531(+) taacaatgaaa >hg38_chr4:81687027-81687037(-) GAACAATGGAT >hg38_chr4:81742967-81742977(+) taacaatgtgc >hg38_chr4:81743006-81743016(+) AAACAATGTAG >hg38_chr4:81743035-81743045(-) TCACAATGTAT >hg38_chr4:81813334-81813344(+) GAATAATGGGT >hg38_chr4:81860135-81860145(+) AGACACTGGTA >hg38_chr4:81864466-81864476(-) CTATAATGGTT >hg38_chr4:81914113-81914123(-) gtacaatacga >hg38_chr4:81922712-81922722(+) ACACAATGCCA >hg38_chr4:81952033-81952043(+) CTACAATGAGT >hg38_chr4:81952050-81952060(+) GGACAAAGGAT >hg38_chr4:81954469-81954479(-) TGACAATGTCT >hg38_chr4:81974799-81974809(-) taacaatggga >hg38_chr4:82006058-82006068(-) TTACAATAGGA >hg38_chr4:82030738-82030748(-) acacaaagggt >hg38_chr4:82044362-82044372(-) TTACTATGGTA >hg38_chr4:82049995-82050005(+) TTACAATGGTG >hg38_chr4:82050025-82050035(-) ATACAATGCAT >hg38_chr4:82053595-82053605(+) AGACAATGAGC >hg38_chr4:82202305-82202315(+) tcacaaaggat >hg38_chr4:82219310-82219320(+) GTACAATAGCT >hg38_chr4:82225838-82225848(+) ATacaatgaga >hg38_chr4:82241475-82241485(-) CAATAATGGGT >hg38_chr4:82268213-82268223(+) AGACAATATAA >hg38_chr4:82316306-82316316(+) atataatgata >hg38_chr4:82371414-82371424(+) GTACTATGGTC >hg38_chr4:82428253-82428263(+) GCACAATGCAA >hg38_chr4:82428921-82428931(+) ACACAATGAAG >hg38_chr4:82429646-82429656(+) GAACAATGGCG >hg38_chr4:82435725-82435735(+) GCACAATGAAA >hg38_chr4:82447608-82447618(-) tcacaatggca >hg38_chr4:82467599-82467609(-) gaacaatggaa >hg38_chr4:82486523-82486533(-) AGACAATAGTG >hg38_chr4:82500786-82500796(+) ATACAAAGGAA >hg38_chr4:82501021-82501031(+) CTATAATGGCA >hg38_chr4:82525053-82525063(-) TCACAATGCTT >hg38_chr4:82532224-82532234(+) tgacaatgctg >hg38_chr4:82535436-82535446(+) tcacaatggca >hg38_chr4:82560051-82560061(-) TCACAATGACC >hg38_chr4:82572805-82572815(-) ctacaatgtgg >hg38_chr4:82583873-82583883(+) gcacaatgtCT >hg38_chr4:82583894-82583904(+) AAACAATGAAT >hg38_chr4:82596086-82596096(+) GCACAATGAAG >hg38_chr4:82597163-82597173(+) TGACAATGACA >hg38_chr4:82597169-82597179(+) TGACAATGGCC >hg38_chr4:82597176-82597186(-) AAACAAAGGCC >hg38_chr4:82615223-82615233(-) GGACAAAGGGA >hg38_chr4:82673873-82673883(+) tgacaaaggaa >hg38_chr4:82673881-82673891(+) gaacaaaggga >hg38_chr4:82673892-82673902(+) atacaatagag >hg38_chr4:82696263-82696273(-) ATACAATGTCT >hg38_chr4:82697840-82697850(+) TTACAATGCAG >hg38_chr4:82697862-82697872(+) TGACAATGCTT >hg38_chr4:82748236-82748246(-) GGACAATGGAT >hg38_chr4:82785772-82785782(+) AAACAATGGAT >hg38_chr4:82786200-82786210(+) ACACAACGGGT >hg38_chr4:82791328-82791338(+) AAACAATGGGC >hg38_chr4:82793103-82793113(+) CAACAATGGGC >hg38_chr4:82793370-82793380(+) AAACAATGATC >hg38_chr4:82798956-82798966(+) AGACAAAGGCG >hg38_chr4:82800056-82800066(-) TAACAATAAGA >hg38_chr4:82800098-82800108(+) AAACAATGCCT >hg38_chr4:82812163-82812173(-) AAACAATAACA >hg38_chr4:82845499-82845509(+) tcacaaTGAAA >hg38_chr4:82845564-82845574(+) GAACAATGCCA >hg38_chr4:82852445-82852455(+) CCACAATGGGG >hg38_chr4:82855166-82855176(+) TAATAATGGTA >hg38_chr4:82876746-82876756(+) AGATAATGGGC >hg38_chr4:82891690-82891700(-) CCACAATAGCC >hg38_chr4:82895799-82895809(-) GCACAATGAGT >hg38_chr4:82895814-82895824(+) ACACAATATAT >hg38_chr4:82897375-82897385(+) AAACAATGAAA >hg38_chr4:82923727-82923737(-) caacaatgtag >hg38_chr4:82947678-82947688(+) ACACAATAGTC >hg38_chr4:82963547-82963557(+) caacaatagca >hg38_chr4:82988382-82988392(-) gtacaatggaa >hg38_chr4:82988771-82988781(-) acacaatgaga >hg38_chr4:82999019-82999029(-) ttacaatagta >hg38_chr4:83030869-83030879(+) aaacaatagaa >hg38_chr4:83037740-83037750(+) GAATAATGGAA >hg38_chr4:83037750-83037760(-) AAACAATGTAT >hg38_chr4:83110651-83110661(+) AAACAGTGGCG >hg38_chr4:83115786-83115796(+) taacaatggcc >hg38_chr4:83210215-83210225(+) AAACAATGGCT >hg38_chr4:83219591-83219601(-) ACACAAAGGAG >hg38_chr4:83269312-83269322(+) TGACAATGACA >hg38_chr4:83328634-83328644(+) ACACAATGATG >hg38_chr4:83342911-83342921(-) taacaATGCCT >hg38_chr4:83357478-83357488(+) gaacaatgtat >hg38_chr4:83408893-83408903(-) aaacaatgctt >hg38_chr4:83458024-83458034(-) ACACAATAGAT >hg38_chr4:83548618-83548628(-) GAACAATGCCA >hg38_chr4:83552305-83552315(+) caacaatgtgt >hg38_chr4:83589487-83589497(-) agacaatgctt >hg38_chr4:83618247-83618257(-) AAACAATGATT >hg38_chr4:83630599-83630609(-) acacaataggg >hg38_chr4:83659329-83659339(-) ACACAATGATG >hg38_chr4:83660865-83660875(-) aaactatggtc >hg38_chr4:83661683-83661693(-) aaataatggtt >hg38_chr4:83707859-83707869(+) atacaatagcc >hg38_chr4:83708728-83708738(-) TAACAAAGGTC >hg38_chr4:83735147-83735157(-) ACACAATGTGG >hg38_chr4:83735162-83735172(-) AAACAATACGC >hg38_chr4:83767245-83767255(-) CAACAATGCAA >hg38_chr4:83781555-83781565(-) TGACAATGAGT >hg38_chr4:83801562-83801572(+) ttacaatgtca >hg38_chr4:83843610-83843620(-) gcacaatgcct >hg38_chr4:83844434-83844444(+) taacaatgaat >hg38_chr4:83851660-83851670(-) TCATAATGGAA >hg38_chr4:83854308-83854318(+) atataatggaa >hg38_chr4:83854321-83854331(+) atacaatatgt >hg38_chr4:83854351-83854361(-) ATACAATAATT >hg38_chr4:83854726-83854736(-) TGACAATAGCT >hg38_chr4:83865662-83865672(-) GCACAAAGGAC >hg38_chr4:83865675-83865685(+) ATACAATTGAC >hg38_chr4:83866118-83866128(+) TAACAATAGCA >hg38_chr4:83870731-83870741(+) aaacaataggc >hg38_chr4:83870788-83870798(-) caacaatgaat >hg38_chr4:83891150-83891160(+) ggacaaaggta >hg38_chr4:83923422-83923432(+) ACACAATAGTG >hg38_chr4:83923432-83923442(+) GCACAATGTCA >hg38_chr4:83931516-83931526(-) AAACTATGGTT >hg38_chr4:83974507-83974517(+) GAACAATAACA >hg38_chr4:84051254-84051264(-) AAACAATGGGG >hg38_chr4:84051820-84051830(+) TTACAATGAGT >hg38_chr4:84058426-84058436(+) GTATAATGTAA >hg38_chr4:84058996-84059006(-) ggacaaaggtc >hg38_chr4:84164975-84164985(+) ATACAATGTCT >hg38_chr4:84165037-84165047(+) AAACAATAGGT >hg38_chr4:84166812-84166822(-) gcacaatgact >hg38_chr4:84218161-84218171(+) AGACAAAGGAG >hg38_chr4:84236732-84236742(-) GTACAATAACC >hg38_chr4:84272415-84272425(+) AAACAATGGGG >hg38_chr4:84367078-84367088(+) GCACAATGAGA >hg38_chr4:84379188-84379198(+) TGATAATGGCT >hg38_chr4:84440245-84440255(-) ATACAATACGG >hg38_chr4:84467116-84467126(+) gaacaatgatt >hg38_chr4:84467128-84467138(-) ctacaatgtgt >hg38_chr4:84496424-84496434(+) ATACAATAGCG >hg38_chr4:84529379-84529389(-) AAACAATAGTG >hg38_chr4:84547222-84547232(-) Taacaatagcg >hg38_chr4:84598881-84598891(-) GAACAAAGGAT >hg38_chr4:84634724-84634734(-) GTACAATACAT >hg38_chr4:84681715-84681725(-) GAACAGTGGAA >hg38_chr4:84693256-84693266(-) AGACAATAGCC >hg38_chr4:84775795-84775805(+) ggacaatgaaa >hg38_chr4:84775829-84775839(+) aaacaataaaa >hg38_chr4:84814502-84814512(-) gaacaatacac >hg38_chr4:84821391-84821401(+) TGACAATGTGT >hg38_chr4:84821426-84821436(-) TGACAATGCCA >hg38_chr4:84852240-84852250(+) atacactggac >hg38_chr4:84852247-84852257(+) ggacaaaggaa >hg38_chr4:84856898-84856908(+) GGACAATGTTC >hg38_chr4:84870619-84870629(+) taacaaaggcc >hg38_chr4:84877729-84877739(+) agacaatgtaa >hg38_chr4:84879054-84879064(+) caacaatggct >hg38_chr4:84893109-84893119(-) TCACAATGCAG >hg38_chr4:84918045-84918055(+) tgacaatagac >hg38_chr4:84924378-84924388(+) ttacaaaggca >hg38_chr4:84925674-84925684(-) gaacaataata >hg38_chr4:84925705-84925715(+) atacagtggtc >hg38_chr4:84932193-84932203(+) GCACAATAACG >hg38_chr4:84938507-84938517(+) TAACAATGGTT >hg38_chr4:84969736-84969746(+) CAACAATAGAA >hg38_chr4:84994362-84994372(-) tcactatggaa >hg38_chr4:85007637-85007647(-) TAACAATGTTT >hg38_chr4:85085012-85085022(-) CCACAATGGAG >hg38_chr4:85135161-85135171(-) aaacaatgaga >hg38_chr4:85168904-85168914(-) ccacaatgtct >hg38_chr4:85302620-85302630(-) ggacaatggga >hg38_chr4:85373185-85373195(-) CAACAATGCAG >hg38_chr4:85420446-85420456(-) CAACAATGCCT >hg38_chr4:85423603-85423613(-) GAATAATGGCA >hg38_chr4:85426602-85426612(-) taacaatgcca >hg38_chr4:85453318-85453328(-) CAACAATGGAG >hg38_chr4:85479829-85479839(-) tgacaaaggta >hg38_chr4:85508681-85508691(+) GCACAAAGGTA >hg38_chr4:85528013-85528023(+) aaacaatagat >hg38_chr4:85528045-85528055(+) ctacaatagca >hg38_chr4:85528070-85528080(-) TTACAATGCAG >hg38_chr4:85528081-85528091(+) AGACAATGAAT >hg38_chr4:85532681-85532691(+) TGACAATAGTT >hg38_chr4:85570550-85570560(+) GAACAATGACT >hg38_chr4:85600457-85600467(-) acacaatggct >hg38_chr4:85639474-85639484(-) GAACACTGGAC >hg38_chr4:85681388-85681398(+) ACACAATGAAC >hg38_chr4:85740939-85740949(-) atacaatagtg >hg38_chr4:85762964-85762974(+) ACACAATAGCT >hg38_chr4:85783333-85783343(-) caacaatagga >hg38_chr4:85783349-85783359(-) aaacaatgtag >hg38_chr4:85794229-85794239(-) TTATAATGGCT >hg38_chr4:85796985-85796995(+) gtacactggag >hg38_chr4:85808162-85808172(-) TCACAATGAAA >hg38_chr4:85808317-85808327(-) AAACAATGCTG >hg38_chr4:85828148-85828158(-) CCACAATGTAG >hg38_chr4:85869437-85869447(-) TAACAATGCCT >hg38_chr4:85870022-85870032(+) tgacaataata >hg38_chr4:85870847-85870857(-) AAACAAAGGAG >hg38_chr4:85883082-85883092(+) GAACAATGGGA >hg38_chr4:85919672-85919682(+) GGATAATGGCC >hg38_chr4:85923504-85923514(+) AAACCATGGTA >hg38_chr4:85930403-85930413(-) AAATAATGGCA >hg38_chr4:85930482-85930492(-) GAACAATGACA >hg38_chr4:85932022-85932032(+) atacaGTGGGA >hg38_chr4:85932049-85932059(-) GAACAATGGTT >hg38_chr4:85940242-85940252(+) ggacaaaggtg >hg38_chr4:85952323-85952333(-) ATACAATGAAT >hg38_chr4:85969149-85969159(-) AGACAATGGGA >hg38_chr4:85994689-85994699(+) CAACAATGAAA >hg38_chr4:85994740-85994750(+) GAACAATAACA >hg38_chr4:86007603-86007613(-) atacaaaggaa >hg38_chr4:86025158-86025168(-) caacaatgtaa >hg38_chr4:86033400-86033410(+) TAACAATAGAT >hg38_chr4:86043953-86043963(+) atacaatgtct >hg38_chr4:86056542-86056552(+) AAACAATGCAA >hg38_chr4:86066851-86066861(+) AAACAATACTA >hg38_chr4:86069371-86069381(+) AAACAATAATA >hg38_chr4:86094728-86094738(-) TTACAATGCTT >hg38_chr4:86152521-86152531(+) GCACAAAGGGA >hg38_chr4:86152573-86152583(-) GGACAAAGGAA >hg38_chr4:86156698-86156708(+) AAACAATGAAA >hg38_chr4:86161357-86161367(+) AGACAATGGGT >hg38_chr4:86201195-86201205(+) taacaatattc >hg38_chr4:86201203-86201213(-) acacaatggaa >hg38_chr4:86201960-86201970(-) acacaatagct >hg38_chr4:86203344-86203354(+) TAACTATGACG >hg38_chr4:86211766-86211776(+) acacaatgtaa >hg38_chr4:86221243-86221253(+) GAACAATGCCA >hg38_chr4:86248711-86248721(+) ACACAATGCAG >hg38_chr4:86263864-86263874(-) TTACAATGATG >hg38_chr4:86265858-86265868(-) caacaatgggt >hg38_chr4:86339589-86339599(+) GCATAATGGAT >hg38_chr4:86348435-86348445(-) CTacaatagtg >hg38_chr4:86349271-86349281(-) ACACAAAGGCA >hg38_chr4:86356962-86356972(-) GTACAATGCTC >hg38_chr4:86360018-86360028(-) GCACAATGGTT >hg38_chr4:86360045-86360055(-) CCACAATGGTA >hg38_chr4:86376992-86377002(+) GCACAATGGCT >hg38_chr4:86386668-86386678(+) ACACAATGTCT >hg38_chr4:86387615-86387625(-) GAATAATGTAC >hg38_chr4:86389450-86389460(+) AGACAATAAAT >hg38_chr4:86415430-86415440(+) ACACAATAGAG >hg38_chr4:86423109-86423119(+) TCACAATGCAG >hg38_chr4:86441808-86441818(-) GGACAATAGGG >hg38_chr4:86451079-86451089(+) TAACAAAGGCT >hg38_chr4:86451137-86451147(+) TAATAATGGAA >hg38_chr4:86480949-86480959(+) acacaatgagg >hg38_chr4:86481561-86481571(-) AAATAATGGAT >hg38_chr4:86506725-86506735(-) cgacactggct >hg38_chr4:86513851-86513861(+) taacaatggat >hg38_chr4:86544734-86544744(+) GGACAATAGTT >hg38_chr4:86575953-86575963(-) atacaatatct >hg38_chr4:86575980-86575990(-) acacaATATTA >hg38_chr4:86577438-86577448(+) gaacaatgata >hg38_chr4:86578529-86578539(-) GCACAATAATA >hg38_chr4:86605272-86605282(-) TCACAATGTTC >hg38_chr4:86608015-86608025(+) ttacaatagaa >hg38_chr4:86631985-86631995(+) TTACAATGGGA >hg38_chr4:86633962-86633972(-) ACACAATGTGA >hg38_chr4:86643308-86643318(-) ACACAATGTGG >hg38_chr4:86756398-86756408(+) AAATAATGGAT >hg38_chr4:86756654-86756664(-) AGACAATAACA >hg38_chr4:86757048-86757058(-) TTACAATGACA >hg38_chr4:86759604-86759614(+) GCACAATAGGC >hg38_chr4:86760613-86760623(+) taactatggta >hg38_chr4:86774973-86774983(-) TTACAATGAAA >hg38_chr4:86782989-86782999(+) ATACAATATGT >hg38_chr4:86811647-86811657(+) ACACAATGGCG >hg38_chr4:86857769-86857779(+) CTACAAAGGCG >hg38_chr4:86895988-86895998(+) AAACAATAATA >hg38_chr4:86896055-86896065(-) AGACAATGTAT >hg38_chr4:86951143-86951153(-) tgacaatgcaa >hg38_chr4:86959994-86960004(+) TCACAATGGGC >hg38_chr4:87002983-87002993(+) ATACAATGGTG >hg38_chr4:87008016-87008026(-) TTACAATAGCG >hg38_chr4:87009903-87009913(-) GTACAATGAGT >hg38_chr4:87009956-87009966(-) AAACAATGAAC >hg38_chr4:87032130-87032140(+) AAACAATGACT >hg38_chr4:87033377-87033387(-) ATACAATAAGA >hg38_chr4:87066928-87066938(+) ACACAAAGGTC >hg38_chr4:87074366-87074376(+) CCACAATGGGC >hg38_chr4:87074811-87074821(-) TAACAATGCTG >hg38_chr4:87075122-87075132(+) AAACAATAAGT >hg38_chr4:87081551-87081561(-) GTACAATGGTA >hg38_chr4:87138143-87138153(-) GTACAATGCAA >hg38_chr4:87151038-87151048(+) ccacaatgaca >hg38_chr4:87184378-87184388(+) GAACACTGGTA >hg38_chr4:87257304-87257314(-) GAACAATGTTA >hg38_chr4:87268041-87268051(-) ATACAATAAAG >hg38_chr4:87270413-87270423(-) ACACAATGACT >hg38_chr4:87301875-87301885(+) gcacaatggat >hg38_chr4:87307234-87307244(+) TAACAATATAT >hg38_chr4:87312068-87312078(-) GGATAATGGAA >hg38_chr4:87427411-87427421(+) ATACAATACAA >hg38_chr4:87427416-87427426(+) ATACAAAGGTC >hg38_chr4:87451267-87451277(+) gaacaatgggg >hg38_chr4:87479026-87479036(-) TGACAATGGGA >hg38_chr4:87522073-87522083(-) TAACACTGGAT >hg38_chr4:87528050-87528060(+) ATACAATGCCA >hg38_chr4:87531055-87531065(+) AGACAATAGGA >hg38_chr4:87544103-87544113(+) GAACAATAGTC >hg38_chr4:87567210-87567220(+) GAACAATGTCT >hg38_chr4:87579120-87579130(+) TGATAATGGCA >hg38_chr4:87602667-87602677(-) ATACAATATTA >hg38_chr4:87766554-87766564(-) tgacaatgatt >hg38_chr4:87766961-87766971(-) taacaatagaa >hg38_chr4:87766998-87767008(-) acacaatagct >hg38_chr4:87798765-87798775(+) AAACAATGGAT >hg38_chr4:87838877-87838887(-) TCACAATGTTT >hg38_chr4:87850240-87850250(+) AAACAATGAGT >hg38_chr4:87896227-87896237(-) ATACAAAGGGT >hg38_chr4:87900830-87900840(-) GGACAATGAAA >hg38_chr4:87900837-87900847(-) GAACAATGGAC >hg38_chr4:87901078-87901088(-) GTACTATGGAG >hg38_chr4:87935756-87935766(+) taacaatggca >hg38_chr4:87940108-87940118(-) AAACAAAGGCT >hg38_chr4:87949961-87949971(+) GGACAATATAA >hg38_chr4:87949961-87949971(+) GGACAATATAA >hg38_chr4:87951179-87951189(-) ATACACTGGTT >hg38_chr4:87951242-87951252(-) TTACAATAAGA >hg38_chr4:87955581-87955591(-) gcacaatgtgc >hg38_chr4:87955945-87955955(+) CCACAATGGAA >hg38_chr4:87973518-87973528(+) AGACAATAGTG >hg38_chr4:87975290-87975300(+) GAACAATAGCC >hg38_chr4:87998233-87998243(+) AAACAATGGGT >hg38_chr4:88008771-88008781(-) GGACAATGGAG >hg38_chr4:88134310-88134320(+) GTACAATGGCT >hg38_chr4:88134835-88134845(+) acacaatgatc >hg38_chr4:88172923-88172933(-) CTACAATGCTA >hg38_chr4:88189945-88189955(-) TAACAATGTAC >hg38_chr4:88196322-88196332(-) gaacaatgcta >hg38_chr4:88196329-88196339(-) gaacaatgaac >hg38_chr4:88203162-88203172(-) ATACAATGTTT >hg38_chr4:88277282-88277292(+) TTACAATAGGT >hg38_chr4:88277330-88277340(+) ACACAACGGGC >hg38_chr4:88277515-88277525(-) TCACAATGGGA >hg38_chr4:88290947-88290957(-) AGACAAAGGCA >hg38_chr4:88319001-88319011(+) acaCAATGTAA >hg38_chr4:88337242-88337252(+) Taacaatagct >hg38_chr4:88337276-88337286(-) taacaatagca >hg38_chr4:88341508-88341518(+) TGATAATGGAG >hg38_chr4:88349816-88349826(-) gaacaatgatt >hg38_chr4:88350314-88350324(+) ttacaaaggtg >hg38_chr4:88366484-88366494(+) GAACAATGGAG >hg38_chr4:88366531-88366541(+) GAACAATGGAG >hg38_chr4:88395029-88395039(+) ATACAATATAT >hg38_chr4:88401120-88401130(+) aaacaatgcct >hg38_chr4:88449097-88449107(-) gaataatggct >hg38_chr4:88481835-88481845(+) GGACAATAGCc >hg38_chr4:88486410-88486420(+) TGACTATGGGA >hg38_chr4:88505518-88505528(-) gaacaatgtct >hg38_chr4:88546691-88546701(-) ACACAATGCAT >hg38_chr4:88596763-88596773(-) gcacaaaggga >hg38_chr4:88600326-88600336(-) aaacaatggct >hg38_chr4:88646959-88646969(-) GGACAATAGGA >hg38_chr4:88679998-88680008(-) GGACAAAGGAA >hg38_chr4:88690590-88690600(+) ATACAGTGGCT >hg38_chr4:88716047-88716057(-) atacaataata >hg38_chr4:88791840-88791850(+) GTACAATATTT >hg38_chr4:88792450-88792460(+) TCACAATGCCT >hg38_chr4:88798358-88798368(-) GAACAATGGGG >hg38_chr4:88839473-88839483(+) agacaaaggaa >hg38_chr4:88844100-88844110(+) TAACAATATCA >hg38_chr4:88882417-88882427(+) agacaatgctg >hg38_chr4:88882460-88882470(+) agacaatgctg >hg38_chr4:88889191-88889201(-) caacaatgcca >hg38_chr4:88900765-88900775(+) tcacaatgata >hg38_chr4:88927828-88927838(-) atacaaaggac >hg38_chr4:88937703-88937713(-) AGACAATATTA >hg38_chr4:88938388-88938398(-) CAACAATGTCA >hg38_chr4:88942150-88942160(+) ttacaatggtc >hg38_chr4:88963967-88963977(+) GAACAATAGCA >hg38_chr4:88968241-88968251(+) AAACAAAGGTC >hg38_chr4:89032793-89032803(+) TAACAATACTA >hg38_chr4:89044256-89044266(+) gaacaaaggac >hg38_chr4:89067078-89067088(+) tgacaataata >hg38_chr4:89079741-89079751(+) GGACAATGGCA >hg38_chr4:89079765-89079775(+) CAACAATGAAC >hg38_chr4:89112500-89112510(-) ATACAATGGGA >hg38_chr4:89159060-89159070(+) AAATAATGGTG >hg38_chr4:89159076-89159086(-) GTACAATGCCT >hg38_chr4:89176159-89176169(+) AAACAATGTAA >hg38_chr4:89197051-89197061(-) GAACAATGGGC >hg38_chr4:89198207-89198217(+) gtacaatgagg >hg38_chr4:89220442-89220452(-) gaacaaagggt >hg38_chr4:89220466-89220476(+) gaacaaaggtt >hg38_chr4:89238550-89238560(+) TCACAATGCTC >hg38_chr4:89306268-89306278(+) GCACAATGGGA >hg38_chr4:89382701-89382711(-) agacaataaat >hg38_chr4:89388263-89388273(+) CAACAATGTGG >hg38_chr4:89388274-89388284(+) AGACAATGGCA >hg38_chr4:89417909-89417919(-) ggacaatagct >hg38_chr4:89439899-89439909(+) ATACAATAACT >hg38_chr4:89458665-89458675(-) TGACAATGCTT >hg38_chr4:89458694-89458704(-) ATACAATGCCT >hg38_chr4:89463303-89463313(+) AAACAAAGGTA >hg38_chr4:89482468-89482478(+) TGACAATGTGA >hg38_chr4:89486201-89486211(-) GTACAAAGGGG >hg38_chr4:89486260-89486270(+) GTACAAAGGAG >hg38_chr4:89491968-89491978(-) TAATAATGGCT >hg38_chr4:89492942-89492952(+) gcacaatgaca >hg38_chr4:89492976-89492986(+) aaacaatggtg >hg38_chr4:89502787-89502797(+) TAACAATGAAC >hg38_chr4:89502795-89502805(-) ATATAATGGTT >hg38_chr4:89513036-89513046(+) atataatggcc >hg38_chr4:89547721-89547731(-) ttacaatagct >hg38_chr4:89628585-89628595(-) AGACAATGGCA >hg38_chr4:89628592-89628602(-) GTACAATAGAC >hg38_chr4:89633472-89633482(-) ACACAATGGCA >hg38_chr4:89634752-89634762(-) ATACAATAGAT >hg38_chr4:89653250-89653260(-) ATACAATAGCA >hg38_chr4:89669285-89669295(-) tcactatggaa >hg38_chr4:89684972-89684982(+) AAACAATAGGT >hg38_chr4:89685014-89685024(-) AAACAATGATA >hg38_chr4:89709322-89709332(+) CCACAATGACA >hg38_chr4:89743963-89743973(+) TAACAATAACA >hg38_chr4:89800381-89800391(-) TCACAAAGGAT >hg38_chr4:89800397-89800407(-) GGACAATGTGA >hg38_chr4:89821890-89821900(+) GCACAAAGGGA >hg38_chr4:89829723-89829733(+) agacaatgtgg >hg38_chr4:89839478-89839488(-) GCACAATGGAA >hg38_chr4:89861208-89861218(+) AGACAATAGAT >hg38_chr4:89869345-89869355(+) ATACAAAGGCG >hg38_chr4:89878549-89878559(+) CAACAATGAAC >hg38_chr4:89878556-89878566(+) GAACAATGTGC >hg38_chr4:89879431-89879441(+) GAATAATGGCA >hg38_chr4:89879443-89879453(-) TTACAATAGCC >hg38_chr4:89879879-89879889(-) AAACAATAACA >hg38_chr4:89885314-89885324(+) acacaatgcta >hg38_chr4:89890358-89890368(-) aaacaataaaa >hg38_chr4:89913862-89913872(+) CTACAATGGGA >hg38_chr4:89928984-89928994(+) ATACAATGGGA >hg38_chr4:90002696-90002706(-) GTACAAAGGAA >hg38_chr4:90002703-90002713(+) GTACAAAGGGA >hg38_chr4:90003007-90003017(+) AGACAAAGGCA >hg38_chr4:90007244-90007254(-) atacaaaggga >hg38_chr4:90018200-90018210(+) taataatggca >hg38_chr4:90025456-90025466(-) AAACAAAGGAT >hg38_chr4:90042237-90042247(-) AAACAATAACA >hg38_chr4:90042284-90042294(+) AAACAAAGGGG >hg38_chr4:90042679-90042689(+) TTACAAAGGCA >hg38_chr4:90061916-90061926(+) AAACAATGGCT >hg38_chr4:90061945-90061955(+) TGACAATAATA >hg38_chr4:90064396-90064406(+) TCACAATGGAA >hg38_chr4:90090918-90090928(-) TCACAATATAC >hg38_chr4:90120218-90120228(+) tgacaatgggg >hg38_chr4:90145533-90145543(-) ACACAATAGAT >hg38_chr4:90145888-90145898(-) AGACAATGCCT >hg38_chr4:90152540-90152550(+) CTACAATGTCC >hg38_chr4:90164755-90164765(-) TAACAATGACC >hg38_chr4:90172179-90172189(-) TCACAATGGAA >hg38_chr4:90190646-90190656(+) AGACAATAGTG >hg38_chr4:90247078-90247088(+) ggacaaaggga >hg38_chr4:90253127-90253137(-) gcacaaaggaa >hg38_chr4:90288874-90288884(-) gaacaatgcat >hg38_chr4:90291340-90291350(+) ATACAATAGTT >hg38_chr4:90292580-90292590(+) ACACAATGCCA >hg38_chr4:90328623-90328633(-) GCACAATGCCC >hg38_chr4:90329093-90329103(-) AAACAATGCAC >hg38_chr4:90431151-90431161(+) ATATAATGGAG >hg38_chr4:90432786-90432796(+) CAACAATGAAC >hg38_chr4:90507265-90507275(-) GAATAATGGCA >hg38_chr4:90507286-90507296(-) GGACAAAGGAA >hg38_chr4:90612997-90613007(+) GAACAATAATA >hg38_chr4:90770630-90770640(-) ATACAATGATG >hg38_chr4:90771102-90771112(-) CAACAATGCAT >hg38_chr4:90775333-90775343(+) AAACAATGTAA >hg38_chr4:91048866-91048876(+) AAATAATGGGC >hg38_chr4:91057036-91057046(+) caacaatggct >hg38_chr4:91086236-91086246(-) AAACAATGCTT >hg38_chr4:91086250-91086260(+) TTATAATGGAT >hg38_chr4:91099835-91099845(+) agacaatagat >hg38_chr4:91204228-91204238(+) TAACAATAGAA >hg38_chr4:91204250-91204260(+) GCACAATGTAG >hg38_chr4:91330964-91330974(-) ggacaaaggga >hg38_chr4:91450100-91450110(+) TCACAATGGCT >hg38_chr4:91480887-91480897(-) GAACAATGATT >hg38_chr4:91498002-91498012(-) GCACAATGGCT >hg38_chr4:91596867-91596877(+) AGACAATAAAA >hg38_chr4:91693963-91693973(-) agacaatgggg >hg38_chr4:91797464-91797474(+) gcacaaaggaa >hg38_chr4:91865834-91865844(-) caataatggtc >hg38_chr4:91916554-91916564(-) gaacaataacc >hg38_chr4:91958166-91958176(+) ctataatggcc >hg38_chr4:91996175-91996185(+) acacaatagaa >hg38_chr4:91996206-91996216(+) gaacaatgtac >hg38_chr4:92060573-92060583(+) agacaaaggca >hg38_chr4:92204217-92204227(-) agacaaaggat >hg38_chr4:92224659-92224669(-) TAACTATGGTG >hg38_chr4:92234657-92234667(+) ctacaatgcct >hg38_chr4:92256858-92256868(-) ggacaaaggaa >hg38_chr4:92265236-92265246(+) AAACAATGCTG >hg38_chr4:92277704-92277714(+) ACACAATGGAT >hg38_chr4:92290250-92290260(-) taacaaaggaa >hg38_chr4:92305716-92305726(-) TGATAATGACG >hg38_chr4:92315335-92315345(+) GAACAATGAGA >hg38_chr4:92444659-92444669(+) GTACTATGGAT >hg38_chr4:92444668-92444678(+) ATATAATGTAA >hg38_chr4:92445280-92445290(+) tcacaatgtcg >hg38_chr4:92472719-92472729(-) aaacaatgaag >hg38_chr4:92520800-92520810(-) acacaatgatg >hg38_chr4:92529409-92529419(+) ggacaatgaga >hg38_chr4:92566441-92566451(+) GGACAATAGGA >hg38_chr4:92594595-92594605(-) AAACAATGGAT >hg38_chr4:92594856-92594866(-) AAACAATAAGT >hg38_chr4:92594865-92594875(-) TAACAATATAA >hg38_chr4:92656354-92656364(-) GAACAATGGAT >hg38_chr4:92666134-92666144(-) ggacaatggaa >hg38_chr4:92914025-92914035(-) CTACAATATAT >hg38_chr4:92914072-92914082(+) CAACAATGAAT >hg38_chr4:92926642-92926652(+) ACACAATGAAT >hg38_chr4:92926652-92926662(-) AAACAATGAAA >hg38_chr4:92926663-92926673(-) TTACAATGCCA >hg38_chr4:93023004-93023014(-) AAACAATGTCA >hg38_chr4:93048221-93048231(-) GAACAATAGAG >hg38_chr4:93098319-93098329(-) TGACAATGCCT >hg38_chr4:93135220-93135230(+) GAACAATAGGA >hg38_chr4:93147704-93147714(-) AGACAATAAAT >hg38_chr4:93198337-93198347(+) caataatggat >hg38_chr4:93263378-93263388(-) ACACAATGGGG >hg38_chr4:93296097-93296107(+) agataatggtg >hg38_chr4:93301741-93301751(+) TTACAATGTCT >hg38_chr4:93333674-93333684(-) GCACAATGAAA >hg38_chr4:93372424-93372434(+) CTACAATGTCC >hg38_chr4:93396415-93396425(-) ACACAATGTGA >hg38_chr4:93396458-93396468(+) ggacaaaggga >hg38_chr4:93405330-93405340(+) TGACAATGGCT >hg38_chr4:93438156-93438166(+) atacaaaggag >hg38_chr4:93446251-93446261(-) gcacaatggct >hg38_chr4:93501267-93501277(-) ACACAAAGGAT >hg38_chr4:93501492-93501502(-) TAACAATAGAG >hg38_chr4:93687023-93687033(+) ctactatggaa >hg38_chr4:93764778-93764788(+) TAACAATAACC >hg38_chr4:93772929-93772939(+) ATACAATGCTC >hg38_chr4:93788962-93788972(+) ACACAATGGAA >hg38_chr4:93791847-93791857(-) ACACAATGCTG >hg38_chr4:93793410-93793420(-) GAACAATGGTC >hg38_chr4:93793423-93793433(+) GAACAATGCCC >hg38_chr4:93794355-93794365(-) GGACAATGGCA >hg38_chr4:93852236-93852246(-) gaacaatataT >hg38_chr4:93876879-93876889(-) CCACAATGAAA >hg38_chr4:93891460-93891470(-) AGACAATAGAA >hg38_chr4:93891472-93891482(-) AGACAAAGGGT >hg38_chr4:93947512-93947522(-) ggacaatgtct >hg38_chr4:93947538-93947548(+) ttacaatataa >hg38_chr4:93961689-93961699(+) aaactatggac >hg38_chr4:93962923-93962933(-) TAACAATGATG >hg38_chr4:93962934-93962944(+) GCACAATGGTC >hg38_chr4:94018655-94018665(-) agacaatgtta >hg38_chr4:94062265-94062275(+) TAACAATAAAG >hg38_chr4:94115667-94115677(-) GAACAATAGAT >hg38_chr4:94115688-94115698(-) GGACAATGTCC >hg38_chr4:94117609-94117619(+) TGACAATGCCT >hg38_chr4:94165383-94165393(-) AAACAATGACA >hg38_chr4:94165411-94165421(+) GTACAATAGCC >hg38_chr4:94189496-94189506(+) acacaatagga >hg38_chr4:94207550-94207560(-) CGACAATGTCG >hg38_chr4:94240832-94240842(-) AAACAAAGGCA >hg38_chr4:94274945-94274955(+) TTACAATGTAA >hg38_chr4:94306836-94306846(+) ctataatggaa >hg38_chr4:94362596-94362606(+) GCACAATGCGA >hg38_chr4:94362772-94362782(+) TAATAATAGTA >hg38_chr4:94363606-94363616(+) TAACAATGTGC >hg38_chr4:94375590-94375600(+) tcacagtggcg >hg38_chr4:94454730-94454740(-) TTACAATGAGA >hg38_chr4:94459055-94459065(-) CTACAATGAAA >hg38_chr4:94463939-94463949(-) TCACAATGCCT >hg38_chr4:94492737-94492747(-) acacaatggaa >hg38_chr4:94498691-94498701(-) TTACAGTGGAT >hg38_chr4:94515165-94515175(-) ATACAATGCTC >hg38_chr4:94540706-94540716(-) TAACAATAAGA >hg38_chr4:94540712-94540722(-) AGACAATAACA >hg38_chr4:94545411-94545421(-) AAACAATGAAA >hg38_chr4:94550423-94550433(-) GGACAATGGCA >hg38_chr4:94554195-94554205(+) AGACAATGAAA >hg38_chr4:94559767-94559777(-) ATACAATAATT >hg38_chr4:94559783-94559793(-) TCATAATGGCA >hg38_chr4:94570953-94570963(-) AGACAATAGAA >hg38_chr4:94571471-94571481(+) GAACAATAAGA >hg38_chr4:94582576-94582586(-) AAACAATGATT >hg38_chr4:94582596-94582606(-) agacaatgaaa >hg38_chr4:94583355-94583365(-) AAACAATGGTG >hg38_chr4:94617340-94617350(+) AGATAATGGCA >hg38_chr4:94617385-94617395(+) TAACactgggt >hg38_chr4:94626199-94626209(+) ATACAATGGGC >hg38_chr4:94626273-94626283(-) AAACAATGATT >hg38_chr4:94633959-94633969(+) gtacaaagggc >hg38_chr4:94641520-94641530(-) tcacaatgaac >hg38_chr4:94645621-94645631(+) atataatagta >hg38_chr4:94654528-94654538(+) ATACAATGGCC >hg38_chr4:94700423-94700433(-) TAACAATGGGT >hg38_chr4:94756540-94756550(+) TCACAATGCAG >hg38_chr4:94809634-94809644(-) GTACAATGTAC >hg38_chr4:94809716-94809726(+) AAACAATGGAC >hg38_chr4:94826422-94826432(-) GAACAAAGGGA >hg38_chr4:94827604-94827614(-) GAACAAAGGCA >hg38_chr4:94888063-94888073(+) aaacaatggaa >hg38_chr4:94888080-94888090(-) taacaataaca >hg38_chr4:94895297-94895307(-) ACACAATGATG >hg38_chr4:94928415-94928425(-) GCACAATAATA >hg38_chr4:94940014-94940024(-) AAACAAAGGAA >hg38_chr4:94940712-94940722(-) GTACAAAGGAG >hg38_chr4:95004313-95004323(+) ATACATTGGTA >hg38_chr4:95004789-95004799(+) TGACAATAATA >hg38_chr4:95027528-95027538(+) TAACAATAAAC >hg38_chr4:95028062-95028072(+) AGACAATGAGG >hg38_chr4:95028076-95028086(-) ACACAATGCTA >hg38_chr4:95028413-95028423(-) GTATAATGAAC >hg38_chr4:95033588-95033598(+) ATACAATGCCA >hg38_chr4:95045729-95045739(-) tgacaatgcag >hg38_chr4:95075495-95075505(-) ACACAATGGCT >hg38_chr4:95105904-95105914(-) ACACAATAGTA >hg38_chr4:95105958-95105968(-) GGACAATAGCT >hg38_chr4:95158216-95158226(+) AAACAATGCCA >hg38_chr4:95217751-95217761(+) gaacaattgta >hg38_chr4:95217768-95217778(+) aaacaatggaa >hg38_chr4:95231970-95231980(+) GGACAATGGCC >hg38_chr4:95265078-95265088(+) agacaaagggc >hg38_chr4:95267227-95267237(+) TCACAATGCCC >hg38_chr4:95290737-95290747(+) GGACAATCGAC >hg38_chr4:95317097-95317107(+) TCACAAAGGAA >hg38_chr4:95317277-95317287(+) GCATAATGGCT >hg38_chr4:95318628-95318638(-) GGACAATGATG >hg38_chr4:95382859-95382869(-) GGACAATGGAG >hg38_chr4:95398673-95398683(+) AGACAATAGGG >hg38_chr4:95440162-95440172(-) GAACAATAGCC >hg38_chr4:95505401-95505411(-) TAACAATGCAG >hg38_chr4:95511137-95511147(+) TGATAATGGCA >hg38_chr4:95513155-95513165(+) TAACCATGGAT >hg38_chr4:95546962-95546972(-) ATACAAAGGAC >hg38_chr4:95556027-95556037(-) TGATAATGGGA >hg38_chr4:95556052-95556062(+) TCACAATATAT >hg38_chr4:95572719-95572729(+) agacaatgtca >hg38_chr4:95639322-95639332(+) TAATAATGGGG >hg38_chr4:95639878-95639888(+) GAATAATGGTC >hg38_chr4:95640972-95640982(+) caacaatgctt >hg38_chr4:95660889-95660899(-) aaacaatgctg >hg38_chr4:95672927-95672937(-) GGACAAAGGAC >hg38_chr4:95682725-95682735(+) GCACAATAGTT >hg38_chr4:95682788-95682798(-) AAACAATGGTG >hg38_chr4:95701621-95701631(-) aaacaatgtag >hg38_chr4:95732213-95732223(+) CCACAATGGCC >hg38_chr4:95800233-95800243(+) taacaatagag >hg38_chr4:95829993-95830003(+) CAACAATAGGC >hg38_chr4:95834829-95834839(-) AGACAATGCTA >hg38_chr4:95834874-95834884(-) ATACAATACAT >hg38_chr4:95837785-95837795(-) GGACAATAGAG >hg38_chr4:95848220-95848230(+) GAACAATGAGC >hg38_chr4:95870154-95870164(+) aaacaatagca >hg38_chr4:95905738-95905748(+) TAACAATCGTA >hg38_chr4:95924151-95924161(+) taacaaaggtc >hg38_chr4:95979651-95979661(-) CTACAATGAAA >hg38_chr4:95979670-95979680(+) GCACAGTGGTA >hg38_chr4:95982774-95982784(+) GAACAAAGGGA >hg38_chr4:96035848-96035858(+) GAACAATAGTT >hg38_chr4:96046897-96046907(-) ACACAATAGGA >hg38_chr4:96046943-96046953(-) GAACAATAACA >hg38_chr4:96050997-96051007(+) ATACAATGGAT >hg38_chr4:96097206-96097216(+) CCACAATGTCT >hg38_chr4:96166909-96166919(+) gaacaataggc >hg38_chr4:96220410-96220420(-) AGACAATGAGC >hg38_chr4:96304772-96304782(-) TGACAATGGAT >hg38_chr4:96363534-96363544(-) tcacaaaggaa >hg38_chr4:96363559-96363569(+) caacaatgagc >hg38_chr4:96363567-96363577(-) gaacaatagct >hg38_chr4:96451495-96451505(-) ggacaatgggc >hg38_chr4:96473387-96473397(-) CAACAATAGGG >hg38_chr4:96497829-96497839(+) ttacaatgaca >hg38_chr4:96497835-96497845(+) tgacaatgagc >hg38_chr4:96592627-96592637(+) TAACAATACAA >hg38_chr4:96592658-96592668(+) AGACAAAGGTG >hg38_chr4:96642721-96642731(-) CTACAATGGGT >hg38_chr4:96655558-96655568(+) AAACAATAAGA >hg38_chr4:96655568-96655578(+) ATACAATGTCA >hg38_chr4:96670495-96670505(+) ATACAATGCAC >hg38_chr4:96699018-96699028(+) GTACAATGAGC >hg38_chr4:96736696-96736706(+) tcacaaaggac >hg38_chr4:96800846-96800856(+) gaacaataggg >hg38_chr4:96874234-96874244(-) AAACAAAGGAA >hg38_chr4:96992582-96992592(-) aaacaatagga >hg38_chr4:96993761-96993771(+) GGACAATGTCT >hg38_chr4:97011908-97011918(-) GTACAATAGTC >hg38_chr4:97028223-97028233(-) CCACAATGACA >hg38_chr4:97076470-97076480(+) GAACAATAGCC >hg38_chr4:97096463-97096473(-) taacaatgcat >hg38_chr4:97096475-97096485(-) taacaatgcat >hg38_chr4:97144338-97144348(+) tgacaatgaga >hg38_chr4:97153208-97153218(-) AAACAATAGGG >hg38_chr4:97235624-97235634(-) GCACAATGAGA >hg38_chr4:97238979-97238989(-) AAACAATAAAA >hg38_chr4:97239889-97239899(+) TGACAATGACC >hg38_chr4:97239926-97239936(+) AGACAATGAAT >hg38_chr4:97391237-97391247(+) atacaaaggat >hg38_chr4:97391302-97391312(+) gaacaatggct >hg38_chr4:97465182-97465192(-) gtacaatggta >hg38_chr4:97494822-97494832(+) acacaatgtga >hg38_chr4:97505564-97505574(-) AAACAATGCCA >hg38_chr4:97508162-97508172(-) Ttactatggca >hg38_chr4:97516397-97516407(-) CGACAATGTAG >hg38_chr4:97525404-97525414(+) gtacaatgcag >hg38_chr4:97525437-97525447(+) gaacaataact >hg38_chr4:97525458-97525468(-) ttacaatagcc >hg38_chr4:97558524-97558534(-) atacaataagg >hg38_chr4:97589607-97589617(-) agacaatagga >hg38_chr4:97670919-97670929(+) TGACAATGGGA >hg38_chr4:97794318-97794328(+) AGACAATGAAA >hg38_chr4:97978946-97978956(+) agacaatggtg >hg38_chr4:97984517-97984527(+) atactatggtt >hg38_chr4:98083902-98083912(+) atacagtggca >hg38_chr4:98112279-98112289(-) acacaatggta >hg38_chr4:98112319-98112329(+) gtacaataaca >hg38_chr4:98112353-98112363(+) aaacaatgggc >hg38_chr4:98133958-98133968(+) AGACAATGGCA >hg38_chr4:98136697-98136707(+) ccacaatagga >hg38_chr4:98136705-98136715(+) ggacaaagggt >hg38_chr4:98148101-98148111(+) ATACAGTGGct >hg38_chr4:98148116-98148126(-) ttataatggct >hg38_chr4:98158760-98158770(+) tgacaatggtg >hg38_chr4:98165110-98165120(+) gaacaatgctt >hg38_chr4:98170013-98170023(-) CAACAAAGGAC >hg38_chr4:98170042-98170052(+) GTACAATAAAT >hg38_chr4:98170989-98170999(+) ttacaatgttg >hg38_chr4:98187453-98187463(-) GTACAATAACT >hg38_chr4:98206505-98206515(+) agacaataaac >hg38_chr4:98208567-98208577(-) taacaatggaa >hg38_chr4:98293780-98293790(-) AAACAATGGCC >hg38_chr4:98294101-98294111(-) ACACAATGGTC >hg38_chr4:98339268-98339278(-) TCACAATAGCC >hg38_chr4:98341382-98341392(-) AAACAATGCTA >hg38_chr4:98344091-98344101(+) AAACAATGTAT >hg38_chr4:98358784-98358794(-) gtacaatgtca >hg38_chr4:98358815-98358825(-) acacaatagca >hg38_chr4:98363077-98363087(+) taataatggag >hg38_chr4:98384863-98384873(-) TAACAATGCTG >hg38_chr4:98410427-98410437(+) gaacagtggga >hg38_chr4:98425837-98425847(+) aaacaatggat >hg38_chr4:98426214-98426224(+) caacaaaggcg >hg38_chr4:98468917-98468927(-) GAACAATGAGG >hg38_chr4:98483430-98483440(+) ccacaatgagt >hg38_chr4:98522680-98522690(-) CAACAAAGGAC >hg38_chr4:98527136-98527146(-) ctataatggag >hg38_chr4:98586975-98586985(+) GGACAAAGGCA >hg38_chr4:98589458-98589468(+) AGACAAAGGAA >hg38_chr4:98623280-98623290(-) AAACAATAGAA >hg38_chr4:98623591-98623601(+) CTACAATGCAA >hg38_chr4:98623631-98623641(+) GAACAAAGGAC >hg38_chr4:98662893-98662903(-) gaacaataaag >hg38_chr4:98662938-98662948(-) agacaatgaca >hg38_chr4:98680918-98680928(+) TAACAATGCCA >hg38_chr4:98686239-98686249(+) GTACAATGTTG >hg38_chr4:98710253-98710263(-) aaacaataaca >hg38_chr4:98710554-98710564(+) caacaatggtg >hg38_chr4:98710569-98710579(+) gtacaataaca >hg38_chr4:98710575-98710585(+) taacaatggcc >hg38_chr4:98772332-98772342(-) TCACAATGGTG >hg38_chr4:98860850-98860860(+) ATATAATGGTC >hg38_chr4:98868210-98868220(-) gaacaatagga >hg38_chr4:98929482-98929492(-) CGACAAAGGGC >hg38_chr4:98946683-98946693(+) tcacaatgcag >hg38_chr4:98968002-98968012(-) AGACAATGGAG >hg38_chr4:98968054-98968064(-) AGACAATAAAA >hg38_chr4:98969310-98969320(+) CAACAATAGCA >hg38_chr4:98995172-98995182(+) CGACAATGTCA >hg38_chr4:99008082-99008092(+) GAACAATGTAC >hg38_chr4:99057564-99057574(+) GGACAATACGT >hg38_chr4:99157231-99157241(+) AAATAATGGAG >hg38_chr4:99205372-99205382(+) TAACAAAGGCC >hg38_chr4:99206218-99206228(-) ccacaatgtgc >hg38_chr4:99206262-99206272(-) CAACAAtagca >hg38_chr4:99233933-99233943(-) caacaatagat >hg38_chr4:99274598-99274608(-) TAATAATGGAG >hg38_chr4:99289908-99289918(+) TAACAATGGGC >hg38_chr4:99321720-99321730(-) AGACAAAGGGA >hg38_chr4:99438362-99438372(-) AGACAATGGCA >hg38_chr4:99459206-99459216(-) GGACAATGTCT >hg38_chr4:99463680-99463690(-) AGACAGTGGAA >hg38_chr4:99468074-99468084(-) aaacaaaggca >hg38_chr4:99470562-99470572(-) AAACAAAGGTA >hg38_chr4:99472049-99472059(+) GAACAATGCAA >hg38_chr4:99473337-99473347(-) ggacaatgcct >hg38_chr4:99479317-99479327(-) tcacaatagca >hg38_chr4:99540765-99540775(+) GGACAATGATC >hg38_chr4:99574225-99574235(+) AGACAATGCTG >hg38_chr4:99574479-99574489(-) TTACAATACTA >hg38_chr4:99575273-99575283(-) TAACAATAGTG >hg38_chr4:99575760-99575770(-) ACACAATGCAG >hg38_chr4:99591935-99591945(-) taacaatgctt >hg38_chr4:99601753-99601763(-) GGACAATGGTG >hg38_chr4:99624475-99624485(+) TAACAATGATG >hg38_chr4:99624769-99624779(-) TTATAATGGAG >hg38_chr4:99640869-99640879(+) GAACAATGGGA >hg38_chr4:99640878-99640888(+) GAACAAAGGAA >hg38_chr4:99752571-99752581(-) atacaatggca >hg38_chr4:99752605-99752615(-) gcacaatgatg >hg38_chr4:99840502-99840512(-) CAACAATGACA >hg38_chr4:99840653-99840663(+) ATACAATACAC >hg38_chr4:99840671-99840681(+) GAACAAAGGTA >hg38_chr4:99845642-99845652(-) GAACAATAGGT >hg38_chr4:99845680-99845690(-) ATACAAAGGCA >hg38_chr4:99845689-99845699(-) TAACAATAAAT >hg38_chr4:99851256-99851266(+) GCACAATGTGC >hg38_chr4:99851283-99851293(+) TGATAATGGCA >hg38_chr4:99851303-99851313(-) TCACAATGAAA >hg38_chr4:99854174-99854184(-) AAACAATGAGT >hg38_chr4:99868458-99868468(+) ACACAATGGAA >hg38_chr4:99868489-99868499(-) CCACAATGAGT >hg38_chr4:99879137-99879147(-) TCACAATGGGA >hg38_chr4:99879159-99879169(+) TAACAATGTTT >hg38_chr4:99879336-99879346(+) GAACTATGGGA >hg38_chr4:99879378-99879388(+) TAACAGTGGCA >hg38_chr4:99879561-99879571(+) AGATAATGGGA >hg38_chr4:99909205-99909215(+) TAACaatagca >hg38_chr4:99909272-99909282(-) ggacaatgtaa >hg38_chr4:99909279-99909289(-) taataatggac >hg38_chr4:99911504-99911514(+) taacaatgtat >hg38_chr4:99920437-99920447(-) TAATAATGGGG >hg38_chr4:99942158-99942168(-) GCACAATAGCA >hg38_chr4:99943597-99943607(-) agacaatgtac >hg38_chr4:99944184-99944194(+) ccacaatgaga >hg38_chr4:99960753-99960763(-) taacaatatta >hg38_chr4:99973661-99973671(+) acacaatgaca >hg38_chr4:99980211-99980221(-) atactatggtt >hg38_chr4:100076125-100076135(-) atacaatgagt >hg38_chr4:100079228-100079238(-) ACACAATAGAG >hg38_chr4:100086964-100086974(-) agacaatggat >hg38_chr4:100127224-100127234(-) aaacaatgact >hg38_chr4:100128151-100128161(-) aaacaatggga >hg38_chr4:100145510-100145520(+) gcacaatgctt >hg38_chr4:100226976-100226986(+) CTACAATGTTA >hg38_chr4:100272142-100272152(-) TCACAATGCAG >hg38_chr4:100289188-100289198(+) tgataatggag >hg38_chr4:100340190-100340200(+) caacaatgact >hg38_chr4:100345761-100345771(+) GCATAATGGCC >hg38_chr4:100345807-100345817(+) TAACAATGACA >hg38_chr4:100369018-100369028(-) aaacaatgaat >hg38_chr4:100455227-100455237(-) taacaatggca >hg38_chr4:100455562-100455572(-) gaacaatgcat >hg38_chr4:100455597-100455607(-) gaacaatgata >hg38_chr4:100458670-100458680(+) TAACAAAGGCC >hg38_chr4:100465880-100465890(+) gaacaatgacc >hg38_chr4:100576813-100576823(+) agacaatggaa >hg38_chr4:100603636-100603646(+) tcacaatggga >hg38_chr4:100615751-100615761(-) CCACAATGTAG >hg38_chr4:100644862-100644872(+) gcacaatgtct >hg38_chr4:100687423-100687433(-) taacaatattc >hg38_chr4:100692468-100692478(-) TAACAAAGGTA >hg38_chr4:100692678-100692688(-) TAATAATGTAT >hg38_chr4:100692704-100692714(-) AAACACTGGTA >hg38_chr4:100704412-100704422(+) GTACTATGGAA >hg38_chr4:100737174-100737184(-) GAACAATGGGA >hg38_chr4:100774657-100774667(-) tgacagtggta >hg38_chr4:100774689-100774699(-) acacaataata >hg38_chr4:100790803-100790813(+) GGACAATGGTC >hg38_chr4:100793840-100793850(+) tgacaataggg >hg38_chr4:100794326-100794336(+) CAACAATGAAG >hg38_chr4:100819426-100819436(-) ATACAATGTAA >hg38_chr4:100833096-100833106(+) CTATAATGGAT >hg38_chr4:100838637-100838647(-) aaacaatgcat >hg38_chr4:100933090-100933100(+) TAACAATGAGG >hg38_chr4:100949008-100949018(-) CGACAATGTGC >hg38_chr4:100949650-100949660(+) AGACTATGGTA >hg38_chr4:100975644-100975654(-) AAATAATGGAA >hg38_chr4:101020322-101020332(+) TGATAATGGGT >hg38_chr4:101028079-101028089(-) AAACAATAGCA >hg38_chr4:101045246-101045256(+) CAACAATAGAC >hg38_chr4:101067024-101067034(+) TAACAATTGTA >hg38_chr4:101069615-101069625(+) gaacaatgcag >hg38_chr4:101071686-101071696(-) gaacaaaggag >hg38_chr4:101096470-101096480(+) GTACAATAGCC >hg38_chr4:101115191-101115201(+) GAACAATGAAT >hg38_chr4:101123284-101123294(+) GAACAATGCAA >hg38_chr4:101123296-101123306(-) GAACAATGCCC >hg38_chr4:101126147-101126157(+) TTACAATGTAA >hg38_chr4:101126630-101126640(-) ATACAATGAAT >hg38_chr4:101144847-101144857(+) GAACAATGCAA >hg38_chr4:101153195-101153205(+) GGACAGTGGAC >hg38_chr4:101157385-101157395(+) agacaatagaa >hg38_chr4:101171396-101171406(+) ATACTATGGTT >hg38_chr4:101176332-101176342(+) CAACAATAACG >hg38_chr4:101176369-101176379(-) TAACAATGGAG >hg38_chr4:101177458-101177468(+) AGACAATAGTT >hg38_chr4:101186886-101186896(+) CAACAATACCG >hg38_chr4:101186898-101186908(+) TCACAATAGTA >hg38_chr4:101187063-101187073(+) gtacaaaggta >hg38_chr4:101207153-101207163(+) TAACAATAAAA >hg38_chr4:101208349-101208359(-) GAACAATGGGA >hg38_chr4:101218245-101218255(+) TAATAATGGAA >hg38_chr4:101218954-101218964(-) GGACAATGCGT >hg38_chr4:101222404-101222414(+) TAACAATGGTA >hg38_chr4:101222434-101222444(-) AAACAATGGCT >hg38_chr4:101222460-101222470(+) ATACAATAATA >hg38_chr4:101251437-101251447(+) TAACAATAAAT >hg38_chr4:101251493-101251503(+) CAACAATGACT >hg38_chr4:101252251-101252261(+) GCACAATGACA >hg38_chr4:101256347-101256357(-) GAACAATAGCT >hg38_chr4:101257780-101257790(-) AAATAATGCGT >hg38_chr4:101305034-101305044(+) AAACAATGAGA >hg38_chr4:101330480-101330490(+) gcacaacggtt >hg38_chr4:101330669-101330679(+) cgacaatatct >hg38_chr4:101339750-101339760(+) AGACAATGACC >hg38_chr4:101342387-101342397(+) CAACAATGCAC >hg38_chr4:101347751-101347761(-) GGACAATGAGG >hg38_chr4:101369506-101369516(+) taacaataaca >hg38_chr4:101369523-101369533(+) aaacaataaca >hg38_chr4:101378478-101378488(-) gcacaatgaga >hg38_chr4:101383385-101383395(+) taacaataaat >hg38_chr4:101388740-101388750(-) gcacaatgaat >hg38_chr4:101393858-101393868(-) acacaataaaa >hg38_chr4:101393878-101393888(-) aaacaatgata >hg38_chr4:101427782-101427792(-) agacaatgaag >hg38_chr4:101427818-101427828(-) ttacaatagag >hg38_chr4:101428118-101428128(+) acacaatgttt >hg38_chr4:101462988-101462998(-) GTacaatagga >hg38_chr4:101563893-101563903(-) caacaatagta >hg38_chr4:101599749-101599759(+) TTACAATGAAG >hg38_chr4:101604082-101604092(-) TTACAATGGCT >hg38_chr4:101639011-101639021(+) CTACAATGGGC >hg38_chr4:101641338-101641348(-) GAACAATGGTT >hg38_chr4:101649471-101649481(-) CAACAATAGTT >hg38_chr4:101690624-101690634(-) AGACAAAGGGC >hg38_chr4:101713517-101713527(-) AGACAAAGGAC >hg38_chr4:101727405-101727415(-) gaacagtggtt >hg38_chr4:101736986-101736996(+) atacaaaggtt >hg38_chr4:101785449-101785459(+) CTACAATAGCT >hg38_chr4:101785657-101785667(+) GCACAATAGTA >hg38_chr4:101786882-101786892(+) TAACAATGAGA >hg38_chr4:101792174-101792184(-) TCACAATAGCA >hg38_chr4:101797891-101797901(+) caacaatgaat >hg38_chr4:101835645-101835655(-) AAACAATGCTT >hg38_chr4:101839712-101839722(+) TCACAATGACC >hg38_chr4:101850801-101850811(-) tcacaatggta >hg38_chr4:101900908-101900918(-) caacaatgcgt >hg38_chr4:101944772-101944782(+) GGACAATGTGC >hg38_chr4:101948030-101948040(-) TTACAATAGTT >hg38_chr4:101948041-101948051(-) TAACAATAGAA >hg38_chr4:101962347-101962357(-) TGACAATGCCT >hg38_chr4:101967854-101967864(+) AAACAAAGGAC >hg38_chr4:101968066-101968076(+) GAACAATGATT >hg38_chr4:101968969-101968979(-) TAACAAAGGTC >hg38_chr4:101969015-101969025(+) AGATAATGGCT >hg38_chr4:101979208-101979218(-) TAACAATGCTA >hg38_chr4:101979392-101979402(-) GAACAATAACA >hg38_chr4:101998744-101998754(-) TCACAATATaa >hg38_chr4:101998809-101998819(+) tcacaatggta >hg38_chr4:101999625-101999635(-) aaacaatgtca >hg38_chr4:102024197-102024207(-) GCACAATGTAG >hg38_chr4:102172541-102172551(+) AAACAATGAAG >hg38_chr4:102212536-102212546(+) AAACAAAGGCT >hg38_chr4:102268593-102268603(-) gtacaatgtct >hg38_chr4:102316139-102316149(+) AAACAAAGGGT >hg38_chr4:102389115-102389125(+) AGATAATGGAC >hg38_chr4:102432179-102432189(-) GAACAATGCAT >hg38_chr4:102434237-102434247(+) acacaatgaag >hg38_chr4:102492159-102492169(+) gcacaatgaga >hg38_chr4:102509146-102509156(+) CAACAATAGTC >hg38_chr4:102514789-102514799(-) aaacaatgatc >hg38_chr4:102540636-102540646(-) GAACAATGACA >hg38_chr4:102540655-102540665(-) GAACAATGACA >hg38_chr4:102582041-102582051(-) TGACAATGTAA >hg38_chr4:102608331-102608341(-) gaataatggta >hg38_chr4:102616921-102616931(-) TGACAATAGGA >hg38_chr4:102620856-102620866(+) CTATAATGGGC >hg38_chr4:102650210-102650220(-) acacaatagag >hg38_chr4:102650220-102650230(-) gaacaatggaa >hg38_chr4:102736240-102736250(+) TTACAATGTCA >hg38_chr4:102789262-102789272(+) atacaatatgt >hg38_chr4:102790211-102790221(-) aaacaatagtc >hg38_chr4:102802300-102802310(+) TAACAATAATA >hg38_chr4:102812602-102812612(+) aaacaatgtat >hg38_chr4:102820046-102820056(+) AAACAAAGGGA >hg38_chr4:102830285-102830295(+) TTACAATGGTA >hg38_chr4:102831695-102831705(+) ccacaatagTG >hg38_chr4:102836841-102836851(-) Gaacaatgggg >hg38_chr4:102836848-102836858(-) ATACAATGaac >hg38_chr4:102846287-102846297(+) TAACAATGGCT >hg38_chr4:102870831-102870841(+) TCACAATGGGC >hg38_chr4:102938213-102938223(-) taacaatgctt >hg38_chr4:102990751-102990761(+) AAACAATAAAA >hg38_chr4:103006309-103006319(+) tgacaaaggga >hg38_chr4:103009270-103009280(+) ATACTATGGGT >hg38_chr4:103010010-103010020(-) TAACAATGACA >hg38_chr4:103019427-103019437(+) AGACAATGTCT >hg38_chr4:103027600-103027610(+) GAACAATGTAA >hg38_chr4:103027618-103027628(+) AGACAATGAAA >hg38_chr4:103027647-103027657(+) AAACAAtggca >hg38_chr4:103037464-103037474(+) TTACAATGTTT >hg38_chr4:103040201-103040211(+) GAACAATATAA >hg38_chr4:103073308-103073318(-) ACACAAAGGCA >hg38_chr4:103093295-103093305(-) AAATAATGGAA >hg38_chr4:103099841-103099851(-) AGACAAAGGTT >hg38_chr4:103106055-103106065(+) CCACAATGCAT >hg38_chr4:103124538-103124548(-) GGACAATAGAT >hg38_chr4:103147572-103147582(-) AAACAATGAAT >hg38_chr4:103179564-103179574(+) taacaatgtac >hg38_chr4:103194607-103194617(-) TTACAAAGGGA >hg38_chr4:103196082-103196092(+) CTATAATGGGT >hg38_chr4:103196160-103196170(-) CTACAATGGTT >hg38_chr4:103212122-103212132(+) TTATAATGGGA >hg38_chr4:103244523-103244533(+) atacaatgcag >hg38_chr4:103276872-103276882(+) taacaatgccc >hg38_chr4:103282529-103282539(+) acacaatgaca >hg38_chr4:103289412-103289422(+) ggacaatgtgg >hg38_chr4:103359404-103359414(+) tgacaatggat >hg38_chr4:103362108-103362118(-) gtacactggtg >hg38_chr4:103364715-103364725(-) CTACAATAGGA >hg38_chr4:103366678-103366688(+) aaacaatgagc >hg38_chr4:103424995-103425005(-) aaacaatagac >hg38_chr4:103471586-103471596(+) taacaatgcat >hg38_chr4:103501109-103501119(+) TCACAATGTTG >hg38_chr4:103501129-103501139(-) CCACAATAGAA >hg38_chr4:103501152-103501162(+) CCACAATGAAG >hg38_chr4:103521258-103521268(-) gtacaatatac >hg38_chr4:103592025-103592035(-) GTACAATGCAG >hg38_chr4:103765586-103765596(+) CAATAATGGAG >hg38_chr4:103770985-103770995(-) gcactaTGGAC >hg38_chr4:103786276-103786286(-) cgacaatgtgc >hg38_chr4:103951747-103951757(+) taactatggct >hg38_chr4:104032372-104032382(+) ATACAATGGGC >hg38_chr4:104065448-104065458(+) AAACAAAGGAA >hg38_chr4:104248974-104248984(-) tgacaatagca >hg38_chr4:104303045-104303055(-) gaacaaaggga >hg38_chr4:104343451-104343461(-) ATACAATGAGG >hg38_chr4:104417166-104417176(+) ACACAAAGGAA >hg38_chr4:104421051-104421061(+) GCACAATGCAG >hg38_chr4:104424444-104424454(-) ACACAAAGGCG >hg38_chr4:104447588-104447598(+) GGACAATGAAG >hg38_chr4:104451806-104451816(+) tgacaatagac >hg38_chr4:104470942-104470952(-) ACACAAAGGAT >hg38_chr4:104475873-104475883(-) AAACAATGCCG >hg38_chr4:104487376-104487386(+) GAACAATGTTC >hg38_chr4:104493171-104493181(-) TAATAATGGCC >hg38_chr4:104494271-104494281(+) AAACAATGTGA >hg38_chr4:104501452-104501462(-) TAACAAAGGTC >hg38_chr4:104502643-104502653(+) caacaatgcct >hg38_chr4:104552574-104552584(-) taacaatagct >hg38_chr4:104571579-104571589(+) aaacaatggca >hg38_chr4:104641538-104641548(-) TAACAATGGGC >hg38_chr4:104795336-104795346(-) gaacaatgtgt >hg38_chr4:104869832-104869842(+) TTACTATGGTC >hg38_chr4:104941634-104941644(+) AAACAATAGCT >hg38_chr4:104963051-104963061(-) gaacaataatt >hg38_chr4:104981092-104981102(+) taacaatgaat >hg38_chr4:105023946-105023956(+) aaacaatgaag >hg38_chr4:105061350-105061360(-) AAACAATGGGG >hg38_chr4:105089321-105089331(-) ggacaatggaa >hg38_chr4:105089476-105089486(-) tcacaatagca >hg38_chr4:105140488-105140498(-) GAACAATGCCC >hg38_chr4:105147815-105147825(+) ACACAAAGGCA >hg38_chr4:105149109-105149119(+) AAACTATGGTT >hg38_chr4:105156817-105156827(+) ATACAATGAGA >hg38_chr4:105158412-105158422(-) TTACAATGGGT >hg38_chr4:105158426-105158436(+) ATATAATGAAT >hg38_chr4:105166999-105167009(-) gtacaatggaa >hg38_chr4:105203694-105203704(+) GCACAATGCTG >hg38_chr4:105207592-105207602(+) TCACAATGGCA >hg38_chr4:105228399-105228409(-) ACACAATGGCC >hg38_chr4:105277875-105277885(-) ACACAAAGGCA >hg38_chr4:105277896-105277906(-) TCACAATGTAC >hg38_chr4:105280450-105280460(+) AAACAATAGTT >hg38_chr4:105328178-105328188(-) TAACAGTGGAA >hg38_chr4:105360787-105360797(-) tgacaatgttg >hg38_chr4:105381165-105381175(-) atacaatgacc >hg38_chr4:105389717-105389727(+) AAACAATGGCC >hg38_chr4:105416789-105416799(-) GAACAATGAAA >hg38_chr4:105424919-105424929(+) gaaTAATGGCC >hg38_chr4:105451683-105451693(+) TGACAATCGTT >hg38_chr4:105456792-105456802(-) GAACAATGTGT >hg38_chr4:105459764-105459774(+) acacaataaaa >hg38_chr4:105460627-105460637(-) TCACAATGATA >hg38_chr4:105460645-105460655(+) GAACAATAATT >hg38_chr4:105473151-105473161(+) AAACAATGGGG >hg38_chr4:105473168-105473178(+) GAACAATGAGT >hg38_chr4:105544800-105544810(-) CAACAATGGAT >hg38_chr4:105550332-105550342(+) acacaatgaca >hg38_chr4:105556808-105556818(-) ATACAATGGCT >hg38_chr4:105560626-105560636(+) GAACAATGTTT >hg38_chr4:105613454-105613464(-) CAACAATGACA >hg38_chr4:105640967-105640977(-) gcacaatggaa >hg38_chr4:105644422-105644432(+) AGACAATGGCA >hg38_chr4:105652324-105652334(-) Aaataatagcg >hg38_chr4:105652344-105652354(+) CAACAATAGTT >hg38_chr4:105677369-105677379(-) TAAGAATGGCG >hg38_chr4:105677392-105677402(-) TAACAATGTTA >hg38_chr4:105690488-105690498(+) AAACAATGGGA >hg38_chr4:105703421-105703431(+) GAACAATGATC >hg38_chr4:105725169-105725179(-) ATACAATAATT >hg38_chr4:105725205-105725215(-) atacaatggaa >hg38_chr4:105753375-105753385(+) GAACAATGGAA >hg38_chr4:105754264-105754274(+) TAACAATAGCT >hg38_chr4:105757735-105757745(-) GTACAATGCAG >hg38_chr4:105803029-105803039(+) tcacaatagtc >hg38_chr4:105803047-105803057(-) taacaatagta >hg38_chr4:105805658-105805668(+) AAACAATGTAC >hg38_chr4:105821616-105821626(+) TTacaatagga >hg38_chr4:105822383-105822393(+) AGACAATGTAA >hg38_chr4:105822646-105822656(-) AAACAATAACT >hg38_chr4:105845684-105845694(-) GCACAATAGGT >hg38_chr4:105845759-105845769(+) TTACAATGTGT >hg38_chr4:105909800-105909810(-) ACACAATGTAC >hg38_chr4:105973432-105973442(+) AGACAAAGGGA >hg38_chr4:106035494-106035504(+) GGACAATGTGC >hg38_chr4:106057941-106057951(-) GGACAATGAAC >hg38_chr4:106090446-106090456(-) agacaatgggt >hg38_chr4:106159887-106159897(-) ttacaatagtt >hg38_chr4:106165920-106165930(+) ATACAATAGAT >hg38_chr4:106208744-106208754(+) aaacaatggga >hg38_chr4:106209630-106209640(+) caacaatgctt >hg38_chr4:106210084-106210094(-) gaacaaaggac >hg38_chr4:106247341-106247351(+) GAATAATGGCA >hg38_chr4:106260268-106260278(-) AGACAATGAGT >hg38_chr4:106262125-106262135(+) AGACAATGCCC >hg38_chr4:106267161-106267171(-) TAACAATAATT >hg38_chr4:106267205-106267215(-) GGACAATGATG >hg38_chr4:106301749-106301759(+) TAACAATAACA >hg38_chr4:106304324-106304334(+) GAACAATAAAG >hg38_chr4:106304931-106304941(-) agacaaaggaa >hg38_chr4:106312027-106312037(+) acacaatagaa >hg38_chr4:106324669-106324679(-) taacaataacc >hg38_chr4:106345051-106345061(+) gtacaatgacc >hg38_chr4:106348869-106348879(-) AAACAATGTCT >hg38_chr4:106365845-106365855(-) TAACAATAGTC >hg38_chr4:106370271-106370281(+) gaacaATAGCT >hg38_chr4:106418304-106418314(-) atacaaaggaA >hg38_chr4:106442903-106442913(-) gtacaaaggaa >hg38_chr4:106464199-106464209(+) AGACAATGGAG >hg38_chr4:106501660-106501670(-) atacagtggct >hg38_chr4:106513056-106513066(-) AGACAATGCCA >hg38_chr4:106527723-106527733(-) aaacaatgaga >hg38_chr4:106565958-106565968(+) tcacaatggca >hg38_chr4:106587584-106587594(-) CAACAATAGTA >hg38_chr4:106587599-106587609(+) GAACAATAAAG >hg38_chr4:106592953-106592963(+) aaacaatgaag >hg38_chr4:106592971-106592981(-) ccacaatgaat >hg38_chr4:106625656-106625666(-) acacaatatac >hg38_chr4:106625711-106625721(-) gcacaatgtac >hg38_chr4:106635735-106635745(+) ACACAAAGGTT >hg38_chr4:106636442-106636452(-) AGACAATAAGA >hg38_chr4:106644453-106644463(-) gaacaatatga >hg38_chr4:106708603-106708613(-) GAACAGTGGGA >hg38_chr4:106723978-106723988(+) taacaATGTTC >hg38_chr4:106786864-106786874(-) atacaataata >hg38_chr4:106786909-106786919(-) atacaaaggaa >hg38_chr4:106863318-106863328(+) aaacaatagct >hg38_chr4:106863885-106863895(-) GAACAATAGGA >hg38_chr4:106868960-106868970(-) CTACAATAGGC >hg38_chr4:106878894-106878904(+) CTACAATGGGG >hg38_chr4:106894010-106894020(-) tgacaaaggac >hg38_chr4:106926612-106926622(-) ATATAATGATA >hg38_chr4:106951480-106951490(+) acacaatgcaa >hg38_chr4:106954961-106954971(-) CCACAATGGGG >hg38_chr4:106954970-106954980(+) GGACAATGACC >hg38_chr4:106963783-106963793(+) caacaatggat >hg38_chr4:106981436-106981446(+) AAACTATGGTT >hg38_chr4:106981647-106981657(-) AGACAATGGGA >hg38_chr4:106986391-106986401(-) AGACAATGACT >hg38_chr4:106986856-106986866(-) GAACAATAGGG >hg38_chr4:106996138-106996148(+) TGACAATGTAT >hg38_chr4:107017483-107017493(+) ACACAATATAA >hg38_chr4:107034623-107034633(-) TGACAATGACA >hg38_chr4:107050788-107050798(-) TGACAATGATA >hg38_chr4:107096036-107096046(+) aaacaatagcc >hg38_chr4:107096498-107096508(+) CAACAATAGCA >hg38_chr4:107117784-107117794(+) atacaataatc >hg38_chr4:107117792-107117802(-) acacaatagat >hg38_chr4:107130501-107130511(-) AAACAATGACT >hg38_chr4:107130545-107130555(-) GCATAATGGCA >hg38_chr4:107135880-107135890(-) ATACAAAGGTG >hg38_chr4:107153490-107153500(+) gaacaatagcg >hg38_chr4:107158274-107158284(+) taacaatgtca >hg38_chr4:107168707-107168717(-) ATACAACGGTA >hg38_chr4:107180761-107180771(-) tcacaatggga >hg38_chr4:107183311-107183321(-) ATACAACGGCC >hg38_chr4:107216540-107216550(+) ACACAATGCAA >hg38_chr4:107226803-107226813(+) CTATAATGGCC >hg38_chr4:107226810-107226820(-) TTACAATGGCC >hg38_chr4:107229097-107229107(-) atacaatgtta >hg38_chr4:107232511-107232521(-) gaacaatgctg >hg38_chr4:107279624-107279634(-) TCATAATGGTC >hg38_chr4:107284105-107284115(+) GAACAATGAAG >hg38_chr4:107306739-107306749(+) ATACAataatg >hg38_chr4:107313106-107313116(-) gcacaaaggac >hg38_chr4:107313448-107313458(-) TGACAATGTTG >hg38_chr4:107319543-107319553(-) TCACAATGCCT >hg38_chr4:107341238-107341248(+) atacagtggct >hg38_chr4:107341481-107341491(+) agacaatgtaa >hg38_chr4:107349924-107349934(-) TGACAATGAGA >hg38_chr4:107367761-107367771(+) AAACAATGACA >hg38_chr4:107373511-107373521(-) tcacaatagga >hg38_chr4:107425799-107425809(+) CTACAATGGCC >hg38_chr4:107472907-107472917(+) aaacaataata >hg38_chr4:107488683-107488693(+) caacaaaggac >hg38_chr4:107577571-107577581(+) CGACACTGGGA >hg38_chr4:107578276-107578286(-) AAACAATAAGA >hg38_chr4:107628214-107628224(-) AGACAATGCAT >hg38_chr4:107648727-107648737(+) AGACAATGGTT >hg38_chr4:107658508-107658518(+) GAACAATGTAC >hg38_chr4:107659039-107659049(-) AGACAAAGGCA >hg38_chr4:107666611-107666621(-) GTACAACGGGT >hg38_chr4:107666645-107666655(+) GGACAATGAAA >hg38_chr4:107685952-107685962(+) AAACAATGGTA >hg38_chr4:107699750-107699760(+) agacaatagag >hg38_chr4:107719581-107719591(-) CAACAATGTGG >hg38_chr4:107751825-107751835(+) CCACAATGTTT >hg38_chr4:107756231-107756241(+) agacaatgggg >hg38_chr4:107765659-107765669(+) GAACAATAAAA >hg38_chr4:107824057-107824067(+) GGACAATGTAG >hg38_chr4:107829467-107829477(+) TAACAATGACT >hg38_chr4:107852682-107852692(-) gtacaatggaa >hg38_chr4:107854483-107854493(+) AGACAATGGCT >hg38_chr4:107906563-107906573(-) TAACAATGAGC >hg38_chr4:107915263-107915273(-) GAACAATGAAA >hg38_chr4:107922569-107922579(+) aaacaatgtga >hg38_chr4:107922586-107922596(+) caacaatggag >hg38_chr4:107935088-107935098(-) TTACAATAAAA >hg38_chr4:107955403-107955413(+) ACACAAAGGTT >hg38_chr4:107955416-107955426(+) GGAGAATGGCG >hg38_chr4:108004902-108004912(-) TAACAATAGCC >hg38_chr4:108025001-108025011(+) GGACAATATAT >hg38_chr4:108043853-108043863(-) CAACAATGGCT >hg38_chr4:108044924-108044934(+) GAACAATGCTA >hg38_chr4:108128111-108128121(-) ACATAATGGTA >hg38_chr4:108129536-108129546(+) GAATAATGGAG >hg38_chr4:108129853-108129863(-) TCACAATGTGA >hg38_chr4:108155504-108155514(+) GCACAATAGGA >hg38_chr4:108183960-108183970(+) CAACAATGAGC >hg38_chr4:108183981-108183991(-) TGACAATGATT >hg38_chr4:108193430-108193440(+) TTACAATGGTA >hg38_chr4:108194539-108194549(-) AAACAATGCAG >hg38_chr4:108234553-108234563(-) taataatggga >hg38_chr4:108234586-108234596(-) acacaatagtc >hg38_chr4:108238095-108238105(+) GGACAAAGGAT >hg38_chr4:108283053-108283063(+) aaacaatgaat >hg38_chr4:108298293-108298303(-) caacaatggag >hg38_chr4:108339551-108339561(-) GGACAATAGTT >hg38_chr4:108365793-108365803(-) GCACAATGGGC >hg38_chr4:108373708-108373718(+) GCACAATGCCT >hg38_chr4:108411212-108411222(+) TAACAATAGCC >hg38_chr4:108421742-108421752(+) taacaaaggtg >hg38_chr4:108552413-108552423(+) tcacaatagca >hg38_chr4:108552488-108552498(+) acacagtggaa >hg38_chr4:108589339-108589349(+) CGACAATATTA >hg38_chr4:108612858-108612868(-) CCACAATGACT >hg38_chr4:108612926-108612936(-) AAACAATGTAT >hg38_chr4:108622686-108622696(+) GTACAATGGTG >hg38_chr4:108649395-108649405(+) CTACAATGTTT >hg38_chr4:108662571-108662581(-) TTACAATAGGT >hg38_chr4:108715545-108715555(-) GCACAATGACA >hg38_chr4:108742695-108742705(+) AAACAAAGGAC >hg38_chr4:108810874-108810884(-) CTACTATGGAT >hg38_chr4:108811193-108811203(-) CTACAATGTTT >hg38_chr4:108811721-108811731(-) AAATAATGGAG >hg38_chr4:108821739-108821749(-) AAACAATGCTG >hg38_chr4:108835021-108835031(+) AAACAATGTGT >hg38_chr4:108837817-108837827(+) GGACAATGGAA >hg38_chr4:108837894-108837904(-) AAACAATGCTA >hg38_chr4:108874597-108874607(-) TGATAATGGGA >hg38_chr4:108877376-108877386(-) TGACAATGGAA >hg38_chr4:108881400-108881410(+) AAATAATGGAT >hg38_chr4:108885373-108885383(+) GGACAATGGTT >hg38_chr4:108890288-108890298(+) ATACAATGGGC >hg38_chr4:108900227-108900237(-) AAACAATGGGA >hg38_chr4:108903013-108903023(-) aaacaatgttt >hg38_chr4:108903038-108903048(+) taaccatggta >hg38_chr4:108915306-108915316(+) GGACAATGTTC >hg38_chr4:108925877-108925887(+) TAACAATGAGA >hg38_chr4:108947772-108947782(+) TAACAATGGCC >hg38_chr4:108947791-108947801(+) TGACAATGGCT >hg38_chr4:108952949-108952959(-) AAACAAAGGAT >hg38_chr4:108959621-108959631(-) TTACAATAAGT >hg38_chr4:108979286-108979296(-) AGACAATAGAG >hg38_chr4:108979338-108979348(+) GCACAATGAAT >hg38_chr4:109087060-109087070(+) GTACAAAGGGA >hg38_chr4:109094073-109094083(+) aaACaataaat >hg38_chr4:109095589-109095599(+) AGACAATGCAT >hg38_chr4:109096063-109096073(+) GAACAATGGGT >hg38_chr4:109160651-109160661(-) CAACAATAGGC >hg38_chr4:109172755-109172765(+) ATACAATGTTC >hg38_chr4:109177264-109177274(-) agacaatgaaa >hg38_chr4:109182350-109182360(-) AAACAATAACA >hg38_chr4:109182919-109182929(+) GAACAATAGAG >hg38_chr4:109186683-109186693(+) AGACAATAAAA >hg38_chr4:109190487-109190497(-) CCACAATGGGA >hg38_chr4:109233361-109233371(-) CTACTATGGAA >hg38_chr4:109235967-109235977(-) TTACTATGGGG >hg38_chr4:109241030-109241040(+) ACACAATGCCT >hg38_chr4:109248477-109248487(-) GTACAATGTCG >hg38_chr4:109275229-109275239(-) ACACAATAGAC >hg38_chr4:109286670-109286680(+) aaacaaaggat >hg38_chr4:109289097-109289107(-) agacaatgcag >hg38_chr4:109309150-109309160(-) taacagtggaa >hg38_chr4:109407175-109407185(-) GAACAATGTTA >hg38_chr4:109415157-109415167(-) ttacaatgcca >hg38_chr4:109432707-109432717(+) CTACAATGCAA >hg38_chr4:109433271-109433281(-) GAACAATGGCT >hg38_chr4:109473419-109473429(-) acacaatgaat >hg38_chr4:109473434-109473444(+) gtataatggtt >hg38_chr4:109497655-109497665(-) taacaatgaaa >hg38_chr4:109497707-109497717(-) caacaatagaa >hg38_chr4:109505024-109505034(+) aaacaatggaa >hg38_chr4:109540288-109540298(-) CAACAATGCAG >hg38_chr4:109611562-109611572(-) AAACAATAGGG >hg38_chr4:109641717-109641727(-) ATACAATGCCT >hg38_chr4:109647187-109647197(-) ggataatggtg >hg38_chr4:109647204-109647214(-) aaactatggac >hg38_chr4:109659056-109659066(-) CTATAATGGTG >hg38_chr4:109662664-109662674(-) ATACAAAGGTA >hg38_chr4:109682436-109682446(-) AAACAAAGGTG >hg38_chr4:109725576-109725586(-) ACACAGTGGAT >hg38_chr4:109728711-109728721(+) CAACAATGAAG >hg38_chr4:109741899-109741909(-) CAACAATAGCA >hg38_chr4:109761346-109761356(+) GTACAATAGGG >hg38_chr4:109793292-109793302(-) gcacaatgtat >hg38_chr4:109810732-109810742(+) agacaataggt >hg38_chr4:109820771-109820781(+) agACAATAGCT >hg38_chr4:109829804-109829814(+) ATACAATGAGT >hg38_chr4:109846818-109846828(-) gaacaaaggga >hg38_chr4:109847038-109847048(-) gtacaaagata >hg38_chr4:109860893-109860903(-) atacaattgaa >hg38_chr4:109920770-109920780(-) AGACAATGGGG >hg38_chr4:109943500-109943510(-) TTACAATGTTA >hg38_chr4:109978803-109978813(+) GGACAAAGGGC >hg38_chr4:109978846-109978856(+) CAACAATGATA >hg38_chr4:109978864-109978874(-) TTACAATGAGT >hg38_chr4:110047008-110047018(+) AGACAATGACT >hg38_chr4:110087551-110087561(+) AAACAATAAAA >hg38_chr4:110090438-110090448(-) ATACAATGCTA >hg38_chr4:110119975-110119985(+) TCACAATGCCT >hg38_chr4:110152442-110152452(-) AAACAAAGGTG >hg38_chr4:110152459-110152469(+) GAACAAAGGGT >hg38_chr4:110184592-110184602(+) AGACAATGGGA >hg38_chr4:110184660-110184670(+) GCACAATGAAC >hg38_chr4:110185112-110185122(+) GGACAAAGGAT >hg38_chr4:110188019-110188029(-) CTACAATGTGA >hg38_chr4:110197591-110197601(-) GGACAATGAAA >hg38_chr4:110201707-110201717(+) gtacaaaggct >hg38_chr4:110222862-110222872(+) AAACAATGGCA >hg38_chr4:110315677-110315687(-) GCACAATGGCT >hg38_chr4:110346906-110346916(-) gcacaatgagg >hg38_chr4:110395211-110395221(+) AAACAATAAAA >hg38_chr4:110453209-110453219(+) CTACAATAATA >hg38_chr4:110494561-110494571(+) TGACAATAGTT >hg38_chr4:110549585-110549595(+) GAACAATGCTT >hg38_chr4:110564399-110564409(-) caacaatggag >hg38_chr4:110614419-110614429(-) AAACAAAGGTC >hg38_chr4:110616252-110616262(+) AAACAATGATA >hg38_chr4:110616258-110616268(-) CGACAATATCA >hg38_chr4:110636407-110636417(+) TAACAATGGGA >hg38_chr4:110665244-110665254(+) acacaatagga >hg38_chr4:110695537-110695547(-) gaacaatacta >hg38_chr4:110774268-110774278(-) TTACAAAGGGT >hg38_chr4:110782125-110782135(+) ATACAATGGAA >hg38_chr4:110787938-110787948(+) TTACAATGAAA >hg38_chr4:110814442-110814452(-) TAACTATGGAT >hg38_chr4:110816265-110816275(+) AGACAATGTGT >hg38_chr4:110816634-110816644(-) GTACAATAAGC >hg38_chr4:110817263-110817273(+) TCATAATGGAT >hg38_chr4:110838391-110838401(-) ggacaaaggca >hg38_chr4:110838434-110838444(+) atacaatagca >hg38_chr4:110838968-110838978(+) CTACAATGGTG >hg38_chr4:110869759-110869769(-) GTACAATTGTA >hg38_chr4:110904843-110904853(-) CAACAAAGGAC >hg38_chr4:110904896-110904906(-) GGACAATGCAC >hg38_chr4:110968332-110968342(+) AAACAATGGAG >hg38_chr4:111003593-111003603(-) TGACAATGCGT >hg38_chr4:111016347-111016357(+) TGACAATGCTA >hg38_chr4:111028588-111028598(-) taacaatgttc >hg38_chr4:111035601-111035611(-) TGACTATGGGA >hg38_chr4:111035623-111035633(+) ATACAATAGGT >hg38_chr4:111042589-111042599(+) ATACAATGTGC >hg38_chr4:111063606-111063616(+) GGACAATAGAT >hg38_chr4:111063624-111063634(+) GAACAATATCA >hg38_chr4:111063659-111063669(+) GAACAATATCG >hg38_chr4:111064413-111064423(-) GGACAATGCAG >hg38_chr4:111064454-111064464(-) AGACAATGCAG >hg38_chr4:111066903-111066913(+) ACACAATGGTG >hg38_chr4:111082602-111082612(-) ggacaatgAAC >hg38_chr4:111144680-111144690(+) GTACAAAGGAT >hg38_chr4:111202266-111202276(+) taacaataata >hg38_chr4:111202288-111202298(-) atacactggtt >hg38_chr4:111202327-111202337(+) gaacaatgtct >hg38_chr4:111206352-111206362(-) TAACAAAGGGA >hg38_chr4:111206396-111206406(+) AAACAATGAAA >hg38_chr4:111209223-111209233(+) TTACAAAGGTA >hg38_chr4:111238570-111238580(-) TCATAATGGGA >hg38_chr4:111238595-111238605(-) GCACAATGGAG >hg38_chr4:111282255-111282265(-) ACACAAAGGGT >hg38_chr4:111283818-111283828(-) ctacaatgtca >hg38_chr4:111306662-111306672(-) atacaataaac >hg38_chr4:111385566-111385576(+) GCACAATGGCA >hg38_chr4:111400965-111400975(-) GGACAATGAGA >hg38_chr4:111413001-111413011(-) gcacaatgata >hg38_chr4:111435297-111435307(-) atacaaaggtg >hg38_chr4:111480750-111480760(-) GGACAATGAGT >hg38_chr4:111480786-111480796(-) ATATAATGTAA >hg38_chr4:111509647-111509657(+) AAACAATGAAC >hg38_chr4:111588455-111588465(-) AAACAAAGGCT >hg38_chr4:111588485-111588495(+) GCACAATGTTT >hg38_chr4:111589134-111589144(+) GTACAATGGTA >hg38_chr4:111589771-111589781(+) AAACAATATAT >hg38_chr4:111631784-111631794(+) AAATAATGGTA >hg38_chr4:111639877-111639887(+) AGACAATGAAT >hg38_chr4:111639908-111639918(+) AGACAATGAGC >hg38_chr4:111641941-111641951(-) AAACAATGGTG >hg38_chr4:111641990-111642000(+) GTACAATGCGC >hg38_chr4:111650353-111650363(-) AGACAATGCAG >hg38_chr4:111697334-111697344(-) AAACAATGCTG >hg38_chr4:111704781-111704791(+) gtacactggca >hg38_chr4:111704819-111704829(+) atactatgggc >hg38_chr4:111708911-111708921(-) TAACAATGCCA >hg38_chr4:111711368-111711378(-) TTACAATGTTG >hg38_chr4:111717311-111717321(+) ggacaatgtga >hg38_chr4:111750529-111750539(+) CAACAATGGAA >hg38_chr4:111766013-111766023(-) aaacaataata >hg38_chr4:111783880-111783890(+) TAACAATAAAC >hg38_chr4:111790137-111790147(+) GTACAATGCAA >hg38_chr4:111808358-111808368(+) TAACAATAGTC >hg38_chr4:111855876-111855886(+) ATATAATGCGA >hg38_chr4:111856486-111856496(+) AAACAATGACA >hg38_chr4:111861668-111861678(+) taACAATTGTA >hg38_chr4:111886488-111886498(+) GGACAATGGAA >hg38_chr4:111886547-111886557(-) ACACAATGTGA >hg38_chr4:111891784-111891794(-) caacaatgaca >hg38_chr4:111908997-111909007(+) gcacaatgttt >hg38_chr4:111953067-111953077(-) GTATAATGGAC >hg38_chr4:111960372-111960382(+) GTACAATGGCA >hg38_chr4:111985405-111985415(+) TAACAATAGGG >hg38_chr4:112013263-112013273(-) tcacaataggg >hg38_chr4:112057959-112057969(+) agacaatggag >hg38_chr4:112058449-112058459(+) GGACAATGTGA >hg38_chr4:112083452-112083462(-) AAACAATAAAT >hg38_chr4:112084922-112084932(-) AAACAATGTAA >hg38_chr4:112099820-112099830(-) AGATAATGGAA >hg38_chr4:112099869-112099879(-) AAACTATGGCA >hg38_chr4:112133816-112133826(-) AAACAATGTAG >hg38_chr4:112139097-112139107(+) TAACAATAGCA >hg38_chr4:112147466-112147476(-) CAACAATGGGT >hg38_chr4:112148828-112148838(-) TAATAATGGAC >hg38_chr4:112148875-112148885(+) GCACAATGTAC >hg38_chr4:112149690-112149700(+) gaacaatatag >hg38_chr4:112152221-112152231(+) tgacaatgtct >hg38_chr4:112182381-112182391(-) ATACAATGAAA >hg38_chr4:112198489-112198499(-) AAACAATGCAT >hg38_chr4:112214921-112214931(-) AGACAATGCAG >hg38_chr4:112215896-112215906(-) AAACAATACGA >hg38_chr4:112216466-112216476(+) GAATAATGATA >hg38_chr4:112229875-112229885(-) atacaatgaaa >hg38_chr4:112247653-112247663(-) taacaatagtg >hg38_chr4:112265047-112265057(+) CAACAATGGAG >hg38_chr4:112285892-112285902(-) TTACAAAGGCC >hg38_chr4:112297076-112297086(+) CAAcaatgtca >hg38_chr4:112297088-112297098(-) aaacaatgaat >hg38_chr4:112298972-112298982(-) TTACAATGAAC >hg38_chr4:112299094-112299104(-) ATACAATACAA >hg38_chr4:112316103-112316113(-) caacaatgtga >hg38_chr4:112328496-112328506(-) CAACAATGGCA >hg38_chr4:112361646-112361656(+) agacaaaggac >hg38_chr4:112370654-112370664(+) TAACAATGAGC >hg38_chr4:112411874-112411884(-) ACACAATAGCC >hg38_chr4:112448878-112448888(-) AGACAATAAAT >hg38_chr4:112459470-112459480(-) atacactgggt >hg38_chr4:112508538-112508548(+) ATACAATACAC >hg38_chr4:112508545-112508555(+) ACACAAAGGGA >hg38_chr4:112510508-112510518(+) ACACAATGAAC >hg38_chr4:112510535-112510545(+) AAACAATAGAT >hg38_chr4:112543391-112543401(-) gcacaaaggga >hg38_chr4:112544278-112544288(+) GTACAATAAAT >hg38_chr4:112604560-112604570(+) GAACAATGAGA >hg38_chr4:112648989-112648999(+) TAACAATGAGT >hg38_chr4:112649019-112649029(-) ACACAATGCCT >hg38_chr4:112652387-112652397(-) ATACAATGCAT >hg38_chr4:112657808-112657818(+) GAACAATAGAC >hg38_chr4:112706251-112706261(-) GGACAATGGGG >hg38_chr4:112784185-112784195(+) aaacaatggca >hg38_chr4:112826699-112826709(+) AGACAATGCCA >hg38_chr4:112827661-112827671(-) CAACAATGCTC >hg38_chr4:112858623-112858633(+) AAACAAAGGGA >hg38_chr4:112899131-112899141(+) TAACAATGAGT >hg38_chr4:112902369-112902379(-) CAACAATGTGG >hg38_chr4:112904805-112904815(+) ATACAATAGAC >hg38_chr4:112910275-112910285(-) TTACAATGTTT >hg38_chr4:112927508-112927518(-) caacaatgagc >hg38_chr4:112930036-112930046(+) ACATAATGGAG >hg38_chr4:112944220-112944230(-) ATACAATATAT >hg38_chr4:112961651-112961661(-) ACACAATGGGG >hg38_chr4:112968616-112968626(-) TCACAATGTGG >hg38_chr4:112973821-112973831(-) CAACAATGCAG >hg38_chr4:112990662-112990672(+) AGACAAAGGGC >hg38_chr4:113013085-113013095(-) AAACAATGTCT >hg38_chr4:113043788-113043798(+) GAACAATGACT >hg38_chr4:113043814-113043824(+) ACACAAAGGAT >hg38_chr4:113049492-113049502(-) TTACAATGAAG >hg38_chr4:113049536-113049546(+) TAACAATGCAG >hg38_chr4:113050518-113050528(+) AAACAGTGGGA >hg38_chr4:113053043-113053053(-) aaacaaagacg >hg38_chr4:113055099-113055109(-) AGAcaatggtt >hg38_chr4:113068222-113068232(+) ACACAATGAAA >hg38_chr4:113077810-113077820(+) GAACAATAGCC >hg38_chr4:113080436-113080446(-) TAACAATGGAA >hg38_chr4:113131726-113131736(-) TTACAATATAC >hg38_chr4:113212799-113212809(-) TAACaatgaca >hg38_chr4:113212877-113212887(+) GCACAATGAAG >hg38_chr4:113276619-113276629(+) GGACAATGCAA >hg38_chr4:113307811-113307821(-) GTACAATTGAT >hg38_chr4:113318730-113318740(-) AAACAAAGGGC >hg38_chr4:113319849-113319859(+) TCACAGTGGTA >hg38_chr4:113325019-113325029(+) TAATAATGGTT >hg38_chr4:113377882-113377892(+) GTACAATAACA >hg38_chr4:113383216-113383226(+) AAACAATGGCA >hg38_chr4:113383668-113383678(+) TAACAATACAT >hg38_chr4:113383690-113383700(+) GTACAATGGCT >hg38_chr4:113444797-113444807(+) caacaataggt >hg38_chr4:113453042-113453052(+) TAACAATGATG >hg38_chr4:113471781-113471791(-) ggacaatggca >hg38_chr4:113534686-113534696(-) AAACAATGACA >hg38_chr4:113563344-113563354(+) CTACAATGCAA >hg38_chr4:113614800-113614810(-) GGACAATGTGG >hg38_chr4:113633418-113633428(+) AAACAATAGCA >hg38_chr4:113639545-113639555(-) GTACAAAGgtg >hg38_chr4:113640742-113640752(+) TGACAATAGAG >hg38_chr4:113657299-113657309(-) taataatgtcg >hg38_chr4:113657355-113657365(-) agacaatggcc >hg38_chr4:113658396-113658406(+) ctacaatgttt >hg38_chr4:113704496-113704506(-) TTACAATATAC >hg38_chr4:113705627-113705637(-) taacaattgta >hg38_chr4:113711565-113711575(+) ACACAATAATA >hg38_chr4:113711581-113711591(+) TTACAATGAAA >hg38_chr4:113730244-113730254(-) TAACAATAAGT >hg38_chr4:113739114-113739124(+) ATACAATGCTG >hg38_chr4:113753412-113753422(-) ACACAATGACA >hg38_chr4:113753420-113753430(-) ACACAATGACA >hg38_chr4:113753435-113753445(+) TTACAATGATA >hg38_chr4:113753917-113753927(-) gtactatgggc >hg38_chr4:113756284-113756294(+) TAACAATAGCC >hg38_chr4:113756908-113756918(+) TGACAATATAA >hg38_chr4:113799403-113799413(-) AAATAATGGCA >hg38_chr4:113810598-113810608(-) GAACAAAGGGA >hg38_chr4:113822375-113822385(+) gaataatggct >hg38_chr4:113827078-113827088(-) agacaatagtt >hg38_chr4:113871198-113871208(-) ggacaatagtt >hg38_chr4:113881232-113881242(-) taacaaaggta >hg38_chr4:113884763-113884773(-) gaacaaaggga >hg38_chr4:113884825-113884835(-) ggacaaaggga >hg38_chr4:113898688-113898698(-) ATACAATGACT >hg38_chr4:113907345-113907355(-) agacaatgaag >hg38_chr4:113921057-113921067(-) AAACAATATAC >hg38_chr4:113945469-113945479(+) TGACAATGACA >hg38_chr4:113976424-113976434(-) caataatggaa >hg38_chr4:114005660-114005670(-) TGACAATGGTG >hg38_chr4:114015480-114015490(+) GAACAATGGAG >hg38_chr4:114027805-114027815(-) caacaatgact >hg38_chr4:114028340-114028350(+) GAACAATAAGA >hg38_chr4:114032952-114032962(-) ctacaatggat >hg38_chr4:114039669-114039679(-) AAACAATGCTA >hg38_chr4:114083289-114083299(-) agacaatggaa >hg38_chr4:114088382-114088392(+) TAACAATGACC >hg38_chr4:114088393-114088403(+) AGACAATGACC >hg38_chr4:114088418-114088428(-) ACACAATGGCT >hg38_chr4:114088434-114088444(-) taataatgtaa >hg38_chr4:114088458-114088468(-) acacaatggaa >hg38_chr4:114169675-114169685(-) TAACAATGGCA >hg38_chr4:114178487-114178497(+) CTATAATGGGA >hg38_chr4:114190829-114190839(+) AAACAATGTTT >hg38_chr4:114211381-114211391(+) GGACAATAGAG >hg38_chr4:114211742-114211752(-) tgacaatgagt >hg38_chr4:114271238-114271248(+) AAACAATAGAA >hg38_chr4:114271838-114271848(-) AAACAATAGAG >hg38_chr4:114277876-114277886(-) ccacaatgaaa >hg38_chr4:114303981-114303991(+) GTACAATAACC >hg38_chr4:114304538-114304548(-) ACACAATGTCA >hg38_chr4:114310630-114310640(+) GTACAATATAA >hg38_chr4:114310648-114310658(+) TTACAATGTAA >hg38_chr4:114326570-114326580(-) aaacaatatat >hg38_chr4:114326900-114326910(-) AGACAATGCTG >hg38_chr4:114335414-114335424(-) TAACAATATAC >hg38_chr4:114360729-114360739(+) CCACAATGTAT >hg38_chr4:114378448-114378458(+) taacaatgatt >hg38_chr4:114399249-114399259(+) ccacaatggcg >hg38_chr4:114488128-114488138(+) TCACAAAGGAT >hg38_chr4:114495822-114495832(+) ggacaatggtg >hg38_chr4:114526703-114526713(-) ATACAATAGGT >hg38_chr4:114535853-114535863(+) AGACAAAGGCA >hg38_chr4:114541895-114541905(-) TAACAATAATA >hg38_chr4:114599952-114599962(-) GCACAATGGCA >hg38_chr4:114656672-114656682(+) GAACAATAGAG >hg38_chr4:114717000-114717010(+) CAACAATGGAA >hg38_chr4:114717364-114717374(+) CCACAATGCTA >hg38_chr4:114727642-114727652(-) GCACAATGCAA >hg38_chr4:114758239-114758249(-) TCACAATAGTA >hg38_chr4:114758273-114758283(-) GAACAAAGGGC >hg38_chr4:114758317-114758327(+) GTACAATAGAG >hg38_chr4:114758880-114758890(+) GGATAATGGAT >hg38_chr4:114887022-114887032(+) ACATAATGGCC >hg38_chr4:114887037-114887047(-) TCACAATGGAT >hg38_chr4:114887063-114887073(-) TCACAATGTGA >hg38_chr4:114887077-114887087(+) CAACAATGCAG >hg38_chr4:114938543-114938553(+) TGACAATGCAC >hg38_chr4:114956689-114956699(-) ggacaatagtg >hg38_chr4:115019695-115019705(-) ttacaatggta >hg38_chr4:115030071-115030081(+) gaacaatgaaa >hg38_chr4:115045565-115045575(-) caacaatagca >hg38_chr4:115113653-115113663(-) GGACAATGTGT >hg38_chr4:115119188-115119198(-) CAACAATAGCA >hg38_chr4:115122793-115122803(+) ATACAATAATA >hg38_chr4:115187334-115187344(+) ggactatggtc >hg38_chr4:115205298-115205308(+) GAACAATGTGA >hg38_chr4:115205714-115205724(+) ATACAAAGATA >hg38_chr4:115219488-115219498(+) ACACAATGGAT >hg38_chr4:115323356-115323366(+) AAACAATGGGA >hg38_chr4:115323408-115323418(-) TAACAATGTCA >hg38_chr4:115370220-115370230(-) GAACAATGGAC >hg38_chr4:115372946-115372956(+) atacaatgtaa >hg38_chr4:115494146-115494156(+) agacaatagcc >hg38_chr4:115568287-115568297(+) gaacaatgccc >hg38_chr4:115574845-115574855(+) Aaacaatgtta >hg38_chr4:115581059-115581069(-) TAACAATGAAC >hg38_chr4:115581105-115581115(-) acacaataaac >hg38_chr4:115596758-115596768(+) aaacaatgggg >hg38_chr4:115624472-115624482(-) aaacaatggct >hg38_chr4:115671929-115671939(+) GGACAAAGGTT >hg38_chr4:115880749-115880759(-) TAATAATGTAT >hg38_chr4:115886045-115886055(+) acacaatgcta >hg38_chr4:115886084-115886094(+) ttacaatgcgc >hg38_chr4:115944371-115944381(-) tgacaatggtg >hg38_chr4:115970870-115970880(-) ttacactggac >hg38_chr4:115973112-115973122(-) AGATAATGGAG >hg38_chr4:116011163-116011173(+) ACACAATGCAG >hg38_chr4:116155096-116155106(-) ttacaatgcct >hg38_chr4:116155119-116155129(-) ttactatggca >hg38_chr4:116232297-116232307(-) agacaatgcag >hg38_chr4:116352763-116352773(+) gaacgatggag >hg38_chr4:116389386-116389396(+) atacaatgcat >hg38_chr4:116463394-116463404(-) AAACAATGatc >hg38_chr4:116592535-116592545(-) cgactatggta >hg38_chr4:116840049-116840059(+) AGACAATgcct >hg38_chr4:116988273-116988283(+) TCACAATGGCT >hg38_chr4:117050750-117050760(-) CAACAATAGAG >hg38_chr4:117050785-117050795(-) GAACAAAGGCT >hg38_chr4:117284901-117284911(-) GAACAAAGGAT >hg38_chr4:117284928-117284938(-) TCACAATGTAG >hg38_chr4:117294054-117294064(+) GAACAATGTAG >hg38_chr4:117356206-117356216(+) TAACAATATAG >hg38_chr4:117385725-117385735(-) aaacaataaga >hg38_chr4:117400090-117400100(-) GAACAATAGCA >hg38_chr4:117413625-117413635(-) CAACAATGACA >hg38_chr4:117416605-117416615(+) GAACAAAGGTC >hg38_chr4:117513441-117513451(+) AAACAAAGGAA >hg38_chr4:117514598-117514608(-) GAACAATGCCC >hg38_chr4:117559062-117559072(+) AAACAATGCCC >hg38_chr4:117598266-117598276(-) AGACAATAGGA >hg38_chr4:117745723-117745733(+) gaacaatagac >hg38_chr4:117779594-117779604(+) GGACAATGGCA >hg38_chr4:117815767-117815777(-) ttacaatagag >hg38_chr4:117873338-117873348(-) AAATAATGGAG >hg38_chr4:117908542-117908552(+) ACACAATAATA >hg38_chr4:118010399-118010409(-) AGACAATGCTT >hg38_chr4:118085622-118085632(-) CAACAATGAAA >hg38_chr4:118085638-118085648(+) CAACAATGAAT >hg38_chr4:118105661-118105671(-) agacaatggaa >hg38_chr4:118154297-118154307(+) GTATAATGGGG >hg38_chr4:118165163-118165173(-) caacaatagct >hg38_chr4:118165466-118165476(+) acacaataata >hg38_chr4:118165499-118165509(+) caacaatagaa >hg38_chr4:118178437-118178447(-) taacaataaga >hg38_chr4:118191076-118191086(-) taacaatggct >hg38_chr4:118191290-118191300(+) aaacaatggga >hg38_chr4:118201685-118201695(-) caacaatggcc >hg38_chr4:118209976-118209986(-) TTACAATGGTC >hg38_chr4:118245201-118245211(+) TCACAATAATA >hg38_chr4:118279823-118279833(-) GAACAATGAGT >hg38_chr4:118282387-118282397(-) TAACAATAGTA >hg38_chr4:118307734-118307744(-) ttacaataaga >hg38_chr4:118323053-118323063(+) ctacaatggcc >hg38_chr4:118339573-118339583(-) GTACAATGCTC >hg38_chr4:118369080-118369090(+) TGACAATGTCA >hg38_chr4:118395223-118395233(-) TTACAGTGGTC >hg38_chr4:118410371-118410381(-) tgacgatgGAA >hg38_chr4:118410377-118410387(-) tcataatgacg >hg38_chr4:118410392-118410402(+) atacaatgccc >hg38_chr4:118683682-118683692(+) ggacaatagct >hg38_chr4:118686596-118686606(-) gaacaaaggaa >hg38_chr4:118703646-118703656(+) TGACAATAGAA >hg38_chr4:118709203-118709213(-) AAACAATGAAC >hg38_chr4:118730588-118730598(+) AAACAATAGCC >hg38_chr4:118730618-118730628(+) CAACAATGGTC >hg38_chr4:118739425-118739435(-) TAACAATAATA >hg38_chr4:118741852-118741862(+) TTACAATGTTA >hg38_chr4:118774203-118774213(+) GAACAATACTA >hg38_chr4:118774658-118774668(-) cgagaatggta >hg38_chr4:118795549-118795559(+) atacaatgaat >hg38_chr4:118795608-118795618(+) tcacaatggct >hg38_chr4:118889989-118889999(-) ATACAATACTA >hg38_chr4:118890141-118890151(-) AAACAATAACT >hg38_chr4:118896381-118896391(-) ACACAATAAAC >hg38_chr4:118917707-118917717(+) ATACAATATTT >hg38_chr4:118938485-118938495(-) AAACAATAATA >hg38_chr4:118938564-118938574(-) TAACAATAACT >hg38_chr4:119002883-119002893(-) atacaatagag >hg38_chr4:119012844-119012854(-) GAACAATGTGC >hg38_chr4:119012884-119012894(-) GAACAAAGGCT >hg38_chr4:119024231-119024241(+) GGACAATAACA >hg38_chr4:119028308-119028318(+) ACACAATGGCA >hg38_chr4:119028358-119028368(-) TAACAATAGGT >hg38_chr4:119033926-119033936(+) GAACAATGGGG >hg38_chr4:119040467-119040477(-) GCACAATAGAT >hg38_chr4:119041314-119041324(-) GAACAAAGGGG >hg38_chr4:119068532-119068542(+) AAACAATAAAC >hg38_chr4:119081229-119081239(+) gtacaatgtaa >hg38_chr4:119081248-119081258(+) gaacaatgatg >hg38_chr4:119100736-119100746(-) ttacaatggca >hg38_chr4:119103495-119103505(+) taacaatgacc >hg38_chr4:119113587-119113597(+) ACACAATAGAG >hg38_chr4:119136494-119136504(-) AAACAAAGGGA >hg38_chr4:119139361-119139371(-) TTACAATAGCA >hg38_chr4:119139388-119139398(-) AGATAATGGCA >hg38_chr4:119194470-119194480(-) AAACAATAAAA >hg38_chr4:119209374-119209384(+) TTACAAAGGCT >hg38_chr4:119209385-119209395(-) CTACAATGGGA >hg38_chr4:119217843-119217853(+) aaacaatatag >hg38_chr4:119219579-119219589(+) GTATAATGAAT >hg38_chr4:119219601-119219611(-) TGATAATGGCA >hg38_chr4:119229122-119229132(+) ATACAATAGCA >hg38_chr4:119271516-119271526(+) TGACAATGGCA >hg38_chr4:119284465-119284475(-) AAACAATGTTC >hg38_chr4:119286593-119286603(-) ACACAATGGGC >hg38_chr4:119291394-119291404(+) ATACAATGTGG >hg38_chr4:119395814-119395824(+) TAATAATAGTA >hg38_chr4:119488013-119488023(-) acacaatggat >hg38_chr4:119498341-119498351(+) AAACAATGCTT >hg38_chr4:119498806-119498816(+) TTACAAAGGGC >hg38_chr4:119510504-119510514(-) TAACAATGGCT >hg38_chr4:119519263-119519273(+) TCACAAAGGAC >hg38_chr4:119525074-119525084(-) TAACAATAGTG >hg38_chr4:119527220-119527230(-) AGATAATGGAA >hg38_chr4:119527934-119527944(+) GCACAATGTCT >hg38_chr4:119532503-119532513(+) TTATAATGGCC >hg38_chr4:119541104-119541114(+) tgataatggtc >hg38_chr4:119598489-119598499(-) TCACAATGATT >hg38_chr4:119628801-119628811(+) CGACAAAGCGG >hg38_chr4:119666180-119666190(-) agacaatgtgt >hg38_chr4:119673151-119673161(-) ttataatggtg >hg38_chr4:119682340-119682350(-) AGACAATGGCT >hg38_chr4:119682384-119682394(+) tcataatggat >hg38_chr4:119696724-119696734(+) TAACAATGAAG >hg38_chr4:119737302-119737312(+) TGACAATGCCA >hg38_chr4:119754880-119754890(+) ttacaaagggt >hg38_chr4:119755108-119755118(-) acacaaaggaa >hg38_chr4:119755136-119755146(+) ttacaatgtgt >hg38_chr4:119780931-119780941(+) CTACAATGAAC >hg38_chr4:119786104-119786114(+) CAACAATAGTT >hg38_chr4:119845614-119845624(-) ATACAATAAAA >hg38_chr4:119857782-119857792(+) ACACAATGATC >hg38_chr4:119859737-119859747(+) agacaatggaa >hg38_chr4:119860090-119860100(+) taacaatagca >hg38_chr4:119860098-119860108(+) gcacaatggga >hg38_chr4:119860130-119860140(-) aaacaatgtaa >hg38_chr4:119900582-119900592(+) ATACAAAGATA >hg38_chr4:119900619-119900629(+) ACACAATGAAC >hg38_chr4:119980652-119980662(+) TAACAATGAAT >hg38_chr4:120001514-120001524(-) GGATAATGGCA >hg38_chr4:120004100-120004110(+) agacaaaggga >hg38_chr4:120005299-120005309(+) aaacAATGGAG >hg38_chr4:120034602-120034612(+) TTAcaatgagg >hg38_chr4:120047953-120047963(+) ctacaataata >hg38_chr4:120081943-120081953(+) TAACAAAGGTC >hg38_chr4:120096963-120096973(-) CTACAATGACT >hg38_chr4:120109132-120109142(-) gcacaatgttt >hg38_chr4:120112929-120112939(-) ATACAATAATC >hg38_chr4:120139447-120139457(+) GAACAATATAC >hg38_chr4:120159052-120159062(+) TGACAATGCTG >hg38_chr4:120318316-120318326(-) TTACAATAGAA >hg38_chr4:120324669-120324679(+) CTACAATAGCT >hg38_chr4:120329643-120329653(+) gtacaatgcac >hg38_chr4:120332327-120332337(+) GAACAATGACC >hg38_chr4:120347967-120347977(-) ACACAATAGGC >hg38_chr4:120358493-120358503(-) GAACAATGCCA >hg38_chr4:120430483-120430493(+) TAACAAAGGCA >hg38_chr4:120435297-120435307(+) TTACAATGTTC >hg38_chr4:120466577-120466587(+) TGACAAAGGTC >hg38_chr4:120473019-120473029(-) GAACAATAATC >hg38_chr4:120473070-120473080(-) CAACAATGGCT >hg38_chr4:120517280-120517290(-) ATACAATATGA >hg38_chr4:120535815-120535825(-) aaacaatgaga >hg38_chr4:120547200-120547210(+) GGACAATGGAA >hg38_chr4:120549174-120549184(-) GAACAAAGGCC >hg38_chr4:120608814-120608824(+) ACACAATAAAA >hg38_chr4:120613745-120613755(+) CAACAATAGAA >hg38_chr4:120629744-120629754(+) agacaaaggag >hg38_chr4:120634100-120634110(-) taacaaaggcc >hg38_chr4:120634110-120634120(+) atacaatagag >hg38_chr4:120686253-120686263(+) tgacaatgcaa >hg38_chr4:120692541-120692551(+) AAACAAAGGCA >hg38_chr4:120696869-120696879(+) AAACAATAAAA >hg38_chr4:120712589-120712599(-) taacaatgtag >hg38_chr4:120723339-120723349(-) TTACAATGCAC >hg38_chr4:120723355-120723365(-) TTACAAAGGAC >hg38_chr4:120739372-120739382(-) GAATAATGGtg >hg38_chr4:120753992-120754002(+) TGACAATAGTC >hg38_chr4:120754026-120754036(+) GAACAAAGGGC >hg38_chr4:120775532-120775542(+) GAACAATGTAA >hg38_chr4:120776408-120776418(+) atacaaaggta >hg38_chr4:120782596-120782606(+) ATACAATGCAT >hg38_chr4:120874143-120874153(+) atacaatatac >hg38_chr4:120912201-120912211(+) gaacaaagggg >hg38_chr4:120912263-120912273(+) taacagtggga >hg38_chr4:120923037-120923047(-) GGACAAAGGCG >hg38_chr4:120923542-120923552(+) AAACAATAGCA >hg38_chr4:120923563-120923573(+) CAACAATGCAA >hg38_chr4:120933254-120933264(-) TAACAATAGCA >hg38_chr4:120960098-120960108(+) Aaacaatagaa >hg38_chr4:120964720-120964730(-) ctacaatggca >hg38_chr4:121010195-121010205(+) AGACAATAGAA >hg38_chr4:121011271-121011281(+) tgACAATAGCA >hg38_chr4:121024716-121024726(+) taacaataaga >hg38_chr4:121073002-121073012(+) GCACAATAGAG >hg38_chr4:121096357-121096367(+) ACACAATGATA >hg38_chr4:121097854-121097864(+) GGACAATGGAT >hg38_chr4:121098429-121098439(+) AGATAATGGGG >hg38_chr4:121147384-121147394(+) TGACAATAGAT >hg38_chr4:121155487-121155497(-) CAACAATAGAG >hg38_chr4:121156161-121156171(-) AGACAATGCCA >hg38_chr4:121167166-121167176(-) ACACAATGTCA >hg38_chr4:121186471-121186481(-) TCACAATGCTT >hg38_chr4:121225184-121225194(+) TCACAATGATC >hg38_chr4:121232471-121232481(+) AAACAATAGTC >hg38_chr4:121233350-121233360(-) CAACAATGATA >hg38_chr4:121233409-121233419(+) TGACAATGATG >hg38_chr4:121304289-121304299(+) AAACAATGTGA >hg38_chr4:121304297-121304307(+) TGACAATAGCA >hg38_chr4:121320420-121320430(+) ggacaatagca >hg38_chr4:121320623-121320633(-) ttacaaaggct >hg38_chr4:121330360-121330370(-) AGACAATGAAT >hg38_chr4:121367755-121367765(-) TGACAATGAAT >hg38_chr4:121377016-121377026(-) TGACAATGCTA >hg38_chr4:121385075-121385085(-) CTACAATGGGT >hg38_chr4:121399710-121399720(-) AAACAATAGTG >hg38_chr4:121400482-121400492(-) ATACAATGTCA >hg38_chr4:121403711-121403721(-) ttacaatggag >hg38_chr4:121454588-121454598(-) aaacaatgttt >hg38_chr4:121462820-121462830(+) AAACAATAGCT >hg38_chr4:121501073-121501083(+) ctacaatgaca >hg38_chr4:121505057-121505067(-) AGACAATAGAT >hg38_chr4:121564346-121564356(-) GAACAGTGGAA >hg38_chr4:121582211-121582221(+) GTACAATAGCC >hg38_chr4:121590549-121590559(-) aaacaataata >hg38_chr4:121590570-121590580(+) agacaatgcat >hg38_chr4:121598838-121598848(+) gtacaatgtac >hg38_chr4:121598845-121598855(-) gaataatgtac >hg38_chr4:121599014-121599024(-) CCACAATGATA >hg38_chr4:121600053-121600063(+) cgacaatagag >hg38_chr4:121610221-121610231(-) GCACAATGCAT >hg38_chr4:121655709-121655719(+) CAACAATGGAG >hg38_chr4:121658686-121658696(+) acacaaagggt >hg38_chr4:121658708-121658718(-) gaataatggtc >hg38_chr4:121658739-121658749(+) taacaatattc >hg38_chr4:121659143-121659153(-) gtacaatgttt >hg38_chr4:121672375-121672385(+) AAACAATGTTG >hg38_chr4:121692677-121692687(-) GGACAAAGGAG >hg38_chr4:121726837-121726847(-) GTACAGTGGAA >hg38_chr4:121741013-121741023(-) gaataatgggt >hg38_chr4:121796546-121796556(-) aaacaatggcc >hg38_chr4:121815449-121815459(+) GAACAAAGGGA >hg38_chr4:121819278-121819288(+) GTACAAAGGAA >hg38_chr4:121823164-121823174(-) ACATAATGGGG >hg38_chr4:121827786-121827796(+) TCACAATAGCA >hg38_chr4:121906617-121906627(+) GAACAAAGGGA >hg38_chr4:121915428-121915438(-) ACACAAAGGGT >hg38_chr4:121917556-121917566(-) GAACAATGACC >hg38_chr4:121980238-121980248(-) TCACAATGAAG >hg38_chr4:122010786-122010796(-) acacaatagca >hg38_chr4:122010821-122010831(-) acataatggaa >hg38_chr4:122100707-122100717(+) ACACAATGGAC >hg38_chr4:122198899-122198909(+) ATACAATACTC >hg38_chr4:122203138-122203148(-) CAACAATAGAC >hg38_chr4:122203403-122203413(+) ATACACTGGCA >hg38_chr4:122205861-122205871(+) ATACAAAGGTG >hg38_chr4:122224399-122224409(-) GAACAATAAAC >hg38_chr4:122266829-122266839(+) ATACAATGCCA >hg38_chr4:122281057-122281067(+) agacaatagta >hg38_chr4:122299563-122299573(+) TAATAATGGCA >hg38_chr4:122312512-122312522(-) GAACAATAAGA >hg38_chr4:122326373-122326383(+) AAACAATGTGG >hg38_chr4:122341974-122341984(-) TAACAATGGCT >hg38_chr4:122456969-122456979(+) ACACAATGAGC >hg38_chr4:122456987-122456997(+) ATACAATAAGA >hg38_chr4:122457127-122457137(-) GTACAATAGAC >hg38_chr4:122457151-122457161(-) TAACAATCGCA >hg38_chr4:122549320-122549330(-) taataatagta >hg38_chr4:122617734-122617744(+) AGACAATGGGG >hg38_chr4:122663581-122663591(-) ACACAATGCCA >hg38_chr4:122679046-122679056(-) ACACAATGTCA >hg38_chr4:122717047-122717057(-) ATACAATGGAG >hg38_chr4:122746761-122746771(-) TGACAATGAAG >hg38_chr4:122783475-122783485(-) TGACAATAGCT >hg38_chr4:122828540-122828550(-) AGACAAAGGCA >hg38_chr4:122857590-122857600(-) ACACAATGCCC >hg38_chr4:122891618-122891628(+) GTACAATAAAC >hg38_chr4:122909915-122909925(+) CTACAATGGGA >hg38_chr4:122926369-122926379(+) AAACAATGTAA >hg38_chr4:122980348-122980358(-) gcataatggaa >hg38_chr4:122987457-122987467(-) aaacaataaaa >hg38_chr4:122987480-122987490(-) gaacaaaggta >hg38_chr4:123005797-123005807(+) gaacaatatat >hg38_chr4:123005808-123005818(-) gaacaatagaa >hg38_chr4:123005834-123005844(-) caacaatagca >hg38_chr4:123005863-123005873(-) ttacaatatat >hg38_chr4:123035561-123035571(-) TGACAATGGCA >hg38_chr4:123096303-123096313(-) AGACAATGAGC >hg38_chr4:123122580-123122590(-) ttacaatgatt >hg38_chr4:123232408-123232418(+) gaacaaaggtg >hg38_chr4:123234992-123235002(+) GAACAATATCA >hg38_chr4:123235004-123235014(-) GTACAATATGC >hg38_chr4:123235041-123235051(-) TGACAATGATG >hg38_chr4:123235047-123235057(-) AGACAATGACA >hg38_chr4:123235286-123235296(+) atacaatatac >hg38_chr4:123259489-123259499(-) GGACAATGAGT >hg38_chr4:123259513-123259523(-) GGACAATGAGG >hg38_chr4:123282599-123282609(-) TAACAATGATC >hg38_chr4:123305872-123305882(-) GAACAATGTCT >hg38_chr4:123327589-123327599(+) CAACAATGGCC >hg38_chr4:123352787-123352797(-) GCACAATGGAG >hg38_chr4:123362963-123362973(+) AAACAATGTAA >hg38_chr4:123443093-123443103(-) GTATAATGGGA >hg38_chr4:123501913-123501923(+) TGACAATGGTG >hg38_chr4:123515978-123515988(+) AGATAATGGAG >hg38_chr4:123522162-123522172(-) ACACAATGGAA >hg38_chr4:123528969-123528979(-) gcacaatagca >hg38_chr4:123564895-123564905(-) acacagtggac >hg38_chr4:123600175-123600185(+) AGACAATGTGC >hg38_chr4:123626962-123626972(+) TCACAATGAAA >hg38_chr4:123650078-123650088(+) AGACAATAGTT >hg38_chr4:123650108-123650118(-) GCACAATGTTA >hg38_chr4:123650125-123650135(-) AAACAAAGGAG >hg38_chr4:123699890-123699900(+) CAACAATGGAT >hg38_chr4:123701733-123701743(+) TAACAATGATG >hg38_chr4:123701753-123701763(+) TAACAAAGGCC >hg38_chr4:123753920-123753930(+) atacaatagag >hg38_chr4:123768365-123768375(+) TGACAATGGTC >hg38_chr4:123783871-123783881(+) AAATAATGGTA >hg38_chr4:123785631-123785641(+) TCACAATGACT >hg38_chr4:123811326-123811336(-) AGACAATAGGG >hg38_chr4:123811372-123811382(+) TGACAATGAAT >hg38_chr4:123812125-123812135(-) CCACAATGATA >hg38_chr4:123830937-123830947(-) caataatggat >hg38_chr4:123832980-123832990(+) TCATAATGGGA >hg38_chr4:123837429-123837439(+) TTACACTGGAT >hg38_chr4:123880851-123880861(-) atacaatgtct >hg38_chr4:123918602-123918612(+) GAACAATAAAC >hg38_chr4:123935976-123935986(+) CAACAATGTGT >hg38_chr4:123937167-123937177(+) AAACAATGTAC >hg38_chr4:123937202-123937212(+) TAACAATAAGA >hg38_chr4:123941466-123941476(+) TGACAATGATC >hg38_chr4:123944447-123944457(-) AAACAATGTAT >hg38_chr4:123953017-123953027(+) TTACTATGGAG >hg38_chr4:123953060-123953070(+) ACACAATATAA >hg38_chr4:123964477-123964487(-) CCACAATGAAA >hg38_chr4:123964560-123964570(-) TTACAATGTTC >hg38_chr4:123967451-123967461(-) GTACAGTGGGA >hg38_chr4:123967696-123967706(+) atacaatgcca >hg38_chr4:123972139-123972149(-) GAACAATGCCT >hg38_chr4:123977629-123977639(+) AAACAAAGGGA >hg38_chr4:123997380-123997390(-) TAACAAAGGGA >hg38_chr4:124054438-124054448(+) AAACAATAAGA >hg38_chr4:124061578-124061588(+) GAACAATGCTT >hg38_chr4:124089185-124089195(-) ATACAATGAAC >hg38_chr4:124097662-124097672(+) GGACAAAGGAG >hg38_chr4:124141288-124141298(-) atacaatgttt >hg38_chr4:124183227-124183237(+) acataatggac >hg38_chr4:124183436-124183446(+) acacaatagag >hg38_chr4:124183467-124183477(+) agacaatgcaa >hg38_chr4:124192708-124192718(+) aaacaatggtc >hg38_chr4:124200243-124200253(-) GTACAATGGCA >hg38_chr4:124200300-124200310(+) GAATAATGGTT >hg38_chr4:124200313-124200323(-) AAATAATGGGC >hg38_chr4:124209385-124209395(+) acataatggtc >hg38_chr4:124235519-124235529(-) atacaatgtgg >hg38_chr4:124249612-124249622(+) GTACAATGAAG >hg38_chr4:124282824-124282834(+) CAACAATGTCA >hg38_chr4:124328646-124328656(+) GGACAATAGAC >hg38_chr4:124335045-124335055(-) gaataatgggg >hg38_chr4:124344089-124344099(-) AAACAAAGGAC >hg38_chr4:124376934-124376944(+) atacaattgat >hg38_chr4:124390674-124390684(+) CTACAATCGAA >hg38_chr4:124414799-124414809(+) ATATAATGGCA >hg38_chr4:124421614-124421624(-) TGACAATGGGG >hg38_chr4:124444208-124444218(-) caacaatagct >hg38_chr4:124477280-124477290(+) AGACAATGTAT >hg38_chr4:124477849-124477859(+) AAACAATGTCC >hg38_chr4:124477887-124477897(-) GAACAATGATG >hg38_chr4:124478615-124478625(-) TCACTATGGAT >hg38_chr4:124479085-124479095(+) gtacaatgtga >hg38_chr4:124483166-124483176(-) TAACAATAGGC >hg38_chr4:124505372-124505382(+) acacaatgaag >hg38_chr4:124505980-124505990(-) AGACAATGCAG >hg38_chr4:124515806-124515816(+) agacaatggtt >hg38_chr4:124545612-124545622(+) ccacaatgaca >hg38_chr4:124546024-124546034(+) aaacaatacgg >hg38_chr4:124549728-124549738(-) ATACAATTGAA >hg38_chr4:124549746-124549756(-) GCACAATGCAT >hg38_chr4:124565528-124565538(-) ctacaatagca >hg38_chr4:124574164-124574174(+) CTACAATAGAG >hg38_chr4:124585215-124585225(+) taacaatacta >hg38_chr4:124593638-124593648(+) agacaatgggg >hg38_chr4:124631337-124631347(-) ATACAATAAAA >hg38_chr4:124636992-124637002(-) TAACAGTGGGA >hg38_chr4:124664571-124664581(+) TTACAATGTGA >hg38_chr4:124674999-124675009(+) ACACAATATAT >hg38_chr4:124675804-124675814(+) TAACAATGATC >hg38_chr4:124679157-124679167(+) TAACAAAGGCA >hg38_chr4:124685628-124685638(+) ATACAAAGATA >hg38_chr4:124685658-124685668(-) GTACAATACCG >hg38_chr4:124686065-124686075(-) GAACAATGGAT >hg38_chr4:124686086-124686096(+) ATACAATGAAT >hg38_chr4:124713075-124713085(-) AGACAAAGGAA >hg38_chr4:124736795-124736805(+) CAACAATGCAA >hg38_chr4:124739189-124739199(-) ttacaatgctc >hg38_chr4:124739245-124739255(+) gaacaaagggc >hg38_chr4:124767298-124767308(-) GTACAATAGTC >hg38_chr4:124790667-124790677(+) GTACAATGGTG >hg38_chr4:124807536-124807546(+) caacaatagga >hg38_chr4:124811031-124811041(+) TAACTATGGTC >hg38_chr4:124825037-124825047(-) TGACAATGGTA >hg38_chr4:124863508-124863518(-) taacaatagaa >hg38_chr4:124874308-124874318(+) TGACAATGGAA >hg38_chr4:124903625-124903635(+) ATACAATGAAA >hg38_chr4:124940473-124940483(-) ATACAATGGAA >hg38_chr4:124978253-124978263(-) TAACAATGAAT >hg38_chr4:125004843-125004853(+) AAACAATCGCT >hg38_chr4:125004889-125004899(-) CAACAATGAAC >hg38_chr4:125010910-125010920(+) acacaatgact >hg38_chr4:125020643-125020653(+) tcacaatAGTT >hg38_chr4:125063178-125063188(+) AAACAATAGGG >hg38_chr4:125066764-125066774(+) atacaatggaa >hg38_chr4:125074931-125074941(-) GAACAATGCAT >hg38_chr4:125085287-125085297(+) aaacaatatat >hg38_chr4:125086067-125086077(+) TTACAATAAAC >hg38_chr4:125086249-125086259(-) ctacaaaggaa >hg38_chr4:125103905-125103915(-) CAATAATGGTA >hg38_chr4:125104110-125104120(+) ATACAATAGCC >hg38_chr4:125115608-125115618(+) GAACAAAGGTT >hg38_chr4:125136190-125136200(-) TAACAATATTA >hg38_chr4:125186760-125186770(-) acacaataaaa >hg38_chr4:125186829-125186839(-) tcacaatagcc >hg38_chr4:125218883-125218893(+) ggacaatggca >hg38_chr4:125255458-125255468(-) CCACAATGTAC >hg38_chr4:125262203-125262213(-) ctacaatgttt >hg38_chr4:125270564-125270574(-) aaacaatggac >hg38_chr4:125270587-125270597(-) ccacaatggga >hg38_chr4:125290417-125290427(-) taataatggat >hg38_chr4:125293146-125293156(-) ctacaataggg >hg38_chr4:125293172-125293182(+) ggacaatggta >hg38_chr4:125313720-125313730(-) AAACAATGCAA >hg38_chr4:125314094-125314104(-) TAATAATGGCA >hg38_chr4:125323228-125323238(+) ATACTATGGAG >hg38_chr4:125323270-125323280(-) ACACAATAAAT >hg38_chr4:125324012-125324022(-) GAACAATATCA >hg38_chr4:125325912-125325922(+) gaacaaaggca >hg38_chr4:125346821-125346831(-) GCACAATGCTG >hg38_chr4:125352568-125352578(-) TAACAATGGAA >hg38_chr4:125356119-125356129(+) TGACAATGTTC >hg38_chr4:125356166-125356176(+) TAACAATAATA >hg38_chr4:125357026-125357036(-) ACATAATGGGA >hg38_chr4:125357091-125357101(+) ATATAATGTAT >hg38_chr4:125357848-125357858(-) TGACAATGTCA >hg38_chr4:125387850-125387860(-) AAACAATGAAT >hg38_chr4:125433974-125433984(-) CAACAATGTAG >hg38_chr4:125458770-125458780(+) AGACAAAGGTA >hg38_chr4:125462858-125462868(-) tgataatggag >hg38_chr4:125527885-125527895(-) GGACAATGCTT >hg38_chr4:125533875-125533885(+) aaacaatatac >hg38_chr4:125533910-125533920(+) ccacaatagcc >hg38_chr4:125541825-125541835(+) ATACAAAGGAA >hg38_chr4:125547801-125547811(-) TAACAATGTAT >hg38_chr4:125547816-125547826(-) AGACAATGTTT >hg38_chr4:125547843-125547853(-) TAACAATATGA >hg38_chr4:125621284-125621294(+) TCACAATGAAC >hg38_chr4:125621298-125621308(-) AAACAATGGGA >hg38_chr4:125621340-125621350(+) AAACAATGAAC >hg38_chr4:125657559-125657569(-) tgataatggtt >hg38_chr4:125659632-125659642(-) TAACAATAATA >hg38_chr4:125681640-125681650(-) GAACAATGTCT >hg38_chr4:125681671-125681681(+) TAATAATGGAC >hg38_chr4:125686704-125686714(-) aaacaatagaa >hg38_chr4:125689452-125689462(-) TTACAATGAAT >hg38_chr4:125689498-125689508(-) GTACAATGTTC >hg38_chr4:125696639-125696649(+) ggacaataaca >hg38_chr4:125720774-125720784(-) GTACAGTGGTT >hg38_chr4:125731428-125731438(+) GAACAACGGCT >hg38_chr4:125739601-125739611(+) GAACAATGAAG >hg38_chr4:125751281-125751291(-) TAACACTGGAA >hg38_chr4:125762632-125762642(+) tgacaatagag >hg38_chr4:125784883-125784893(+) CAATAATGGAT >hg38_chr4:125785047-125785057(+) AAACAATGGTA >hg38_chr4:125785057-125785067(+) ATACAATGGGA >hg38_chr4:125792987-125792997(+) TAATAATGGTA >hg38_chr4:125799038-125799048(-) ACACAATGTAC >hg38_chr4:125799070-125799080(-) GAACAATGGGA >hg38_chr4:125821041-125821051(-) Aaacaatagtc >hg38_chr4:125837570-125837580(-) GTACAATGGGA >hg38_chr4:125843889-125843899(-) aaacaataaaa >hg38_chr4:125844091-125844101(-) gaacaatggga >hg38_chr4:125855068-125855078(+) AGACAAAGGAT >hg38_chr4:125860451-125860461(+) tgacaatgtag >hg38_chr4:125860469-125860479(+) caacaatagca >hg38_chr4:125920561-125920571(+) gtacaataggc >hg38_chr4:125995783-125995793(+) AGACAAAGGAG >hg38_chr4:125995870-125995880(-) AGACAATGCTT >hg38_chr4:126071290-126071300(+) GTACAATGTGG >hg38_chr4:126071897-126071907(+) ATACAATGCAC >hg38_chr4:126142940-126142950(-) agacaataggc >hg38_chr4:126198854-126198864(-) CAACAATGAAG >hg38_chr4:126216939-126216949(+) gaacaatggca >hg38_chr4:126241761-126241771(-) gaacaatgtcc >hg38_chr4:126242876-126242886(-) GCACAATAGGA >hg38_chr4:126248649-126248659(+) acacaatgaaa >hg38_chr4:126318626-126318636(+) GAACAATGGCT >hg38_chr4:126319028-126319038(-) CAACAATGGAG >hg38_chr4:126338133-126338143(+) ATATAATAGTA >hg38_chr4:126342343-126342353(-) agacaatggtg >hg38_chr4:126353328-126353338(-) ACACAATGGCT >hg38_chr4:126430589-126430599(+) atacaatggaa >hg38_chr4:126438113-126438123(+) GTACACTGGCA >hg38_chr4:126448236-126448246(-) TAACAATGCTG >hg38_chr4:126448242-126448252(-) GGACAATAACA >hg38_chr4:126492204-126492214(-) gaacaatgttg >hg38_chr4:126532614-126532624(-) TTACAATGGGA >hg38_chr4:126532675-126532685(-) TTACAATAGGA >hg38_chr4:126601326-126601336(+) taacaatgctt >hg38_chr4:126603594-126603604(+) agacaatgagg >hg38_chr4:126657533-126657543(+) TAATAATGATA >hg38_chr4:126677439-126677449(-) CAACAATGAAT >hg38_chr4:126677450-126677460(+) TGACAATGATT >hg38_chr4:126678936-126678946(-) ATACAATATTC >hg38_chr4:126678947-126678957(+) TTACAAAGGCT >hg38_chr4:126684347-126684357(-) GAACAATGAAA >hg38_chr4:126685532-126685542(+) atacaataggt >hg38_chr4:126714411-126714421(+) GTACAATGACC >hg38_chr4:126726811-126726821(+) GAACAATAAAA >hg38_chr4:126726829-126726839(+) TAACAATGAGA >hg38_chr4:126785324-126785334(+) AGACAAAGGAA >hg38_chr4:126792735-126792745(+) GAACAATAGAA >hg38_chr4:126804319-126804329(-) caacaatggca >hg38_chr4:126805570-126805580(-) gaactatggaa >hg38_chr4:126846243-126846253(-) aaacaatgggt >hg38_chr4:126858799-126858809(+) ccacaatggaa >hg38_chr4:126884046-126884056(+) TAACAAAGGAC >hg38_chr4:126884060-126884070(+) GAACAATAGGG >hg38_chr4:126899516-126899526(-) CTACAATAGTG >hg38_chr4:126899743-126899753(+) ggacaatggca >hg38_chr4:126912914-126912924(+) agacaatgtgc >hg38_chr4:126961699-126961709(-) CAACAATGGGA >hg38_chr4:127006520-127006530(-) ATACCATGGAA >hg38_chr4:127015939-127015949(+) AAACAAAGGTG >hg38_chr4:127045470-127045480(+) AGACAATGTGT >hg38_chr4:127048916-127048926(-) TGACAATGaag >hg38_chr4:127049787-127049797(-) ATACAATGGTG >hg38_chr4:127055606-127055616(-) taacaatgcac >hg38_chr4:127069828-127069838(+) AGACAATGAGT >hg38_chr4:127071890-127071900(+) TAACAATAACT >hg38_chr4:127080900-127080910(+) TAACAAAGGCT >hg38_chr4:127103190-127103200(+) AAACAATGACC >hg38_chr4:127241376-127241386(-) ggacaatGACA >hg38_chr4:127244700-127244710(+) ccacaatgaga >hg38_chr4:127244732-127244742(-) ttacaatggct >hg38_chr4:127357873-127357883(-) caacaatgaac >hg38_chr4:127410524-127410534(+) AAACAATCGCT >hg38_chr4:127418161-127418171(-) AAACAATGCAA >hg38_chr4:127422861-127422871(+) GAACAATAAAG >hg38_chr4:127424644-127424654(+) atacaatacta >hg38_chr4:127424725-127424735(+) ggataatggac >hg38_chr4:127482094-127482104(+) TGACAATGCAT >hg38_chr4:127503241-127503251(-) GTACAAAGGTC >hg38_chr4:127574698-127574708(-) ggacaatatag >hg38_chr4:127609624-127609634(+) caacaaaggac >hg38_chr4:127612471-127612481(+) TAACAAAGGCA >hg38_chr4:127633324-127633334(-) ATACAATGGAA >hg38_chr4:127643776-127643786(+) TGACAATGGAC >hg38_chr4:127643827-127643837(-) GGACAATGACT >hg38_chr4:127667259-127667269(-) TTACAATGTTG >hg38_chr4:127668986-127668996(+) AGATAATGGTT >hg38_chr4:127685425-127685435(-) GAACAATGAGA >hg38_chr4:127709187-127709197(+) gtacaaaggct >hg38_chr4:127713888-127713898(+) TAACACTGGTA >hg38_chr4:127732901-127732911(+) ttacaatggta >hg38_chr4:127744631-127744641(+) ATACAATGTAG >hg38_chr4:127774619-127774629(+) ggacaataata >hg38_chr4:127781748-127781758(+) GGACAATGCGC >hg38_chr4:127798136-127798146(-) GCACAatgtgt >hg38_chr4:127890793-127890803(-) AAACAAAGGCA >hg38_chr4:127920344-127920354(-) GGACAATGATG >hg38_chr4:127936907-127936917(-) TGACAAAGGGA >hg38_chr4:127937538-127937548(+) ACACAATGTTC >hg38_chr4:127937567-127937577(-) GTACAATATTT >hg38_chr4:127990005-127990015(-) aaacaatgctt >hg38_chr4:127990049-127990059(+) caacaataggc >hg38_chr4:128009389-128009399(-) GCACAATAGAA >hg38_chr4:128038418-128038428(-) GAACAATAATA >hg38_chr4:128038475-128038485(-) TAACAATAGAA >hg38_chr4:128055639-128055649(+) ctacaaaggac >hg38_chr4:128074682-128074692(-) TAACAAAGGCA >hg38_chr4:128082481-128082491(+) caacaatgaaa >hg38_chr4:128082502-128082512(+) atacaatgtga >hg38_chr4:128119826-128119836(-) aaacaataaat >hg38_chr4:128130526-128130536(+) aaacaataacc >hg38_chr4:128130823-128130833(+) agacaatggac >hg38_chr4:128157634-128157644(+) aaataatggag >hg38_chr4:128157651-128157661(+) gaacaaaggga >hg38_chr4:128163413-128163423(-) agacaatagag >hg38_chr4:128163627-128163637(-) aaacaaaggga >hg38_chr4:128170540-128170550(-) acacaatatac >hg38_chr4:128195545-128195555(-) aaacaatgcat >hg38_chr4:128202712-128202722(-) ttacaaaggta >hg38_chr4:128270387-128270397(+) TTACAATGCTA >hg38_chr4:128286300-128286310(+) GCACAATGTTG >hg38_chr4:128308002-128308012(-) AAACAATATAA >hg38_chr4:128308394-128308404(-) GTACAATACAC >hg38_chr4:128321494-128321504(+) CAACAATGTCT >hg38_chr4:128321535-128321545(+) GAACAATGAAC >hg38_chr4:128321960-128321970(+) AGACAATGCCA >hg38_chr4:128343023-128343033(-) taacaaaggat >hg38_chr4:128354216-128354226(-) GAACAATAGGA >hg38_chr4:128354251-128354261(-) CCACAATGGCA >hg38_chr4:128363014-128363024(+) taacaatagtg >hg38_chr4:128400340-128400350(+) tcataatggat >hg38_chr4:128484057-128484067(-) GAACAATAGTA >hg38_chr4:128507997-128508007(+) ttataatgggt >hg38_chr4:128508012-128508022(-) gaacaaaggaa >hg38_chr4:128517294-128517304(+) ctacaatatat >hg38_chr4:128549705-128549715(-) TAACAATAATA >hg38_chr4:128590532-128590542(+) TTACAATGACT >hg38_chr4:128600592-128600602(-) AGACAATGCTT >hg38_chr4:128632831-128632841(-) TAACACTGGAA >hg38_chr4:128637100-128637110(-) TTATAATGGAT >hg38_chr4:128637111-128637121(+) TGACAATGAGA >hg38_chr4:128683175-128683185(+) GGACAAAGGGA >hg38_chr4:128689157-128689167(-) aaacaatgaaa >hg38_chr4:128754355-128754365(-) gaacaataaga >hg38_chr4:128802923-128802933(+) TTATAATGGGC >hg38_chr4:128965370-128965380(-) GTATAATGGTG >hg38_chr4:128979000-128979010(+) TTACAATGTTA >hg38_chr4:129059028-129059038(+) atacaatgact >hg38_chr4:129059095-129059105(-) aaacaaaggtg >hg38_chr4:129065551-129065561(+) agacaatatat >hg38_chr4:129113627-129113637(+) ACACAATGTGC >hg38_chr4:129149732-129149742(-) ACACAATGAAC >hg38_chr4:129219066-129219076(-) TTACAATGTCT >hg38_chr4:129240253-129240263(+) taacaatggca >hg38_chr4:129240273-129240283(+) TGACAATGTGT >hg38_chr4:129264018-129264028(-) caacaatagag >hg38_chr4:129271517-129271527(-) TGACAATGCTA >hg38_chr4:129272334-129272344(+) aaacaatgcta >hg38_chr4:129272881-129272891(+) AAACAATGCAT >hg38_chr4:129306926-129306936(-) aaacaatggac >hg38_chr4:129309455-129309465(-) GAACAATGTGG >hg38_chr4:129320093-129320103(-) taacaatgtat >hg38_chr4:129335983-129335993(+) CAACAATAGAT >hg38_chr4:129336689-129336699(-) AGACAATGTCA >hg38_chr4:129351646-129351656(+) ACATAATGGAG >hg38_chr4:129354625-129354635(+) gaacaatggtg >hg38_chr4:129356626-129356636(-) AGATAATGGCC >hg38_chr4:129370816-129370826(-) TAACAATGGGT >hg38_chr4:129371159-129371169(-) AAACAATGGGA >hg38_chr4:129382493-129382503(-) AGACAAAGGAA >hg38_chr4:129405313-129405323(+) ACACAATGGAA >hg38_chr4:129465595-129465605(+) ATACAATGGAG >hg38_chr4:129648722-129648732(-) GGACAATGGAA >hg38_chr4:129804727-129804737(+) TAACAATACAA >hg38_chr4:129972497-129972507(+) agacaatgaca >hg38_chr4:130026477-130026487(+) CAACAATGCCA >hg38_chr4:130053901-130053911(-) AAACACTGGAA >hg38_chr4:130053930-130053940(-) TGACTATGGAC >hg38_chr4:130084004-130084014(+) ATATAATAGTA >hg38_chr4:130092788-130092798(-) ATACAATGTTT >hg38_chr4:130092802-130092812(+) AAACAATGCAT >hg38_chr4:130099323-130099333(-) TAACAAAGGGT >hg38_chr4:130110892-130110902(-) CTACAATGCTT >hg38_chr4:130136752-130136762(+) tgacaaaggac >hg38_chr4:130136776-130136786(+) ctacaatgaac >hg38_chr4:130155172-130155182(-) AAACAATGGGC >hg38_chr4:130179765-130179775(+) ACACAATGTCT >hg38_chr4:130185327-130185337(-) TTACAATGGCA >hg38_chr4:130250102-130250112(-) aaacaatagaa >hg38_chr4:130273817-130273827(-) gcataatggtt >hg38_chr4:130278582-130278592(-) TGACAATAGAC >hg38_chr4:130298347-130298357(+) TCACAATGGGA >hg38_chr4:130380218-130380228(-) GTACAATGCAT >hg38_chr4:130419717-130419727(+) ttacaatagga >hg38_chr4:130435788-130435798(-) GAACAATGAAA >hg38_chr4:130468461-130468471(+) TTATAATGCGA >hg38_chr4:130478371-130478381(-) TCACAATGCTA >hg38_chr4:130574450-130574460(-) tgacaatgaaa >hg38_chr4:130577948-130577958(-) agataatgcga >hg38_chr4:130599771-130599781(+) GTACAATGTTA >hg38_chr4:130603807-130603817(-) ATACAATGATC >hg38_chr4:130603822-130603832(+) AGACAATGGTT >hg38_chr4:130681019-130681029(-) AAACAATGTCT >hg38_chr4:130681040-130681050(+) AAACAATGTCT >hg38_chr4:130705294-130705304(-) TAACAATATTA >hg38_chr4:130850118-130850128(-) caacaatggct >hg38_chr4:130973172-130973182(-) ttacaatgctt >hg38_chr4:131035045-131035055(-) acacaatggaa >hg38_chr4:131035066-131035076(-) atacaatacac >hg38_chr4:131035104-131035114(-) aaacaatgtgg >hg38_chr4:131258504-131258514(-) agacaatgcac >hg38_chr4:131258516-131258526(-) gaacaatgggg >hg38_chr4:131347103-131347113(+) agacaatagct >hg38_chr4:131347182-131347192(+) aaacaaaggtt >hg38_chr4:131490121-131490131(-) taacaaaggcc >hg38_chr4:131497881-131497891(+) gaacaatagcc >hg38_chr4:131507894-131507904(+) TGACAATGATA >hg38_chr4:131537890-131537900(+) AAACAATAACC >hg38_chr4:131588257-131588267(+) TTACTATGGTC >hg38_chr4:132006714-132006724(+) GTACAATGAAA >hg38_chr4:132240282-132240292(-) gcacaatgtac >hg38_chr4:132383935-132383945(-) TAACAATACAA >hg38_chr4:132502332-132502342(-) CCACAATGGAA >hg38_chr4:132705780-132705790(+) TTACAAAGGAG >hg38_chr4:132737479-132737489(+) taacaaaggca >hg38_chr4:132825614-132825624(+) CAACAATAGTG >hg38_chr4:132864641-132864651(-) AAATAATGGAC >hg38_chr4:132955694-132955704(+) AAACAATGTGT >hg38_chr4:132957145-132957155(-) TGACAATGAAT >hg38_chr4:132957151-132957161(-) TGACAATGACA >hg38_chr4:133041732-133041742(-) atacaatgaaa >hg38_chr4:133109226-133109236(+) CAACAATGTGC >hg38_chr4:133127596-133127606(+) atacaataaat >hg38_chr4:133144774-133144784(+) ACACAATACGT >hg38_chr4:133145513-133145523(+) ACACAATGTTT >hg38_chr4:133149056-133149066(-) GGACAATGCCG >hg38_chr4:133157151-133157161(+) GCACAATAATA >hg38_chr4:133164039-133164049(-) CTACAATGTAT >hg38_chr4:133164053-133164063(+) CAACAATAGGT >hg38_chr4:133176683-133176693(+) AAACAATGGAA >hg38_chr4:133180453-133180463(-) CTACAATGCCA >hg38_chr4:133182143-133182153(+) ATACAATAGGT >hg38_chr4:133293398-133293408(-) GCACAATAGGC >hg38_chr4:133293417-133293427(-) AAACAATGTTC >hg38_chr4:133308433-133308443(-) atacaatgata >hg38_chr4:133308870-133308880(-) acacaaaggca >hg38_chr4:133339890-133339900(-) tcacaataggg >hg38_chr4:133372950-133372960(+) ACACAATGTTC >hg38_chr4:133445264-133445274(-) TAACAATAGTA >hg38_chr4:133476270-133476280(-) tcacaatgact >hg38_chr4:133502637-133502647(+) CAACAATGGGA >hg38_chr4:133517952-133517962(-) AAACAAAGGCA >hg38_chr4:133539067-133539077(+) TTATAATGGCA >hg38_chr4:133593870-133593880(-) aaacaaaggaa >hg38_chr4:133593905-133593915(-) taacaaaggaa >hg38_chr4:133594660-133594670(+) CAACAATGCTt >hg38_chr4:133620756-133620766(+) ACACAATAGCC >hg38_chr4:133625059-133625069(-) CTACAATGAAA >hg38_chr4:133661315-133661325(+) TAACAATGCCT >hg38_chr4:133669092-133669102(+) ttacaaaggag >hg38_chr4:133704738-133704748(+) gaacaataata >hg38_chr4:133704803-133704813(-) TCACAATAGAG >hg38_chr4:133749816-133749826(+) atacaatagca >hg38_chr4:133801481-133801491(-) TCACTATGGAC >hg38_chr4:133861231-133861241(-) gaacaatgcac >hg38_chr4:133861281-133861291(+) GAACAATGCCT >hg38_chr4:133891260-133891270(+) GCACAATGGAG >hg38_chr4:133893415-133893425(+) TGACAATGGGA >hg38_chr4:133900563-133900573(+) GTACAATAATT >hg38_chr4:133926414-133926424(+) CTACAATGTAA >hg38_chr4:133926930-133926940(+) AAACAATGACA >hg38_chr4:133926966-133926976(+) CAACAATGCTC >hg38_chr4:133955815-133955825(+) TCACAATGACT >hg38_chr4:133972836-133972846(-) caacaatgatc >hg38_chr4:134018674-134018684(-) AAACAATGTGC >hg38_chr4:134062486-134062496(-) gcacaatgctc >hg38_chr4:134072192-134072202(-) ggacaatgcat >hg38_chr4:134085726-134085736(+) ctacaatgttg >hg38_chr4:134086347-134086357(-) tgacaatgcaa >hg38_chr4:134148088-134148098(+) tcacaatgcac >hg38_chr4:134159757-134159767(+) agacagtggat >hg38_chr4:134162252-134162262(+) ccacaatagta >hg38_chr4:134162962-134162972(+) aaacaataaca >hg38_chr4:134224853-134224863(-) cAACAATGAAG >hg38_chr4:134225137-134225147(-) acacaataggg >hg38_chr4:134249688-134249698(+) atacaatgaaa >hg38_chr4:134262762-134262772(+) TAACAATGCAA >hg38_chr4:134284398-134284408(-) TCACAATATAT >hg38_chr4:134307341-134307351(-) ATACAATGATA >hg38_chr4:134309400-134309410(+) ATACAATGTTT >hg38_chr4:134323540-134323550(-) GAACAATGCAT >hg38_chr4:134354124-134354134(-) TAACAATAAGT >hg38_chr4:134377181-134377191(-) ATACAATGGGA >hg38_chr4:134389299-134389309(+) ACACAAAGGAG >hg38_chr4:134401796-134401806(-) gaacaatgtta >hg38_chr4:134421267-134421277(+) ACACAATGCTT >hg38_chr4:134423733-134423743(-) AAACAATAGTT >hg38_chr4:134423754-134423764(+) ATACAATAAGA >hg38_chr4:134449283-134449293(+) GAACAATGGAG >hg38_chr4:134465579-134465589(-) acacaatgagc >hg38_chr4:134470833-134470843(+) tgacaatagcc >hg38_chr4:134485074-134485084(-) taacaaaggta >hg38_chr4:134485234-134485244(-) taactatggac >hg38_chr4:134518703-134518713(-) tcacaatagtg >hg38_chr4:134544523-134544533(+) AGACAATAGCT >hg38_chr4:134544589-134544599(+) TTACAATGTGG >hg38_chr4:134624649-134624659(-) GAACAATAGAC >hg38_chr4:134727685-134727695(+) AAACAATAACC >hg38_chr4:134727886-134727896(+) AAACAAAGGTC >hg38_chr4:134727903-134727913(+) CTACAAAGGAT >hg38_chr4:134729592-134729602(-) agacaatggag >hg38_chr4:134731114-134731124(-) GAACAAAGGGA >hg38_chr4:134808043-134808053(-) AGACAATGAAA >hg38_chr4:134884153-134884163(-) TTACAATGCAG >hg38_chr4:134912103-134912113(-) ttacaatgtca >hg38_chr4:134934512-134934522(+) GAACAATGTTA >hg38_chr4:135005976-135005986(+) TAACAATAAAC >hg38_chr4:135008384-135008394(-) aaacaatgcaa >hg38_chr4:135034740-135034750(-) GAACAATAATA >hg38_chr4:135034808-135034818(+) CAACAATAGAT >hg38_chr4:135091552-135091562(-) TAACAATGAGC >hg38_chr4:135096307-135096317(+) Tcacaatgaac >hg38_chr4:135096325-135096335(+) atacaatgaac >hg38_chr4:135096334-135096344(+) acacaatgaac >hg38_chr4:135197822-135197832(-) caacaatgtcc >hg38_chr4:135241116-135241126(-) CAACAATGCAT >hg38_chr4:135241131-135241141(-) TAACAATGAAA >hg38_chr4:135249297-135249307(-) AGACAATAGTT >hg38_chr4:135255850-135255860(-) CAACAATGAAG >hg38_chr4:135263050-135263060(+) ttacaatagag >hg38_chr4:135277990-135278000(+) tgacaatggaa >hg38_chr4:135291722-135291732(-) tgacaatgcat >hg38_chr4:135291735-135291745(-) caacaatgtat >hg38_chr4:135482773-135482783(-) ACACAATAGGA >hg38_chr4:135507292-135507302(-) TAACAATATCA >hg38_chr4:135552630-135552640(+) agacaatatat >hg38_chr4:135588273-135588283(+) cgataatagta >hg38_chr4:135737025-135737035(-) TAACAATGTTG >hg38_chr4:135917343-135917353(-) acacaatgtga >hg38_chr4:135917367-135917377(-) acacaatgtga >hg38_chr4:135917383-135917393(+) acacaatggga >hg38_chr4:135992342-135992352(+) ggacaatgtga >hg38_chr4:135992413-135992423(-) caacaatgggg >hg38_chr4:136025703-136025713(+) agacaatgagg >hg38_chr4:136093780-136093790(+) agataatggtc >hg38_chr4:136102779-136102789(+) GCACAATGAAA >hg38_chr4:136103883-136103893(-) GAACAATGGCA >hg38_chr4:136103898-136103908(+) CTACAATGGAG >hg38_chr4:136106933-136106943(-) AAACAATGGGC >hg38_chr4:136157244-136157254(-) atacaatacaa >hg38_chr4:136278138-136278148(-) GCACAATAATA >hg38_chr4:136282104-136282114(+) gaacaaaggcg >hg38_chr4:136299997-136300007(-) CAACAATGGCA >hg38_chr4:136399973-136399983(+) AAACAATATTA >hg38_chr4:136444658-136444668(+) AAACAATAATA >hg38_chr4:136517935-136517945(+) agataatggca >hg38_chr4:136526370-136526380(-) TAACAATGGAT >hg38_chr4:136526433-136526443(+) AAATAATGGAT >hg38_chr4:136580208-136580218(-) TTACAATGGGA >hg38_chr4:136661786-136661796(-) caacaatgcag >hg38_chr4:136713364-136713374(-) GTACAAAGGAA >hg38_chr4:136751096-136751106(+) ggacaaaggga >hg38_chr4:136789091-136789101(-) AAACAATAGCA >hg38_chr4:136864921-136864931(-) TTACAATAAAT >hg38_chr4:136874076-136874086(-) GTACAATATAA >hg38_chr4:136908310-136908320(-) ACACAAAGGGT >hg38_chr4:136911707-136911717(-) gtacaatgtat >hg38_chr4:136935445-136935455(-) CAACAATGCCT >hg38_chr4:136973749-136973759(-) agacaatgggg >hg38_chr4:136986160-136986170(+) TAACACTGGGT >hg38_chr4:136986203-136986213(+) AAACAATGTAA >hg38_chr4:136986232-136986242(-) GAACAATGGAT >hg38_chr4:137008617-137008627(-) gaacaatgtct >hg38_chr4:137028207-137028217(+) ATACAAAGGTA >hg38_chr4:137032427-137032437(-) CCACAATGCAC >hg38_chr4:137040883-137040893(-) aaacaatgcta >hg38_chr4:137045789-137045799(-) taacaatacaa >hg38_chr4:137045797-137045807(-) taacaatataa >hg38_chr4:137050248-137050258(+) GTACAATATAT >hg38_chr4:137059245-137059255(-) TTACTATGGTC >hg38_chr4:137059286-137059296(-) TGATAATGGTT >hg38_chr4:137074938-137074948(-) GGACAATGGAC >hg38_chr4:137128214-137128224(-) AAATAATGGGC >hg38_chr4:137133047-137133057(+) AAACAATGAAA >hg38_chr4:137151947-137151957(+) TAATAATGGGC >hg38_chr4:137194909-137194919(-) GGACAATAAAT >hg38_chr4:137205830-137205840(-) aaacaataaaa >hg38_chr4:137279940-137279950(+) tcacaaaggat >hg38_chr4:137281789-137281799(+) GGACAATGGTC >hg38_chr4:137304566-137304576(+) AGACAATGAGT >hg38_chr4:137304597-137304607(+) TAACAATACCG >hg38_chr4:137306940-137306950(+) GAACAATGGCA >hg38_chr4:137308641-137308651(-) AAACAATAAAA >hg38_chr4:137361315-137361325(+) ACACAATAGTG >hg38_chr4:137367718-137367728(-) ccacaataGTA >hg38_chr4:137397748-137397758(-) GGACAATAACA >hg38_chr4:137451620-137451630(-) tgacactggta >hg38_chr4:137495201-137495211(+) taacaatagaa >hg38_chr4:137503195-137503205(+) AGACAAAGGTT >hg38_chr4:137503207-137503217(+) TAACAATAACA >hg38_chr4:137514471-137514481(+) GGACAAAGGCA >hg38_chr4:137519544-137519554(-) ACACAATGGAA >hg38_chr4:137530102-137530112(-) GGACAAAGGCA >hg38_chr4:137534040-137534050(-) AGACAATGGCT >hg38_chr4:137542131-137542141(-) TAACAATAAAA >hg38_chr4:137559155-137559165(-) AGACAATGCAG >hg38_chr4:137576527-137576537(+) caacaaTAGAA >hg38_chr4:137577273-137577283(-) AAACAAAGGGT >hg38_chr4:137586417-137586427(+) GGACAATAGAG >hg38_chr4:137591767-137591777(-) CGACAATGAAC >hg38_chr4:137592757-137592767(+) AGACAATGGTT >hg38_chr4:137592766-137592776(-) AAACAATGCAA >hg38_chr4:137598631-137598641(-) GTACAATAAAC >hg38_chr4:137602152-137602162(-) TTACAATAGCT >hg38_chr4:137627681-137627691(+) ACACAATCGGT >hg38_chr4:137644284-137644294(-) aaacaatgaga >hg38_chr4:137644317-137644327(-) taacaatggac >hg38_chr4:137652462-137652472(-) GGATAATGGAG >hg38_chr4:137662407-137662417(-) agacaatggac >hg38_chr4:137663869-137663879(+) AGACAATAGGA >hg38_chr4:137678055-137678065(-) GAACAATGTTA >hg38_chr4:137707776-137707786(+) AAACAAAGGGC >hg38_chr4:137739618-137739628(-) TCACAATGTGT >hg38_chr4:137739643-137739653(+) TTACAATGCAG >hg38_chr4:137741799-137741809(+) GCACAATGAAC >hg38_chr4:137748773-137748783(-) TGACAATGCAG >hg38_chr4:137755061-137755071(-) ACACAATGGAT >hg38_chr4:137775504-137775514(+) CAATAATGGGT >hg38_chr4:137775536-137775546(+) ACACAAAGGTC >hg38_chr4:137790218-137790228(-) gtagaatggta >hg38_chr4:137812022-137812032(+) tcacaatggac >hg38_chr4:137839871-137839881(-) gaacaatgtat >hg38_chr4:137840535-137840545(-) acacaatgcat >hg38_chr4:137880606-137880616(+) GAACAATAAAA >hg38_chr4:137889775-137889785(+) GCACAATGTCA >hg38_chr4:137891030-137891040(+) ATACACTGGAA >hg38_chr4:137911337-137911347(-) CTATAATGGCA >hg38_chr4:137929712-137929722(+) AGACAATAGAT >hg38_chr4:137963291-137963301(-) GAACAAAGGAA >hg38_chr4:137968800-137968810(+) ATATAATGTAA >hg38_chr4:137992287-137992297(+) AAACAATGTGA >hg38_chr4:138007719-138007729(+) AAACAATAGTT >hg38_chr4:138035099-138035109(-) GTACAAAGGAG >hg38_chr4:138036005-138036015(+) GGACAATGGGA >hg38_chr4:138041396-138041406(-) acacagtggac >hg38_chr4:138047450-138047460(+) ACACAATAGAG >hg38_chr4:138048027-138048037(+) GAACAATATCA >hg38_chr4:138053742-138053752(+) TTACAATGACC >hg38_chr4:138104417-138104427(+) AAACAATGTTG >hg38_chr4:138111007-138111017(-) gaacaatggta >hg38_chr4:138151109-138151119(-) TGACAATGTAT >hg38_chr4:138178716-138178726(-) GAACAATAGCA >hg38_chr4:138178728-138178738(-) GAACAATGGAG >hg38_chr4:138192449-138192459(+) caataatggag >hg38_chr4:138192801-138192811(-) AAACAATGGGG >hg38_chr4:138201060-138201070(-) GCACAATGCTT >hg38_chr4:138201243-138201253(-) GTACAATACTA >hg38_chr4:138201274-138201284(-) ATACAAAGGCC >hg38_chr4:138205722-138205732(+) GAACAAAGGTA >hg38_chr4:138216150-138216160(-) GAACAATAGAT >hg38_chr4:138216761-138216771(+) TGACAATAGCT >hg38_chr4:138223037-138223047(+) TCACAATGTAG >hg38_chr4:138226476-138226486(-) GTACAATGTCT >hg38_chr4:138229995-138230005(+) TAACAATGGCT >hg38_chr4:138230026-138230036(+) GAACAGTGGAC >hg38_chr4:138235747-138235757(-) TAACAATGTGT >hg38_chr4:138236519-138236529(+) ATACAATAATG >hg38_chr4:138268511-138268521(+) gaacaatgcca >hg38_chr4:138275380-138275390(-) GTACAATGGTA >hg38_chr4:138276224-138276234(+) AAACAATGAAG >hg38_chr4:138277656-138277666(+) gaacaatgtat >hg38_chr4:138308717-138308727(-) taacaaaggca >hg38_chr4:138311377-138311387(-) TTACAATGAAA >hg38_chr4:138311842-138311852(+) acacaatgtga >hg38_chr4:138311892-138311902(+) CAACAATGCAA >hg38_chr4:138317617-138317627(+) AGACAATGTAG >hg38_chr4:138328130-138328140(-) ACACAATGAAA >hg38_chr4:138384938-138384948(-) ACACAATGCAC >hg38_chr4:138392355-138392365(-) gaataatggat >hg38_chr4:138392396-138392406(-) tcacaatagcc >hg38_chr4:138399898-138399908(-) ACACAATGACT >hg38_chr4:138420183-138420193(+) TAACAATACAA >hg38_chr4:138428439-138428449(-) atacaatggtg >hg38_chr4:138488006-138488016(+) AGACAATGCAA >hg38_chr4:138494270-138494280(-) CAACAAAGGAC >hg38_chr4:138555747-138555757(-) gcacaatgAAG >hg38_chr4:138557950-138557960(-) ttacaatgtta >hg38_chr4:138610198-138610208(+) TGACAATGGAG >hg38_chr4:138610262-138610272(-) TTACAATGTTG >hg38_chr4:138618440-138618450(-) AGACAATATTA >hg38_chr4:138620665-138620675(+) ctacaatgaac >hg38_chr4:138658760-138658770(+) TAACAATGAAT >hg38_chr4:138659975-138659985(+) TCACAATAGCA >hg38_chr4:138659992-138660002(+) GAATAATGGGA >hg38_chr4:138682884-138682894(-) GAACAATGAAA >hg38_chr4:138724685-138724695(+) AAACAAAGGCT >hg38_chr4:138911060-138911070(-) AGATAATGGCT >hg38_chr4:138973394-138973404(-) AAACTATGGTG >hg38_chr4:139018738-139018748(+) GCACAATGAAA >hg38_chr4:139041257-139041267(-) TTACAAAGGAA >hg38_chr4:139046459-139046469(+) GTACAAAGGAA >hg38_chr4:139082353-139082363(+) GGACAATATAT >hg38_chr4:139082362-139082372(+) ATACAAAGGAA >hg38_chr4:139082379-139082389(-) GCACAATGAGA >hg38_chr4:139083005-139083015(-) TGACAATGTAC >hg38_chr4:139085290-139085300(+) AAACAAAGGGT >hg38_chr4:139101621-139101631(+) TTACAATAGTG >hg38_chr4:139104835-139104845(+) CCACAATAGCA >hg38_chr4:139105335-139105345(-) ctacaatgtag >hg38_chr4:139111685-139111695(+) GGACAATGGAT >hg38_chr4:139111699-139111709(+) AGACAATGATT >hg38_chr4:139125957-139125967(+) caacaatagca >hg38_chr4:139147326-139147336(+) ccacaatgaca >hg38_chr4:139148591-139148601(-) atacaatagga >hg38_chr4:139148667-139148677(-) tcacaatggta >hg38_chr4:139169933-139169943(-) ttataatggga >hg38_chr4:139172537-139172547(+) taacaatggct >hg38_chr4:139178217-139178227(-) CGACAATGGCT >hg38_chr4:139184068-139184078(-) AAATAATGGGA >hg38_chr4:139196534-139196544(+) agacaatgagg >hg38_chr4:139267576-139267586(-) ttacaataacc >hg38_chr4:139268413-139268423(+) ACACAAAGGAG >hg38_chr4:139296186-139296196(-) agacaatgaat >hg38_chr4:139326109-139326119(+) ctacaatggcc >hg38_chr4:139345151-139345161(-) AAACAATGGCT >hg38_chr4:139345183-139345193(+) GGATAATGGTA >hg38_chr4:139374390-139374400(+) gaacaatggac >hg38_chr4:139438780-139438790(-) taacaatggta >hg38_chr4:139438788-139438798(+) ttacaataata >hg38_chr4:139439648-139439658(+) tcacaatgtgt >hg38_chr4:139492664-139492674(+) TGACAATGCAG >hg38_chr4:139511438-139511448(+) CGACAATACCT >hg38_chr4:139522125-139522135(-) TTACAAAGGGA >hg38_chr4:139522171-139522181(-) TCACAATGATG >hg38_chr4:139522179-139522189(-) CCACAATGTCA >hg38_chr4:139542034-139542044(+) acacaatggta >hg38_chr4:139568378-139568388(-) acacaatgaaa >hg38_chr4:139568428-139568438(+) aaacaatgttc >hg38_chr4:139622827-139622837(+) GAACAAAGGAT >hg38_chr4:139645698-139645708(-) acacaaaggga >hg38_chr4:139651803-139651813(+) GTACAGTGGTT >hg38_chr4:139651871-139651881(+) ATACAATGACT >hg38_chr4:139689375-139689385(-) ACACAATAGGG >hg38_chr4:139729937-139729947(-) GAACAATAGCA >hg38_chr4:139729951-139729961(-) GAACAATGGAT >hg38_chr4:139735167-139735177(+) GCACAATACCG >hg38_chr4:139762253-139762263(+) acacaataggt >hg38_chr4:139775235-139775245(+) ACACAATAAAC >hg38_chr4:139791792-139791802(-) ATACAATGGGC >hg38_chr4:139797409-139797419(+) GGACACTGGAC >hg38_chr4:139797416-139797426(+) GGACAATGGGC >hg38_chr4:139813347-139813357(+) gaacaatgggt >hg38_chr4:139833367-139833377(-) CCACAATGCAT >hg38_chr4:139847755-139847765(-) CAACAATAGAA >hg38_chr4:139958827-139958837(-) ggacaataGAG >hg38_chr4:139958848-139958858(+) ggacaatgata >hg38_chr4:139958883-139958893(-) taacaatgcaa >hg38_chr4:139973360-139973370(-) AAACAATGGAC >hg38_chr4:139986122-139986132(-) AAACAATGAGA >hg38_chr4:139989194-139989204(-) GGACAATGCCT >hg38_chr4:139999257-139999267(+) tgacaatgcca >hg38_chr4:140003990-140004000(-) TTACAATGCTT >hg38_chr4:140004193-140004203(+) TAACAATGGCA >hg38_chr4:140004671-140004681(+) AGACAATGACG >hg38_chr4:140005098-140005108(+) GAACAATGCAG >hg38_chr4:140005132-140005142(-) GGACAAAGGGT >hg38_chr4:140012925-140012935(+) ACACAATATAC >hg38_chr4:140017591-140017601(+) atactatggca >hg38_chr4:140018282-140018292(+) ACACAATGTCA >hg38_chr4:140036473-140036483(+) ACACAATGACC >hg38_chr4:140044395-140044405(+) TGACAATCGTT >hg38_chr4:140058696-140058706(-) AAATAATGGGA >hg38_chr4:140099012-140099022(+) GTACAATAGGC >hg38_chr4:140115085-140115095(+) ATACAATGCCC >hg38_chr4:140163036-140163046(-) AGACAATAGAA >hg38_chr4:140200821-140200831(+) tgacaatgtat >hg38_chr4:140200864-140200874(+) ggacaaaggta >hg38_chr4:140220122-140220132(+) ttacaatagca >hg38_chr4:140220550-140220560(+) GAACAATGGGA >hg38_chr4:140223621-140223631(-) ttataatggag >hg38_chr4:140226123-140226133(+) TAACAAAGGGT >hg38_chr4:140237743-140237753(-) GAACAATGGAG >hg38_chr4:140238137-140238147(-) AGACAATAGGC >hg38_chr4:140249110-140249120(-) GAACAAAGGCC >hg38_chr4:140253546-140253556(-) AAACAATGATA >hg38_chr4:140253563-140253573(-) ATATAATGGAT >hg38_chr4:140257684-140257694(-) tcacaatgaac >hg38_chr4:140277440-140277450(-) TAACAATGGAA >hg38_chr4:140277462-140277472(-) TAACAATGGAT >hg38_chr4:140349272-140349282(-) tgacaatagtt >hg38_chr4:140353895-140353905(-) GAACAATGATT >hg38_chr4:140353927-140353937(-) TGACAATGAAA >hg38_chr4:140376527-140376537(-) ATacaacgcga >hg38_chr4:140388593-140388603(-) GGATAATGGGT >hg38_chr4:140423314-140423324(-) ttacaatgtag >hg38_chr4:140428189-140428199(-) AAACAATGAAT >hg38_chr4:140428262-140428272(+) aaacagtggaa >hg38_chr4:140454095-140454105(-) aaacaaaggca >hg38_chr4:140543557-140543567(+) CAACAATGGAA >hg38_chr4:140544292-140544302(-) CAACAATGGAG >hg38_chr4:140558150-140558160(-) acacaatgcac >hg38_chr4:140575625-140575635(-) GGACAATGGAA >hg38_chr4:140597201-140597211(-) taacaaaggcc >hg38_chr4:140600714-140600724(+) AGACAATGCCG >hg38_chr4:140600728-140600738(-) CCACAATGTGT >hg38_chr4:140615100-140615110(-) caacaatgctc >hg38_chr4:140615121-140615131(-) tgacaatgaac >hg38_chr4:140698395-140698405(+) AAACAATGGTT >hg38_chr4:140743554-140743564(+) ACACAAAGACG >hg38_chr4:140755254-140755264(+) TTACAATAATA >hg38_chr4:140819769-140819779(+) TTACAATAAAC >hg38_chr4:140838910-140838920(+) aaacaaaggca >hg38_chr4:140854433-140854443(+) caacaatgaag >hg38_chr4:140869151-140869161(+) caacaatagag >hg38_chr4:140877296-140877306(-) ACACAATGTGC >hg38_chr4:140877323-140877333(+) TTACAATGCCC >hg38_chr4:140882479-140882489(-) gaacaataggc >hg38_chr4:140914776-140914786(+) ATATAATGGAA >hg38_chr4:140914793-140914803(-) TAACAATAGGT >hg38_chr4:140914844-140914854(-) CTACAATGAAC >hg38_chr4:140925072-140925082(+) TGACAATAGGC >hg38_chr4:140936140-140936150(-) atacaatggaa >hg38_chr4:140936178-140936188(-) taacaatggaa >hg38_chr4:140955306-140955316(+) ACACAATGTGA >hg38_chr4:140955561-140955571(+) AGACAATGTGC >hg38_chr4:141001180-141001190(+) ATACAATACAT >hg38_chr4:141193992-141194002(-) TGACAATGCTT >hg38_chr4:141224315-141224325(-) TAACAAAGGTA >hg38_chr4:141229872-141229882(-) AAACAATACTA >hg38_chr4:141229927-141229937(-) TCACAATGCTC >hg38_chr4:141232335-141232345(-) GAACAATGATC >hg38_chr4:141296686-141296696(-) gtacaatgtgg >hg38_chr4:141297346-141297356(-) AGACAATGGAA >hg38_chr4:141303433-141303443(+) gcacaatgtac >hg38_chr4:141341041-141341051(+) agacaatgcaa >hg38_chr4:141356892-141356902(+) TTACAATGTTC >hg38_chr4:141357143-141357153(-) AAACAATATAT >hg38_chr4:141388930-141388940(+) taacaataaca >hg38_chr4:141389831-141389841(-) agacaaaggaa >hg38_chr4:141389864-141389874(-) gaacaatgctg >hg38_chr4:141421981-141421991(-) atacaatagag >hg38_chr4:141436735-141436745(-) taacaatggag >hg38_chr4:141453112-141453122(+) atacaatagtt >hg38_chr4:141453495-141453505(-) aaacaatagga >hg38_chr4:141453732-141453742(-) aaacaatagtc >hg38_chr4:141453743-141453753(-) acacaatggga >hg38_chr4:141497780-141497790(+) acacaatgcag >hg38_chr4:141497801-141497811(-) agactatgggt >hg38_chr4:141497996-141498006(+) ggacaatggtg >hg38_chr4:141532758-141532768(+) ttacactggat >hg38_chr4:141583128-141583138(+) taacaataata >hg38_chr4:141583136-141583146(+) atacaatggaa >hg38_chr4:141595336-141595346(+) CTACAATGCTT >hg38_chr4:141610571-141610581(-) atacaatggcc >hg38_chr4:141625202-141625212(+) ttacaatagag >hg38_chr4:141625231-141625241(+) tgacaatggag >hg38_chr4:141650488-141650498(+) GCACAATGCAT >hg38_chr4:141654376-141654386(+) GAACAATGAAT >hg38_chr4:141654386-141654396(-) GAACAATGAAA >hg38_chr4:141663317-141663327(-) ttataatgggg >hg38_chr4:141669399-141669409(-) ATACAATCGCT >hg38_chr4:141676315-141676325(+) ggacaatgaga >hg38_chr4:141676397-141676407(+) ggacaatgccc >hg38_chr4:141769195-141769205(+) AAACAATGGGG >hg38_chr4:141845978-141845988(+) ctacaatagaa >hg38_chr4:141858527-141858537(+) gtacaatgttg >hg38_chr4:141899350-141899360(+) GAACAATGGGA >hg38_chr4:141907467-141907477(+) GCACAATGAAT >hg38_chr4:141908668-141908678(-) TAATAATGGGA >hg38_chr4:141914158-141914168(+) GGACAATGTCT >hg38_chr4:141934145-141934155(-) CAACAATAGGG >hg38_chr4:141958950-141958960(+) AAACAATGAAG >hg38_chr4:141958968-141958978(-) TTACAAAGGAA >hg38_chr4:141994265-141994275(+) ggacaataaat >hg38_chr4:142008468-142008478(-) acacaaaggat >hg38_chr4:142008532-142008542(+) tgactatggta >hg38_chr4:142044443-142044453(+) CTACAAAGGAA >hg38_chr4:142083426-142083436(-) AGACAAAGGAA >hg38_chr4:142083467-142083477(+) TAACAATAATC >hg38_chr4:142096125-142096135(-) ACATAATGGCA >hg38_chr4:142126692-142126702(+) GAACAATGGTT >hg38_chr4:142141849-142141859(+) CTACAATGTAA >hg38_chr4:142142099-142142109(-) TTACAATGTAT >hg38_chr4:142155465-142155475(+) TAACAATGCTT >hg38_chr4:142195021-142195031(+) TGACAATGCAA >hg38_chr4:142202785-142202795(+) AAACAATGAGA >hg38_chr4:142222917-142222927(-) AAACAATGTAT >hg38_chr4:142234968-142234978(+) CAACAATAGTA >hg38_chr4:142240961-142240971(+) GAACAATGTGC >hg38_chr4:142249012-142249022(+) CAACAATAGTA >hg38_chr4:142250604-142250614(+) GCACAATGCAC >hg38_chr4:142308168-142308178(+) GAACAATGCCC >hg38_chr4:142308192-142308202(-) TTATAATGGAT >hg38_chr4:142308460-142308470(+) AAACAATGGGA >hg38_chr4:142339552-142339562(-) AGACAATGATT >hg38_chr4:142355534-142355544(+) CAACAATGAAG >hg38_chr4:142360969-142360979(-) AAACAATAGCA >hg38_chr4:142361029-142361039(-) gaacaatagga >hg38_chr4:142365233-142365243(+) aaacaatagga >hg38_chr4:142406415-142406425(+) TAACAAAGGTA >hg38_chr4:142406750-142406760(+) ctacaatgtat >hg38_chr4:142414449-142414459(+) GAACAATGGTG >hg38_chr4:142420776-142420786(+) GAACAAAGGAG >hg38_chr4:142448646-142448656(-) AGACAAAGGCA >hg38_chr4:142457035-142457045(+) atacaatggat >hg38_chr4:142483237-142483247(+) TCACAATGGCG >hg38_chr4:142516564-142516574(+) ACACAATGTTT >hg38_chr4:142548144-142548154(+) GTACAATAGAG >hg38_chr4:142550236-142550246(-) GCACAATAGAA >hg38_chr4:142552967-142552977(-) gcataatggaa >hg38_chr4:142552981-142552991(+) acacaatagac >hg38_chr4:142566666-142566676(-) taacactggac >hg38_chr4:142683299-142683309(+) TAACAGTGGAA >hg38_chr4:142687883-142687893(+) CAACAATGCTC >hg38_chr4:142708057-142708067(-) ttacaatgcct >hg38_chr4:142714401-142714411(+) TGACAATGGAC >hg38_chr4:142733051-142733061(+) tgacaatgtag >hg38_chr4:142755020-142755030(+) aaacaatgtgg >hg38_chr4:142755904-142755914(-) taacaaaggtg >hg38_chr4:142795703-142795713(-) ATACAATGATA >hg38_chr4:142815991-142816001(-) tcacAATAGAA >hg38_chr4:142818864-142818874(-) acactatgggt >hg38_chr4:142825143-142825153(+) ACACAATAGGC >hg38_chr4:142826995-142827005(-) AAACAATGGTG >hg38_chr4:142829638-142829648(+) taacaatagaa >hg38_chr4:142832988-142832998(+) GCACAAAGGAT >hg38_chr4:142839893-142839903(+) TTACAATAGCC >hg38_chr4:142840754-142840764(+) GCACAATGGAT >hg38_chr4:142844581-142844591(+) TCACAAAGGAA >hg38_chr4:142845451-142845461(+) AAACAATGACC >hg38_chr4:142850708-142850718(+) aaacaatagaa >hg38_chr4:142910213-142910223(-) AAACAATGAGG >hg38_chr4:142952994-142953004(+) AGACAATGATT >hg38_chr4:142953057-142953067(+) TGACAATGGGT >hg38_chr4:142957921-142957931(-) gtacaatgttt >hg38_chr4:142981679-142981689(+) taacaatgttc >hg38_chr4:142989189-142989199(-) acacaatgtag >hg38_chr4:143017657-143017667(-) ctacaataata >hg38_chr4:143018164-143018174(+) gtacaatatct >hg38_chr4:143018198-143018208(-) aaacaatagtt >hg38_chr4:143018811-143018821(-) atataatggta >hg38_chr4:143018868-143018878(+) acacagtggta >hg38_chr4:143051489-143051499(+) GAACAATATCA >hg38_chr4:143051532-143051542(-) CCACAATGCAC >hg38_chr4:143075660-143075670(+) atacagtggta >hg38_chr4:143127949-143127959(+) ctataatggca >hg38_chr4:143186488-143186498(-) ATACTATGGTA >hg38_chr4:143186497-143186507(-) GTACAATAAAT >hg38_chr4:143231600-143231610(+) ATACAATGTAT >hg38_chr4:143244243-143244253(+) AGACAATGGGC >hg38_chr4:143318994-143319004(+) GAACAGTGGAT >hg38_chr4:143319060-143319070(-) ggaCAATGTGA >hg38_chr4:143336743-143336753(-) acacaATGCCC >hg38_chr4:143345360-143345370(+) AAACAATGTCC >hg38_chr4:143345391-143345401(-) AGACAATGTGG >hg38_chr4:143382192-143382202(-) TAACAATGAAG >hg38_chr4:143382203-143382213(-) CAACAATGAAA >hg38_chr4:143392805-143392815(+) TTACAATAGTA >hg38_chr4:143419991-143420001(-) TTACAAAGGAT >hg38_chr4:143420043-143420053(-) GAACAAAGGCA >hg38_chr4:143421259-143421269(-) acacaatgaaa >hg38_chr4:143458148-143458158(-) AAATAATGGCA >hg38_chr4:143482001-143482011(+) tgacaatgtag >hg38_chr4:143507736-143507746(-) aaacaatgtgt >hg38_chr4:143514619-143514629(+) AAACAATGGCG >hg38_chr4:143559101-143559111(+) GAACAAAGGTG >hg38_chr4:143581848-143581858(-) taacaaaggaa >hg38_chr4:143620907-143620917(+) GGACAATGAGG >hg38_chr4:143641178-143641188(+) ATACAAAGATA >hg38_chr4:143668381-143668391(+) TAACAATACAT >hg38_chr4:143741981-143741991(+) TAACAATAATA >hg38_chr4:143742023-143742033(+) Aaacaataaca >hg38_chr4:143742032-143742042(+) caacaatgaca >hg38_chr4:143770042-143770052(-) aaacaatgggg >hg38_chr4:143986390-143986400(+) ggacaatggag >hg38_chr4:144109137-144109147(+) TCACAATGCCT >hg38_chr4:144190208-144190218(+) GAACAATGTAC >hg38_chr4:144204234-144204244(-) ATACAGTGGCA >hg38_chr4:144212307-144212317(-) GAACAATGAAC >hg38_chr4:144267372-144267382(+) GCACAATGACT >hg38_chr4:144267489-144267499(+) GAACAATAGTT >hg38_chr4:144343131-144343141(+) ATACAATGGTG >hg38_chr4:144346975-144346985(+) GAACAATGTGG >hg38_chr4:144472550-144472560(+) gtacaatatac >hg38_chr4:144501389-144501399(+) tgacaatgcac >hg38_chr4:144501470-144501480(-) ctacaatggat >hg38_chr4:144596416-144596426(-) GAATAATGGTG >hg38_chr4:144649804-144649814(-) CAACAATAGCC >hg38_chr4:144674957-144674967(-) ACACAAAGGTT >hg38_chr4:144710328-144710338(-) AGACAATGCCT >hg38_chr4:144733001-144733011(-) AGACAATGGTG >hg38_chr4:144805842-144805852(-) GTACAATACTA >hg38_chr4:144805854-144805864(-) GTACAATAATG >hg38_chr4:144805871-144805881(+) GTACAATGTAC >hg38_chr4:144895859-144895869(-) GAACAATGATA >hg38_chr4:144895898-144895908(-) GAACAATAGCC >hg38_chr4:144948550-144948560(-) gaacaatacta >hg38_chr4:144948588-144948598(+) acacaatggtt >hg38_chr4:144980058-144980068(-) gaacaaagggc >hg38_chr4:145042841-145042851(+) GCACAATGGAA >hg38_chr4:145043483-145043493(-) ATATAATGTAT >hg38_chr4:145069879-145069889(+) aaacaatgaca >hg38_chr4:145070239-145070249(-) agacaatgtgt >hg38_chr4:145070265-145070275(+) ctacaatggca >hg38_chr4:145084309-145084319(+) gtagaatggta >hg38_chr4:145093930-145093940(+) aaataatggac >hg38_chr4:145093939-145093949(+) acacaatgtct >hg38_chr4:145097446-145097456(-) TAACAATGTTC >hg38_chr4:145097510-145097520(-) ATACAATGGGA >hg38_chr4:145099875-145099885(-) GAACAATGACC >hg38_chr4:145104436-145104446(-) TGACAATAGCA >hg38_chr4:145105127-145105137(-) GGATAATggaa >hg38_chr4:145106691-145106701(+) GAACAGTGGAT >hg38_chr4:145106746-145106756(-) ATACAATGCTT >hg38_chr4:145114251-145114261(+) tcacaatgtgg >hg38_chr4:145115458-145115468(-) CCACAATGGTG >hg38_chr4:145145286-145145296(+) AAACAATGCTT >hg38_chr4:145153728-145153738(+) GGACAATGCTT >hg38_chr4:145208071-145208081(-) agacaatagtg >hg38_chr4:145234293-145234303(-) GAACTATGGTG >hg38_chr4:145234404-145234414(-) ATACAATGTGA >hg38_chr4:145260332-145260342(-) agacaatggga >hg38_chr4:145279745-145279755(+) GGACAATGGTG >hg38_chr4:145308623-145308633(-) gtacaattgat >hg38_chr4:145384532-145384542(-) gaacaatagaa >hg38_chr4:145389612-145389622(+) TTACAATGCCA >hg38_chr4:145398888-145398898(-) atacaatgaaa >hg38_chr4:145398906-145398916(-) acacaatgtgg >hg38_chr4:145398963-145398973(-) tcacaatagcc >hg38_chr4:145405158-145405168(-) GAACAATGGTT >hg38_chr4:145427705-145427715(+) AAACAATGAAG >hg38_chr4:145443645-145443655(+) gaacaatataa >hg38_chr4:145545966-145545976(-) CAACAATGGAA >hg38_chr4:145546295-145546305(-) GAACAATAGAA >hg38_chr4:145557091-145557101(-) GGACAATGAAT >hg38_chr4:145609472-145609482(-) CAACAATAGAA >hg38_chr4:145632017-145632027(-) aaacaatgaac >hg38_chr4:145679719-145679729(-) CAACAATAGCA >hg38_chr4:145716893-145716903(-) TAACAATAGCA >hg38_chr4:145718032-145718042(+) AAACAATGATA >hg38_chr4:145719156-145719166(-) AAACAATGACA >hg38_chr4:145719170-145719180(+) GAACAATGGTT >hg38_chr4:145735119-145735129(+) AGACAATGGCT >hg38_chr4:145739473-145739483(+) CAACAATAGCA >hg38_chr4:145802057-145802067(-) TGACAATGCCC >hg38_chr4:145802341-145802351(-) AAACAAAGGAA >hg38_chr4:145810640-145810650(+) acataatggga >hg38_chr4:145810696-145810706(+) taacaatatgt >hg38_chr4:145840107-145840117(+) CAACAATGCAC >hg38_chr4:145840148-145840158(-) AAACAATGGAG >hg38_chr4:145847905-145847915(+) CAACAATGACA >hg38_chr4:145847911-145847921(+) TGACAATGAGC >hg38_chr4:145882846-145882856(+) GAACAATGGCG >hg38_chr4:145893077-145893087(+) TAACAATATGT >hg38_chr4:145909347-145909357(+) aaacaataaaa >hg38_chr4:145909361-145909371(+) gcacaatggag >hg38_chr4:145909600-145909610(+) ctacaatgggc >hg38_chr4:145960690-145960700(+) TGACAAAGGTA >hg38_chr4:145961660-145961670(+) CTACAATGTAT >hg38_chr4:145969250-145969260(+) tcacaatagcc >hg38_chr4:145985150-145985160(+) AAACAGTGGAA >hg38_chr4:146030269-146030279(-) AGACAATGGAA >hg38_chr4:146092484-146092494(-) ATACAATGATA >hg38_chr4:146169466-146169476(-) GAACAATGATG >hg38_chr4:146186317-146186327(+) GGATAATGGGG >hg38_chr4:146187380-146187390(+) AAACAATGGTA >hg38_chr4:146238934-146238944(-) GAACAATGAGG >hg38_chr4:146241693-146241703(-) GGACAATGAGT >hg38_chr4:146242330-146242340(-) GGACAAAGGGA >hg38_chr4:146270795-146270805(-) gcacaatagct >hg38_chr4:146270808-146270818(-) ttacaatagcc >hg38_chr4:146315351-146315361(+) taataatggct >hg38_chr4:146341585-146341595(+) ACACAATATAA >hg38_chr4:146367275-146367285(-) GGACAATAATA >hg38_chr4:146367870-146367880(+) AAACAATGAAT >hg38_chr4:146367910-146367920(+) GAACAATAGCA >hg38_chr4:146382328-146382338(-) gcacaatggaa >hg38_chr4:146389117-146389127(+) aaacaatggac >hg38_chr4:146389136-146389146(+) gaacaatggac >hg38_chr4:146407365-146407375(-) caacaatggga >hg38_chr4:146437787-146437797(-) GGACAATGCTT >hg38_chr4:146437820-146437830(+) AGATAATGGTG >hg38_chr4:146437983-146437993(+) AAACAATAAAA >hg38_chr4:146438002-146438012(-) GTACAATGATA >hg38_chr4:146443021-146443031(+) AAATAATGGGT >hg38_chr4:146464292-146464302(-) atacaatgcgt >hg38_chr4:146464540-146464550(+) TCACAATGCAA >hg38_chr4:146477273-146477283(+) TAACAATGGTT >hg38_chr4:146488688-146488698(-) CCACAATAGCT >hg38_chr4:146490680-146490690(+) CCACAATAGGC >hg38_chr4:146551759-146551769(+) TAACAATAGCA >hg38_chr4:146644022-146644032(+) GTACCATGGAT >hg38_chr4:146709085-146709095(-) tgacaatgagg >hg38_chr4:146714190-146714200(+) Gcacaatgtgg >hg38_chr4:146730691-146730701(-) caacaatgaac >hg38_chr4:146730738-146730748(-) acacaatagtc >hg38_chr4:146745557-146745567(+) GAACAATGGTT >hg38_chr4:146754852-146754862(-) taacaatgggc >hg38_chr4:146849999-146850009(-) acactatggga >hg38_chr4:146850011-146850021(-) agacaatgaga >hg38_chr4:146850059-146850069(-) taacaatgaag >hg38_chr4:146887935-146887945(+) GAACAATAGGG >hg38_chr4:146915271-146915281(+) ACACAATGTTT >hg38_chr4:146919700-146919710(-) AAACAATAAAA >hg38_chr4:146926019-146926029(-) gaacaataacc >hg38_chr4:147029302-147029312(+) agacaatgcac >hg38_chr4:147033286-147033296(+) AAATAATGGAA >hg38_chr4:147038018-147038028(+) GGACAAAGGTT >hg38_chr4:147057872-147057882(+) AGACAATGGGC >hg38_chr4:147097194-147097204(+) GAACAATGTTG >hg38_chr4:147158611-147158621(-) AAACAATAGCA >hg38_chr4:147170467-147170477(+) TCACAAAGGAA >hg38_chr4:147171408-147171418(+) CAACAATGAAT >hg38_chr4:147171921-147171931(+) CGACAATAAGA >hg38_chr4:147171945-147171955(-) GGACAGTGGAA >hg38_chr4:147175946-147175956(+) AAACAATAGAA >hg38_chr4:147231179-147231189(-) AGACAATGACA >hg38_chr4:147237016-147237026(-) aaacaaaggaa >hg38_chr4:147237042-147237052(+) GAACAATAGCA >hg38_chr4:147237097-147237107(+) ACACAATAATA >hg38_chr4:147242597-147242607(+) ATACACTGGAA >hg38_chr4:147253323-147253333(-) AGACAATGAAA >hg38_chr4:147340858-147340868(-) GAACAATGGGG >hg38_chr4:147340877-147340887(+) TAACAATTGTA >hg38_chr4:147344335-147344345(-) aaacaatgcat >hg38_chr4:147356139-147356149(+) GGACAATGACT >hg38_chr4:147383574-147383584(-) gaacaatgctt >hg38_chr4:147408732-147408742(-) acacaatgcaa >hg38_chr4:147441101-147441111(+) TGACAATGGGA >hg38_chr4:147501737-147501747(-) ccacaatggga >hg38_chr4:147531415-147531425(+) AAACAATGGAA >hg38_chr4:147546580-147546590(+) GCACAAAGGAA >hg38_chr4:147546885-147546895(-) TAACAATAGAG >hg38_chr4:147547352-147547362(-) TTACAATGCTA >hg38_chr4:147566852-147566862(-) taactatgGGC >hg38_chr4:147566871-147566881(-) tgacaatggga >hg38_chr4:147581924-147581934(-) CAACAATGTGG >hg38_chr4:147587224-147587234(+) GCACAATGGCC >hg38_chr4:147587231-147587241(-) ATACAAAGGCC >hg38_chr4:147610851-147610861(+) tgacaatggaa >hg38_chr4:147613392-147613402(+) gaacaatggtt >hg38_chr4:147630459-147630469(-) atacaaagata >hg38_chr4:147639491-147639501(+) AAACAATAGTT >hg38_chr4:147685126-147685136(-) TCACAATGTAG >hg38_chr4:147702688-147702698(+) tgacaatagca >hg38_chr4:147740919-147740929(-) gaacaataaac >hg38_chr4:147754311-147754321(-) CTACAATAGCA >hg38_chr4:147865852-147865862(+) Taataatggcc >hg38_chr4:147865902-147865912(-) gaacaatggct >hg38_chr4:147879907-147879917(+) ATACAATGGAA >hg38_chr4:147899939-147899949(-) TTATAATGGTA >hg38_chr4:147902059-147902069(-) CTACAATATAC >hg38_chr4:147955686-147955696(+) gtacaataatg >hg38_chr4:147976078-147976088(+) ACACAATATAT >hg38_chr4:147976671-147976681(-) AGACAATGTCA >hg38_chr4:148033226-148033236(-) atacaatagaa >hg38_chr4:148112732-148112742(+) AAACACTGGAA >hg38_chr4:148112807-148112817(+) GCATAATGGAA >hg38_chr4:148133538-148133548(-) AAACAATAGTC >hg38_chr4:148152012-148152022(-) TGACAATCGTA >hg38_chr4:148203722-148203732(-) CAACAATGAAT >hg38_chr4:148203809-148203819(+) GAACAATGATC >hg38_chr4:148249958-148249968(+) AGACAATGTGA >hg38_chr4:148270714-148270724(+) caacaataggg >hg38_chr4:148271337-148271347(+) GAACAATGAAG >hg38_chr4:148331384-148331394(+) ACATAATGGTG >hg38_chr4:148331408-148331418(+) CAACAATGCCT >hg38_chr4:148340353-148340363(+) ggacaaaggtg >hg38_chr4:148367490-148367500(+) GAACAATGTTA >hg38_chr4:148376379-148376389(+) GAACAAAGGGC >hg38_chr4:148424233-148424243(+) ccacaatgaga >hg38_chr4:148461057-148461067(-) ctacaatgcac >hg38_chr4:148687822-148687832(+) GGACAATAAGA >hg38_chr4:148716584-148716594(+) CAACAATGGGG >hg38_chr4:148748152-148748162(+) ATACAAAGGGT >hg38_chr4:148748183-148748193(-) GCACAATGCTG >hg38_chr4:148812454-148812464(+) TAATAATGCCG >hg38_chr4:148812510-148812520(+) TAACAATGGAT >hg38_chr4:148831361-148831371(-) ACACAATGCCA >hg38_chr4:148835095-148835105(+) TAACACTGGGA >hg38_chr4:148893042-148893052(+) GGACAATGGTG >hg38_chr4:148921263-148921273(-) gcacaatagga >hg38_chr4:148945085-148945095(+) AGACAAAGGTC >hg38_chr4:148945113-148945123(+) GAACAGTGGAA >hg38_chr4:148996913-148996923(+) taacaatgcct >hg38_chr4:148998525-148998535(-) ttacaatagcc >hg38_chr4:149019533-149019543(-) GAACAATGACT >hg38_chr4:149019585-149019595(+) GGATAATGGCT >hg38_chr4:149019597-149019607(+) AGATAATGGCA >hg38_chr4:149116692-149116702(-) AAACAAAGGAC >hg38_chr4:149140188-149140198(-) TGATAATGGGA >hg38_chr4:149140215-149140225(-) GAACAATGGAA >hg38_chr4:149166724-149166734(+) AGATAATGGAA >hg38_chr4:149248735-149248745(-) gtacaatgtgt >hg38_chr4:149344525-149344535(-) GAACAATGATT >hg38_chr4:149371207-149371217(-) TTACAAAGGGT >hg38_chr4:149382791-149382801(-) GAACAATATCA >hg38_chr4:149399340-149399350(+) AAACAATAGCT >hg38_chr4:149437777-149437787(-) CGACAATAGAT >hg38_chr4:149437797-149437807(-) ATACAAAGGAG >hg38_chr4:149448082-149448092(-) gtacaattgac >hg38_chr4:149489176-149489186(+) AAACAAAGGAA >hg38_chr4:149500879-149500889(+) atataatgtaa >hg38_chr4:149500899-149500909(+) gaacaatgaca >hg38_chr4:149518684-149518694(+) TCACAATGGAT >hg38_chr4:149555386-149555396(-) agataatggat >hg38_chr4:149555646-149555656(+) GTACAATATCC >hg38_chr4:149559376-149559386(-) ggacaatggca >hg38_chr4:149583409-149583419(+) CAACAATAGAA >hg38_chr4:149598007-149598017(+) caacaatggta >hg38_chr4:149631809-149631819(-) GTACAATGGCA >hg38_chr4:149656009-149656019(+) ATACAAAGGCA >hg38_chr4:149696046-149696056(-) gaacaatagga >hg38_chr4:149696102-149696112(-) aaacaatatat >hg38_chr4:149703494-149703504(+) AGACAATAGGC >hg38_chr4:149703514-149703524(+) GAACTATGGTC >hg38_chr4:149708855-149708865(-) AAACAATAAAA >hg38_chr4:149723391-149723401(-) gaacaatgaaa >hg38_chr4:149784224-149784234(-) agacaatggaa >hg38_chr4:149784256-149784266(+) ctacaatggcc >hg38_chr4:149785911-149785921(+) ttacaatgatg >hg38_chr4:149785938-149785948(-) aaacaatggaa >hg38_chr4:149786148-149786158(+) acacaatgaag >hg38_chr4:149826419-149826429(-) GAATAATGGTT >hg38_chr4:149859865-149859875(+) aaacaataata >hg38_chr4:149862296-149862306(+) aaacaatagaa >hg38_chr4:149866158-149866168(-) atataatggaa >hg38_chr4:149882256-149882266(-) ACACAATATAC >hg38_chr4:149956078-149956088(-) AAACAATAAAT >hg38_chr4:149965417-149965427(+) AAACACTGGAA >hg38_chr4:149973038-149973048(-) ttacaatgtcc >hg38_chr4:149973060-149973070(-) gtacaatgaca >hg38_chr4:149999621-149999631(+) TCATAATGGAA >hg38_chr4:150005109-150005119(-) TTACAATGGGT >hg38_chr4:150005874-150005884(+) TCACAATAGCC >hg38_chr4:150010763-150010773(+) ATACAATGTTC >hg38_chr4:150032514-150032524(-) GTATAATGGGC >hg38_chr4:150059011-150059021(-) GGACAATGGCT >hg38_chr4:150077775-150077785(+) AAAcaatgcct >hg38_chr4:150091704-150091714(-) TAACAATAGCA >hg38_chr4:150092511-150092521(-) ccacaatagat >hg38_chr4:150137462-150137472(+) ctacaaaggac >hg38_chr4:150147636-150147646(-) ACATAATGGGA >hg38_chr4:150152964-150152974(+) GAACAAAGGAA >hg38_chr4:150181881-150181891(-) GTACAATGAGC >hg38_chr4:150193511-150193521(-) AAACAATGCAA >hg38_chr4:150193520-150193530(-) AAACAATGCAA >hg38_chr4:150196386-150196396(+) ccacaatgcta >hg38_chr4:150196413-150196423(-) acacaaaggga >hg38_chr4:150209420-150209430(-) TAACAAAGGCA >hg38_chr4:150216939-150216949(-) GCATAATGGAT >hg38_chr4:150217311-150217321(+) TAACAATAGAT >hg38_chr4:150230137-150230147(-) TGACAATGGCT >hg38_chr4:150231557-150231567(-) GAACAATGATT >hg38_chr4:150299907-150299917(-) ATACAATGTAA >hg38_chr4:150299925-150299935(+) GTACAATATAG >hg38_chr4:150305486-150305496(+) atacagtggtg >hg38_chr4:150322013-150322023(+) AAACAATATAT >hg38_chr4:150322052-150322062(-) TTACAATAGGC >hg38_chr4:150337590-150337600(-) GTACAATGAGA >hg38_chr4:150337864-150337874(+) TAACAATGCTA >hg38_chr4:150343978-150343988(-) gaacaataggg >hg38_chr4:150381830-150381840(-) agacaataaca >hg38_chr4:150439735-150439745(+) TAACAATAGAT >hg38_chr4:150439801-150439811(+) AGACAATGTAT >hg38_chr4:150462792-150462802(+) ATACAATGTTT >hg38_chr4:150540630-150540640(+) TAACAATAGCA >hg38_chr4:150589277-150589287(-) TAACAATCGAT >hg38_chr4:150600992-150601002(+) ATACAATGAGG >hg38_chr4:150655325-150655335(-) acacaatgaag >hg38_chr4:150671628-150671638(+) tcacaatagca >hg38_chr4:150671878-150671888(+) TGACAATAGAC >hg38_chr4:150680607-150680617(-) ACACAATGATG >hg38_chr4:150683957-150683967(+) gaacaatgaga >hg38_chr4:150684292-150684302(+) agacaatagca >hg38_chr4:150684305-150684315(+) ttACAATAGTA >hg38_chr4:150694168-150694178(+) ATATAATGGAA >hg38_chr4:150695156-150695166(+) AAACTATGGCT >hg38_chr4:150720186-150720196(+) acacaatggaa >hg38_chr4:150725695-150725705(-) ttacaatgtaa >hg38_chr4:150735480-150735490(+) ACACAATGAGG >hg38_chr4:150783322-150783332(+) GGATAATAGCG >hg38_chr4:150803625-150803635(+) GTACTATGGCA >hg38_chr4:150821557-150821567(+) CAACAATGCCT >hg38_chr4:150833929-150833939(+) caacaatgttc >hg38_chr4:150833963-150833973(-) ctacaatggaa >hg38_chr4:150854468-150854478(-) acacaatgcct >hg38_chr4:150854484-150854494(-) gaacaatgtgt >hg38_chr4:150854682-150854692(+) gaacaaaggca >hg38_chr4:150855338-150855348(-) TGACAATGATA >hg38_chr4:150855409-150855419(+) CTACAATAGCC >hg38_chr4:150890444-150890454(+) AAACAATGGCA >hg38_chr4:150982462-150982472(+) AAACAATGTAA >hg38_chr4:151004431-151004441(+) ggacaaaggga >hg38_chr4:151014541-151014551(+) AGACAATGCAC >hg38_chr4:151035414-151035424(-) GCACAAAGGGA >hg38_chr4:151049772-151049782(+) taacaataggt >hg38_chr4:151055459-151055469(-) tcacaatgggt >hg38_chr4:151099478-151099488(+) ACACGATGGCG >hg38_chr4:151120835-151120845(-) CAACAATGGTC >hg38_chr4:151134325-151134335(-) CCACAATGCAA >hg38_chr4:151134359-151134369(+) TTACAATGACA >hg38_chr4:151138110-151138120(-) ACACAATAGTG >hg38_chr4:151172564-151172574(+) TAACAATAATA >hg38_chr4:151226177-151226187(+) TCACAAAGGAC >hg38_chr4:151256439-151256449(+) taacaataaat >hg38_chr4:151271312-151271322(+) AGACAATGGTG >hg38_chr4:151290339-151290349(+) atacaatggaa >hg38_chr4:151305924-151305934(+) acacaatagac >hg38_chr4:151329385-151329395(+) GAACAATGTAA >hg38_chr4:151358527-151358537(-) AAACAATTGCG >hg38_chr4:151360775-151360785(+) TAACAATAAAT >hg38_chr4:151360840-151360850(-) ttactatGGGT >hg38_chr4:151410355-151410365(+) AAacaatgcct >hg38_chr4:151444498-151444508(-) atacaatgaag >hg38_chr4:151444550-151444560(-) ggataatggtc >hg38_chr4:151469347-151469357(+) caacaatagta >hg38_chr4:151503858-151503868(-) GAACAAAGGAT >hg38_chr4:151503938-151503948(+) AAACAATAGGA >hg38_chr4:151524721-151524731(-) CTACAATGGTT >hg38_chr4:151537791-151537801(-) AAACAATAGTC >hg38_chr4:151541338-151541348(+) GCATAATGGAG >hg38_chr4:151575387-151575397(+) GAACAAAGGGA >hg38_chr4:151583362-151583372(+) AGACAATGTCC >hg38_chr4:151644835-151644845(-) AGACAATGCTG >hg38_chr4:151653319-151653329(-) taacaatacac >hg38_chr4:151659740-151659750(-) GCATAATGGAG >hg38_chr4:151717369-151717379(+) TAACAATAATG >hg38_chr4:151717378-151717388(-) TGACAATGACA >hg38_chr4:151718945-151718955(-) GGACAATAGTA >hg38_chr4:151744014-151744024(+) aaacaatggct >hg38_chr4:151744084-151744094(-) ttataatggtg >hg38_chr4:151745627-151745637(-) AAACAATGCAA >hg38_chr4:151745717-151745727(+) TAATAATAGTA >hg38_chr4:151811572-151811582(-) TCACAATGTGA >hg38_chr4:151874439-151874449(-) AAACAATGCAA >hg38_chr4:151887165-151887175(-) GCACAATAGAA >hg38_chr4:151945253-151945263(+) ttacaaaggga >hg38_chr4:151945293-151945303(-) atacaatgaac >hg38_chr4:151957311-151957321(+) AGACAATGGAA >hg38_chr4:151967608-151967618(+) AAACAATATAA >hg38_chr4:151992911-151992921(+) TAACAATGAGG >hg38_chr4:152073493-152073503(+) AAACAATGGTA >hg38_chr4:152106307-152106317(+) TAACAATGACT >hg38_chr4:152130867-152130877(+) tcacaatagaa >hg38_chr4:152162188-152162198(-) CAACAATGGGG >hg38_chr4:152241822-152241832(+) ttacaatagca >hg38_chr4:152256941-152256951(+) ttacaatgacc >hg38_chr4:152273599-152273609(+) GAACAAAGGCA >hg38_chr4:152274186-152274196(+) ACACAATAGGA >hg38_chr4:152337628-152337638(-) TAATAATGTAA >hg38_chr4:152338494-152338504(+) aaacaataaaa >hg38_chr4:152338510-152338520(+) caacaatagaa >hg38_chr4:152338553-152338563(+) aaaCAAAGGCC >hg38_chr4:152348660-152348670(+) GAACAATGTAA >hg38_chr4:152348671-152348681(-) GGACAAAGGTA >hg38_chr4:152349477-152349487(+) AAATAATGGGG >hg38_chr4:152349516-152349526(-) TAACAATGAAA >hg38_chr4:152396527-152396537(+) CAATAATGGAT >hg38_chr4:152400155-152400165(+) tgacaatggaa >hg38_chr4:152400163-152400173(+) gaacaaaggca >hg38_chr4:152400174-152400184(+) gtacaatgtag >hg38_chr4:152415949-152415959(-) ggacaaaggaa >hg38_chr4:152417903-152417913(+) GGACAATAGGA >hg38_chr4:152423671-152423681(+) taacaatatag >hg38_chr4:152430246-152430256(+) CAACAATGCTT >hg38_chr4:152449777-152449787(+) GCACAAAGGTA >hg38_chr4:152449795-152449805(+) ATACAATGTAA >hg38_chr4:152452490-152452500(-) CAACAATGAAG >hg38_chr4:152468181-152468191(-) caacaatgtat >hg38_chr4:152470313-152470323(+) TAACAATGTCT >hg38_chr4:152501162-152501172(-) taataatggcc >hg38_chr4:152503810-152503820(-) atacagtggaa >hg38_chr4:152509743-152509753(+) AAACAATGACC >hg38_chr4:152509754-152509764(+) TCACAATGAGG >hg38_chr4:152511650-152511660(+) aaacaatagca >hg38_chr4:152511667-152511677(+) tcacaatggaa >hg38_chr4:152513362-152513372(-) TAACAATAGAA >hg38_chr4:152513846-152513856(-) AGACAATAGCA >hg38_chr4:152515454-152515464(+) caacaatgctt >hg38_chr4:152520979-152520989(+) aaacaaaggtg >hg38_chr4:152526180-152526190(+) ggacaatagac >hg38_chr4:152526188-152526198(-) tgacaaaggtc >hg38_chr4:152526382-152526392(-) ATACAATAAAT >hg38_chr4:152528968-152528978(-) GTATAATGGGG >hg38_chr4:152531626-152531636(-) ATACAATGGAG >hg38_chr4:152536794-152536804(-) ttacaatgcac >hg38_chr4:152538071-152538081(-) TGACAATGAAA >hg38_chr4:152538837-152538847(-) cgacaaagcga >hg38_chr4:152538863-152538873(+) aaacaatatat >hg38_chr4:152538868-152538878(-) aaacaatatat >hg38_chr4:152630553-152630563(-) TCACAAAGGAA >hg38_chr4:152630861-152630871(-) gaacaatatat >hg38_chr4:152631154-152631164(-) tgacaatgggc >hg38_chr4:152645651-152645661(-) AGACAATAGGA >hg38_chr4:152679038-152679048(+) AAACAATGGGC >hg38_chr4:152697097-152697107(+) gcacaatgaag >hg38_chr4:152737711-152737721(+) AGACAATGATC >hg38_chr4:152737724-152737734(+) GTATAATGGct >hg38_chr4:152773583-152773593(-) TAACTATGGTC >hg38_chr4:152779171-152779181(+) GAACAATGATC >hg38_chr4:152789804-152789814(-) tcacaatgaca >hg38_chr4:152832710-152832720(+) acactatggtc >hg38_chr4:152863219-152863229(-) TCACAATGAGC >hg38_chr4:152863386-152863396(-) ATACAATACAC >hg38_chr4:152874105-152874115(-) GAACAATGAAA >hg38_chr4:152885314-152885324(-) ACACAATGCAT >hg38_chr4:152887339-152887349(+) CTACAATAGAT >hg38_chr4:152896497-152896507(-) gtacaataaac >hg38_chr4:152907537-152907547(-) gaacaataaac >hg38_chr4:152927092-152927102(-) tgacaatggaa >hg38_chr4:153028750-153028760(-) agacaatgagg >hg38_chr4:153054286-153054296(-) caacaatggac >hg38_chr4:153072878-153072888(+) gtacaatacca >hg38_chr4:153075030-153075040(-) tcacaatgtac >hg38_chr4:153084344-153084354(+) GAACAATGCCT >hg38_chr4:153090046-153090056(+) ACACAATGAGG >hg38_chr4:153120672-153120682(+) taacaataaac >hg38_chr4:153166398-153166408(-) ctacaaaggtc >hg38_chr4:153167277-153167287(+) GCACAAAGGAA >hg38_chr4:153180912-153180922(-) ggacaatgcct >hg38_chr4:153185100-153185110(-) ATACAAAGGGC >hg38_chr4:153185581-153185591(-) ACACAAAGGCA >hg38_chr4:153189770-153189780(+) TAACAATAGCA >hg38_chr4:153189804-153189814(-) AAACAATAGAA >hg38_chr4:153190286-153190296(+) AAACAATAGCC >hg38_chr4:153204356-153204366(-) GGACAATGAGA >hg38_chr4:153205807-153205817(+) ATACAAAGGGT >hg38_chr4:153205860-153205870(-) ATACAATGGGT >hg38_chr4:153209676-153209686(+) AGACAAAGGGT >hg38_chr4:153210963-153210973(-) AGACAATGGTG >hg38_chr4:153212932-153212942(+) ATACAAAGGGA >hg38_chr4:153227668-153227678(+) ACACAATGGAG >hg38_chr4:153241521-153241531(+) taacaaagggg >hg38_chr4:153242882-153242892(+) atacaatggtg >hg38_chr4:153258616-153258626(-) AGACAATAATA >hg38_chr4:153258943-153258953(+) ACACAATGAGA >hg38_chr4:153260397-153260407(+) TAACAATCGGC >hg38_chr4:153260900-153260910(+) TAACAATGCAA >hg38_chr4:153331865-153331875(+) tgacaaaggta >hg38_chr4:153335208-153335218(+) GAACTATGGCT >hg38_chr4:153344734-153344744(-) TTACCATggcg >hg38_chr4:153418757-153418767(-) ATACAAAGGCC >hg38_chr4:153466137-153466147(-) GGACAATGCGA >hg38_chr4:153498649-153498659(+) GAACAATGAGG >hg38_chr4:153514273-153514283(+) ACACAATGCAC >hg38_chr4:153517683-153517693(+) AAACTATGGTA >hg38_chr4:153518105-153518115(+) TGACAATGGGA >hg38_chr4:153544067-153544077(-) CAACAATGGCT >hg38_chr4:153560753-153560763(-) ctacaatgtgt >hg38_chr4:153561763-153561773(-) GAACTATGGGC >hg38_chr4:153596814-153596824(+) GGACAATAGAA >hg38_chr4:153609588-153609598(-) AAACAATAAAA >hg38_chr4:153619434-153619444(+) GTACAATAGGG >hg38_chr4:153619520-153619530(+) AAACAAAGGTG >hg38_chr4:153629967-153629977(-) acacaatagag >hg38_chr4:153651241-153651251(+) tcacaataggg >hg38_chr4:153652584-153652594(+) GGACAATGAAC >hg38_chr4:153708248-153708258(-) CCACAATGGCA >hg38_chr4:153709125-153709135(+) agacaatgaga >hg38_chr4:153709133-153709143(+) agaCAATGGAC >hg38_chr4:153735304-153735314(-) ggacaaaggca >hg38_chr4:153735311-153735321(-) tgacaaaggac >hg38_chr4:153760151-153760161(-) CGACAATGGCT >hg38_chr4:153763646-153763656(-) ATACAATGGCA >hg38_chr4:153765768-153765778(+) TGACAATAGCT >hg38_chr4:153774537-153774547(-) GGACAAAGGAG >hg38_chr4:153782237-153782247(-) AAACAATGATT >hg38_chr4:153785745-153785755(+) CTATAATGGTG >hg38_chr4:153790392-153790402(-) TAACAATGCGC >hg38_chr4:153874024-153874034(+) tgacaatgcac >hg38_chr4:153907768-153907778(+) TCACAATGGCA >hg38_chr4:153935522-153935532(-) AAACAATGCCA >hg38_chr4:153942040-153942050(+) CTACAATGTCC >hg38_chr4:153963189-153963199(-) GGACAAAGGGA >hg38_chr4:153963758-153963768(+) TCACAATGGCT >hg38_chr4:153990310-153990320(-) ATACAATGGTG >hg38_chr4:153999331-153999341(-) ggacaatgttg >hg38_chr4:154030335-154030345(-) GAACAATAGAA >hg38_chr4:154071744-154071754(-) AGACAATGTCT >hg38_chr4:154074194-154074204(-) GTATAATGGTA >hg38_chr4:154116478-154116488(+) Taacaatacac >hg38_chr4:154166261-154166271(+) gcacaatgccc >hg38_chr4:154174013-154174023(-) gaacaataata >hg38_chr4:154174077-154174087(-) atacaatgtca >hg38_chr4:154176117-154176127(+) ctacaatgctg >hg38_chr4:154176134-154176144(-) gaacaatgtaa >hg38_chr4:154219442-154219452(-) gaacaatgaag >hg38_chr4:154231055-154231065(+) AGACAATGGAG >hg38_chr4:154255334-154255344(+) AAATAATGGCT >hg38_chr4:154269999-154270009(+) TCACAATAGAA >hg38_chr4:154364796-154364806(+) agacaatgtac >hg38_chr4:154364846-154364856(+) TTACAATAGAA >hg38_chr4:154375495-154375505(+) taacaatggaa >hg38_chr4:154383389-154383399(-) gtacaattgat >hg38_chr4:154387142-154387152(+) TTACAATAGCA >hg38_chr4:154399686-154399696(+) TGACAAAGGGA >hg38_chr4:154416510-154416520(-) AAACAATAGGA >hg38_chr4:154470088-154470098(+) TGACAATGAAT >hg38_chr4:154516487-154516497(-) ACACAATGAAT >hg38_chr4:154521852-154521862(-) AAATAATGGAA >hg38_chr4:154539775-154539785(+) AAACAGTGGAA >hg38_chr4:154540062-154540072(+) ACACAATAGAC >hg38_chr4:154541939-154541949(+) GAACAATGTAA >hg38_chr4:154552856-154552866(+) GCACAATGCTA >hg38_chr4:154590836-154590846(-) GAACAAAGGAC >hg38_chr4:154652318-154652328(-) TTACAATATAT >hg38_chr4:154707455-154707465(+) TAACAATGGCA >hg38_chr4:154708023-154708033(-) ACACAATGGAG >hg38_chr4:154738719-154738729(-) AGACAAAGGAC >hg38_chr4:154739230-154739240(+) AGACAATAGGC >hg38_chr4:154742605-154742615(-) CCACAATGGAG >hg38_chr4:154809920-154809930(+) aaacaataggt >hg38_chr4:154821049-154821059(+) taataatagta >hg38_chr4:154868085-154868095(+) tcacaatgagc >hg38_chr4:154868131-154868141(+) aaacaaaggaa >hg38_chr4:154870634-154870644(-) ACACAATGAAA >hg38_chr4:154870666-154870676(-) GAACAAAGGAA >hg38_chr4:154928468-154928478(-) GAACAATAAAG >hg38_chr4:154953951-154953961(-) gaacaatgtgg >hg38_chr4:154957363-154957373(-) atacaatggaa >hg38_chr4:154958358-154958368(-) ctacaatagtt >hg38_chr4:155115505-155115515(-) agacaataaca >hg38_chr4:155135436-155135446(-) TAACAATGCTT >hg38_chr4:155164842-155164852(+) TAACAAAGGTT >hg38_chr4:155202973-155202983(-) GAACAAAGGAA >hg38_chr4:155248164-155248174(-) TCACAATAGCA >hg38_chr4:155355453-155355463(+) TTACAATGTTT >hg38_chr4:155364134-155364144(+) ggacaatgaaa >hg38_chr4:155364718-155364728(+) tgacaatagca >hg38_chr4:155364726-155364736(+) gcacaatggat >hg38_chr4:155403987-155403997(-) GCACAATGCTG >hg38_chr4:155419452-155419462(+) gaacaattgcg >hg38_chr4:155419486-155419496(+) gcacaatggct >hg38_chr4:155449776-155449786(+) ATACTATGGCC >hg38_chr4:155449815-155449825(-) ATACAATGCTG >hg38_chr4:155516216-155516226(+) taacaatgtgc >hg38_chr4:155571442-155571452(-) GGATAATGGTT >hg38_chr4:155571488-155571498(+) ACACAATGTCA >hg38_chr4:155593912-155593922(-) CCACAATGAAC >hg38_chr4:155640505-155640515(+) gaacaataaag >hg38_chr4:155674983-155674993(-) gcacaatgcat >hg38_chr4:155675001-155675011(+) ttacaatggct >hg38_chr4:155675042-155675052(-) ttataatgggg >hg38_chr4:155714388-155714398(-) ctacaatgatg >hg38_chr4:155725329-155725339(-) TTACAATGAAC >hg38_chr4:155728797-155728807(-) taataatagta >hg38_chr4:155728825-155728835(+) GGATAATGGAC >hg38_chr4:155785492-155785502(-) TAACAATGTAT >hg38_chr4:155794137-155794147(-) TAACAATAGTA >hg38_chr4:155794889-155794899(-) TTACAATGAAC >hg38_chr4:155799820-155799830(-) TGACAATGCAA >hg38_chr4:156057471-156057481(-) TGACAATGTGA >hg38_chr4:156080221-156080231(-) caataatggac >hg38_chr4:156091610-156091620(-) AGACAATAGAC >hg38_chr4:156099028-156099038(-) CAACAATGAGG >hg38_chr4:156117395-156117405(+) aaataatggtg >hg38_chr4:156117441-156117451(-) tcacaatgcat >hg38_chr4:156138148-156138158(+) GCACAATGCAA >hg38_chr4:156141190-156141200(+) taacaatgaag >hg38_chr4:156146304-156146314(-) ctacaatgtaa >hg38_chr4:156159710-156159720(+) aaacaaaggga >hg38_chr4:156192925-156192935(+) AAACAATAACT >hg38_chr4:156221437-156221447(+) gtacaatataa >hg38_chr4:156221455-156221465(+) TAACAAAGGAA >hg38_chr4:156230972-156230982(-) AAACAATGATG >hg38_chr4:156236161-156236171(-) aaacaatgtct >hg38_chr4:156254057-156254067(+) CAACAATGTCT >hg38_chr4:156334693-156334703(+) TAACAATAGGC >hg38_chr4:156347191-156347201(-) aaacaataggg >hg38_chr4:156374250-156374260(-) GAACAAAGGCA >hg38_chr4:156374286-156374296(+) TTACAATGTGC >hg38_chr4:156403587-156403597(+) tgacaataata >hg38_chr4:156420731-156420741(+) GGACAATGAGG >hg38_chr4:156420772-156420782(-) ACACAATGTTG >hg38_chr4:156421868-156421878(-) gaacaatgaag >hg38_chr4:156422397-156422407(-) atacaatggct >hg38_chr4:156442783-156442793(+) GCACAATAGAA >hg38_chr4:156488051-156488061(+) CAACAATAGAG >hg38_chr4:156488117-156488127(-) TAACAATGTAA >hg38_chr4:156494816-156494826(+) ACACAATGTTT >hg38_chr4:156495552-156495562(-) AGACAATGATA >hg38_chr4:156527395-156527405(-) TAACAATATGA >hg38_chr4:156527800-156527810(-) atacactggtt >hg38_chr4:156527848-156527858(-) ttacaatgcac >hg38_chr4:156534291-156534301(-) CAACAATGgac >hg38_chr4:156534368-156534378(+) CTACAATGCTT >hg38_chr4:156555025-156555035(-) taacaataagc >hg38_chr4:156589046-156589056(-) acacaatggca >hg38_chr4:156634483-156634493(+) AGACAATAAGA >hg38_chr4:156677443-156677453(+) AGACAATAAAA >hg38_chr4:156710696-156710706(-) ttacaatgtct >hg38_chr4:156730625-156730635(+) GCACAATAGAC >hg38_chr4:156751609-156751619(-) ACACAATGCTG >hg38_chr4:156751609-156751619(-) ACACAATGCTG >hg38_chr4:156751847-156751857(+) TCACAATGATG >hg38_chr4:156752090-156752100(+) GTACAATAGTC >hg38_chr4:156768105-156768115(+) TAACAATATCC >hg38_chr4:156768118-156768128(-) TTACAATAAGA >hg38_chr4:156774374-156774384(+) TTACAATGACC >hg38_chr4:156779936-156779946(-) CAACAATGAGC >hg38_chr4:156790805-156790815(-) TCACAATAGCT >hg38_chr4:156791085-156791095(+) ATACAATGCAA >hg38_chr4:156794938-156794948(+) GAACAAAGGAC >hg38_chr4:156794945-156794955(+) GGACAAAGGAC >hg38_chr4:156808248-156808258(+) ctacaatgtga >hg38_chr4:156808260-156808270(-) gcacaatgcca >hg38_chr4:156809037-156809047(-) AAACAATAGGC >hg38_chr4:156809100-156809110(-) AGATAATGGAG >hg38_chr4:156813480-156813490(+) TTACAAAGGAC >hg38_chr4:156813567-156813577(+) GTACAATACAT >hg38_chr4:156861233-156861243(-) TCATAATGGGA >hg38_chr4:156861292-156861302(-) GTACAATACTC >hg38_chr4:156888278-156888288(+) GAACAATATAA >hg38_chr4:156888349-156888359(+) ATACAATGTTC >hg38_chr4:156896725-156896735(+) ttactatggca >hg38_chr4:156906637-156906647(+) GGACAAAGGTT >hg38_chr4:156921171-156921181(+) ctacaatgaag >hg38_chr4:156922256-156922266(-) GAACAATGTTT >hg38_chr4:156928959-156928969(+) ACACAACGGTC >hg38_chr4:156939075-156939085(+) AAACAATATAA >hg38_chr4:156939080-156939090(+) ATATAATGGCT >hg38_chr4:156942205-156942215(-) GCACAAAGGAA >hg38_chr4:156957784-156957794(-) GCACAAAGGGA >hg38_chr4:156959568-156959578(+) GAACAATGTTT >hg38_chr4:156974188-156974198(-) TGACAATGCAA >hg38_chr4:156976456-156976466(-) TCACAATGGGG >hg38_chr4:156978313-156978323(+) GTACTATGCGT >hg38_chr4:156982634-156982644(+) AAACAATGGAC >hg38_chr4:156983274-156983284(-) AAACAATATAG >hg38_chr4:156984220-156984230(+) agacaatggtg >hg38_chr4:157015507-157015517(+) GGACAGTGGTA >hg38_chr4:157035656-157035666(+) GAACAATAGCT >hg38_chr4:157035900-157035910(-) GGACAATAGTG >hg38_chr4:157104863-157104873(+) ttacaatcgtt >hg38_chr4:157121686-157121696(-) TAACAAAGGGA >hg38_chr4:157121710-157121720(+) CCACAATGAGA >hg38_chr4:157126916-157126926(-) TTACAAAGGAT >hg38_chr4:157167125-157167135(-) TAACAAAGGAG >hg38_chr4:157167178-157167188(+) TTATAATGGGG >hg38_chr4:157244725-157244735(+) GAACAATAATC >hg38_chr4:157291302-157291312(+) GAATAATGGTT >hg38_chr4:157293879-157293889(-) GCATAATGGAC >hg38_chr4:157318878-157318888(-) TAACAATGTGA >hg38_chr4:157376194-157376204(-) gaacaatgcct >hg38_chr4:157376206-157376216(+) agataatggga >hg38_chr4:157396576-157396586(-) tgacaatgaat >hg38_chr4:157446731-157446741(+) AAACAATGCGA >hg38_chr4:157499978-157499988(+) GAACAAAGGTG >hg38_chr4:157531133-157531143(+) AGACaatggca >hg38_chr4:157613865-157613875(+) taacaataggg >hg38_chr4:157669254-157669264(-) aaacaatgagc >hg38_chr4:157669332-157669342(-) atacaatgcta >hg38_chr4:157676109-157676119(-) CCACAATAGTA >hg38_chr4:157676172-157676182(+) GAACAATGAGA >hg38_chr4:157715467-157715477(-) gaacaatacta >hg38_chr4:157736053-157736063(-) TGACAATACGA >hg38_chr4:157762757-157762767(+) acacaatgccc >hg38_chr4:157779272-157779282(+) GCACAATGGGA >hg38_chr4:157790604-157790614(+) AAACAATAGTA >hg38_chr4:157837268-157837278(+) atacaatatgc >hg38_chr4:157837443-157837453(-) tcacaatagat >hg38_chr4:157860046-157860056(+) ctacaatgaca >hg38_chr4:157860838-157860848(-) tgacaatgaca >hg38_chr4:157877015-157877025(-) atacaatataa >hg38_chr4:157879986-157879996(+) GTACAATGAAC >hg38_chr4:157880052-157880062(+) GAACAATGACA >hg38_chr4:157898963-157898973(+) TCACAATGCCA >hg38_chr4:157945437-157945447(+) gcacaatggga >hg38_chr4:157995014-157995024(+) AAACAAAGGCA >hg38_chr4:158004381-158004391(+) GAACAATGCAA >hg38_chr4:158007167-158007177(-) ATACAATGAGT >hg38_chr4:158013088-158013098(-) AAACAATGCCT >hg38_chr4:158046510-158046520(+) GAACTATGACG >hg38_chr4:158059704-158059714(+) caacaatggac >hg38_chr4:158102248-158102258(+) agacaataata >hg38_chr4:158111209-158111219(-) GAACAAAGGAG >hg38_chr4:158111259-158111269(-) GAACAAAGGGC >hg38_chr4:158136964-158136974(+) TTACAATGCTC >hg38_chr4:158149311-158149321(-) GTACAATGTGA >hg38_chr4:158149330-158149340(-) CCACAATGGTA >hg38_chr4:158149360-158149370(+) ACACAATAGAT >hg38_chr4:158161788-158161798(-) TAACAAAGGCT >hg38_chr4:158172086-158172096(-) TAACAATGTAA >hg38_chr4:158206757-158206767(-) ctacaatagaa >hg38_chr4:158253525-158253535(+) TAACAATGACA >hg38_chr4:158316253-158316263(+) AAACAATAAAC >hg38_chr4:158316264-158316274(+) TTACAATGGCT >hg38_chr4:158322425-158322435(+) GGACAATGTCA >hg38_chr4:158337588-158337598(-) caacaatagaa >hg38_chr4:158337606-158337616(-) atacaatagaa >hg38_chr4:158341395-158341405(+) TAACAGTGGCA >hg38_chr4:158342040-158342050(+) TAACAATGCAA >hg38_chr4:158342081-158342091(-) TGACAATGTTA >hg38_chr4:158376047-158376057(-) GAACAATGGTT >hg38_chr4:158396515-158396525(+) ctacaatggtg >hg38_chr4:158421004-158421014(-) AAACAATGACG >hg38_chr4:158444934-158444944(-) ACACAATGCTT >hg38_chr4:158512659-158512669(+) GCACAATGAAC >hg38_chr4:158512740-158512750(+) CAATAATGGTC >hg38_chr4:158512930-158512940(+) GTACAATACCA >hg38_chr4:158512940-158512950(+) AGACAATGTCT >hg38_chr4:158520006-158520016(+) ctacaatgtaa >hg38_chr4:158535996-158536006(+) GGACAATAGAT >hg38_chr4:158536050-158536060(-) AGATAATGGGT >hg38_chr4:158537980-158537990(+) gaacaatgcag >hg38_chr4:158574227-158574237(+) TAACAATGCTA >hg38_chr4:158594467-158594477(+) tgacaatgact >hg38_chr4:158594479-158594489(+) tgacaataggt >hg38_chr4:158618354-158618364(-) AAACAAAGGCA >hg38_chr4:158618776-158618786(+) GGACAATAAAC >hg38_chr4:158656655-158656665(-) gaacaaagggc >hg38_chr4:158671088-158671098(+) AGACAATGGCA >hg38_chr4:158702104-158702114(-) TTACAAAGGAT >hg38_chr4:158702669-158702679(-) acacaatggaa >hg38_chr4:158703026-158703036(-) ccacaatgaga >hg38_chr4:158704461-158704471(-) gaacaaaggag >hg38_chr4:158704476-158704486(-) aaacaaaggga >hg38_chr4:158768619-158768629(+) GAACAAAGCGA >hg38_chr4:158769714-158769724(-) ACACAATAGGT >hg38_chr4:158775641-158775651(+) TTATAATGGAC >hg38_chr4:158775661-158775671(+) GGACAATGTGC >hg38_chr4:158800247-158800257(-) TAACAATGAGA >hg38_chr4:158857097-158857107(-) AAACAATAGCC >hg38_chr4:158911861-158911871(+) GGACAATGAGA >hg38_chr4:158914559-158914569(-) GCACAATGAAC >hg38_chr4:158914903-158914913(-) AGAGAATGGCG >hg38_chr4:158958790-158958800(+) TCACAAAGGAA >hg38_chr4:158961417-158961427(+) GAACAAAGGCA >hg38_chr4:159016841-159016851(+) CCACAATGCAT >hg38_chr4:159039708-159039718(-) AAACAATAGGG >hg38_chr4:159048346-159048356(-) GCACAATGACG >hg38_chr4:159056363-159056373(-) GAACAATAGAG >hg38_chr4:159058708-159058718(+) AAATAATGGAA >hg38_chr4:159071946-159071956(-) aaacaatgcta >hg38_chr4:159072014-159072024(+) TAACAATAGCA >hg38_chr4:159073003-159073013(-) TAACAATACAT >hg38_chr4:159073088-159073098(+) ACACAATGTCA >hg38_chr4:159107368-159107378(+) ATACAATAAAA >hg38_chr4:159108064-159108074(+) TAACAATGACA >hg38_chr4:159146624-159146634(+) taataatagta >hg38_chr4:159159779-159159789(+) GAACAATAGTG >hg38_chr4:159175340-159175350(-) GAACAATGTAA >hg38_chr4:159179030-159179040(-) AAACCATGGTA >hg38_chr4:159201327-159201337(-) TAACAATGGCC >hg38_chr4:159201394-159201404(+) CAACAATAGTA >hg38_chr4:159210053-159210063(-) caataatggac >hg38_chr4:159214459-159214469(-) GTACAATAGCA >hg38_chr4:159229323-159229333(+) ATACAAAGGGC >hg38_chr4:159235430-159235440(-) GCACAATGAAA >hg38_chr4:159242160-159242170(-) AAACAAAGGAA >hg38_chr4:159242706-159242716(-) GGACAATGGTA >hg38_chr4:159248538-159248548(+) GAACAATGGGT >hg38_chr4:159258705-159258715(-) ACACAATGGCA >hg38_chr4:159309201-159309211(-) aaacaaaggct >hg38_chr4:159330350-159330360(+) TAACAATGCAT >hg38_chr4:159370395-159370405(-) AAACAATAGGG >hg38_chr4:159380018-159380028(-) ggacaatagag >hg38_chr4:159383412-159383422(-) GCATAATGGAA >hg38_chr4:159384094-159384104(-) TTACAATAAAC >hg38_chr4:159384129-159384139(+) GAACAATGTAG >hg38_chr4:159469388-159469398(+) GTACAATGTGC >hg38_chr4:159489269-159489279(+) AGATAATGGCA >hg38_chr4:159503464-159503474(-) ACACAAAGGGA >hg38_chr4:159509077-159509087(-) CAACAATGTTG >hg38_chr4:159540683-159540693(-) TAACAATGCTA >hg38_chr4:159598225-159598235(-) ATACAATAGTC >hg38_chr4:159685523-159685533(+) TGACAATGGCA >hg38_chr4:159686410-159686420(+) atACAATGCCC >hg38_chr4:159703112-159703122(+) TAACTATGGTG >hg38_chr4:159705611-159705621(+) GAACAATATAG >hg38_chr4:159764473-159764483(+) GAACAATGCAA >hg38_chr4:159779386-159779396(+) AGACAATGCTT >hg38_chr4:159779414-159779424(-) TAACAATGGAT >hg38_chr4:159906639-159906649(+) CAACAATAGTT >hg38_chr4:159950938-159950948(+) CAACAATAGTA >hg38_chr4:159955456-159955466(-) aaacaatagcc >hg38_chr4:159978885-159978895(-) aaacaatgcca >hg38_chr4:160022667-160022677(-) GGATAATGGTA >hg38_chr4:160038624-160038634(+) ATACAATGAGT >hg38_chr4:160231951-160231961(+) caacaatgtct >hg38_chr4:160319389-160319399(-) agacaatgatg >hg38_chr4:160323291-160323301(-) CAACAAAGGAC >hg38_chr4:160360398-160360408(-) acacaatgctt >hg38_chr4:160412663-160412673(-) tcacaataggg >hg38_chr4:160485555-160485565(+) TGACAATGCCT >hg38_chr4:160485562-160485572(-) TAACAATAGGC >hg38_chr4:160594806-160594816(-) GAACAATGCCA >hg38_chr4:160649152-160649162(+) ggacaatggag >hg38_chr4:160709670-160709680(+) ctataatggcc >hg38_chr4:160880359-160880369(+) aaacaatgcag >hg38_chr4:160907258-160907268(-) GGACAATGATA >hg38_chr4:160907272-160907282(+) GGACAATGGAA >hg38_chr4:160907325-160907335(+) TAATAATGTAT >hg38_chr4:160986788-160986798(+) CAACAATGACA >hg38_chr4:161098969-161098979(-) ACACAATGGCC >hg38_chr4:161111139-161111149(+) ggacaatgttt >hg38_chr4:161111149-161111159(-) taacaatgcca >hg38_chr4:161111180-161111190(+) acacaatggtg >hg38_chr4:161189976-161189986(+) TAACAATGTGG >hg38_chr4:161251963-161251973(-) atataatggac >hg38_chr4:161336175-161336185(-) gaacaataggc >hg38_chr4:161345912-161345922(-) AAACAATAGCT >hg38_chr4:161393713-161393723(+) taacaatgaag >hg38_chr4:161455798-161455808(-) GAACAATGAAA >hg38_chr4:161455818-161455828(-) GAACAATGGTT >hg38_chr4:161494157-161494167(-) TGACAATGATA >hg38_chr4:161522137-161522147(-) TGACAATGGAA >hg38_chr4:161525320-161525330(-) GAACAATGGGT >hg38_chr4:161525352-161525362(+) TAACAATAAAA >hg38_chr4:161578056-161578066(+) CAacaatgttc >hg38_chr4:161580488-161580498(+) agacaatgaaa >hg38_chr4:161580552-161580562(+) taactatggaa >hg38_chr4:161581549-161581559(-) caacaatagct >hg38_chr4:161582025-161582035(+) AGACAATGGCA >hg38_chr4:161612781-161612791(+) ACACAATAGAA >hg38_chr4:161642244-161642254(+) atacaatacaa >hg38_chr4:161667092-161667102(+) TGACAATAGTG >hg38_chr4:161702428-161702438(+) AGATAATGGCA >hg38_chr4:161710681-161710691(-) GAACAATGGGA >hg38_chr4:161713904-161713914(-) TGACAATAGAT >hg38_chr4:161713912-161713922(-) GAACAATGTGA >hg38_chr4:161715308-161715318(-) GAACTATGGGT >hg38_chr4:161763053-161763063(+) AAACAATAAAA >hg38_chr4:161765025-161765035(-) CAACAATGATC >hg38_chr4:161857126-161857136(-) tcacaatgacc >hg38_chr4:161906655-161906665(+) TAACAAAGGCA >hg38_chr4:162021044-162021054(-) GAACAATATAA >hg38_chr4:162061227-162061237(+) AGACAATGGAA >hg38_chr4:162063076-162063086(-) TCACAATAGAT >hg38_chr4:162083128-162083138(+) AGACAATATAC >hg38_chr4:162083145-162083155(-) CAACAATGGAT >hg38_chr4:162104912-162104922(+) AAACAATACTA >hg38_chr4:162121395-162121405(-) TTACAATGCAG >hg38_chr4:162122235-162122245(+) GAACAATAGGT >hg38_chr4:162150504-162150514(+) GTACAATGAAG >hg38_chr4:162220200-162220210(-) GAACAATGACA >hg38_chr4:162221331-162221341(+) GTACAATTGAT >hg38_chr4:162304388-162304398(+) TCACAATGCAC >hg38_chr4:162362497-162362507(+) GAACAATGGCT >hg38_chr4:162364863-162364873(+) AGACACTGGTA >hg38_chr4:162364878-162364888(-) TGACAATGGCT >hg38_chr4:162403610-162403620(-) TGACAATAGCA >hg38_chr4:162610294-162610304(+) gaacaaagggg >hg38_chr4:162662166-162662176(-) taacaatagtc >hg38_chr4:162935470-162935480(-) GGACTATGGAA >hg38_chr4:162961539-162961549(-) tgacaatgatt >hg38_chr4:163047039-163047049(-) TTACAATAGCC >hg38_chr4:163087374-163087384(+) AAACAATGGTA >hg38_chr4:163122431-163122441(+) AAACAATGGAG >hg38_chr4:163145031-163145041(+) atacaatgggg >hg38_chr4:163156670-163156680(+) TAACAATATCA >hg38_chr4:163163011-163163021(+) AGACAATGATA >hg38_chr4:163169953-163169963(+) TGACAATGACA >hg38_chr4:163169971-163169981(+) TTACAATGCGT >hg38_chr4:163219362-163219372(+) gtacaataaaa >hg38_chr4:163306612-163306622(+) taacaataatg >hg38_chr4:163317266-163317276(-) agacaatggtt >hg38_chr4:163334395-163334405(-) ATACAATGGCC >hg38_chr4:163345112-163345122(-) TCACAAAGGAT >hg38_chr4:163367224-163367234(+) TAACAATGTCT >hg38_chr4:163384379-163384389(+) agacaataaat >hg38_chr4:163392142-163392152(+) GAACAATAGTC >hg38_chr4:163392161-163392171(+) CAACAATGGCA >hg38_chr4:163401549-163401559(-) TAACAAAGGGA >hg38_chr4:163402392-163402402(+) ACACAATGGTT >hg38_chr4:163495742-163495752(-) ATACAATGAAA >hg38_chr4:163531845-163531855(+) aaacaatgaca >hg38_chr4:163532304-163532314(+) taacaaaggag >hg38_chr4:163532368-163532378(+) taacaatatct >hg38_chr4:163536023-163536033(-) gcactatggac >hg38_chr4:163537305-163537315(-) TAACAATAGCA >hg38_chr4:163537347-163537357(+) GGACAATGATA >hg38_chr4:163563746-163563756(+) taacaatgcac >hg38_chr4:163564295-163564305(+) CAATAATGGAA >hg38_chr4:163577128-163577138(-) acacaatagaa >hg38_chr4:163579224-163579234(-) TTACAATGCCA >hg38_chr4:163579685-163579695(-) TCACAATGCAT >hg38_chr4:163607587-163607597(+) TAACAATGTGA >hg38_chr4:163833793-163833803(+) TCACAATGGAA >hg38_chr4:164058856-164058866(+) tcacaatgatg >hg38_chr4:164095020-164095030(-) TGATAATGGGC >hg38_chr4:164095042-164095052(+) TAACAATGCTT >hg38_chr4:164095052-164095062(+) TAACAATGGCC >hg38_chr4:164251518-164251528(+) AAACAATGATC >hg38_chr4:164318699-164318709(-) TAACAATAGCC >hg38_chr4:164383944-164383954(-) TGACAAAGGAT >hg38_chr4:164481502-164481512(+) aaacaatgtgt >hg38_chr4:164527031-164527041(+) TTACAATGAAT >hg38_chr4:164664650-164664660(+) GAacaatggtg >hg38_chr4:164664668-164664678(-) aaacaatgctg >hg38_chr4:164664683-164664693(-) caacaatgcat >hg38_chr4:164706833-164706843(-) gaacaatggac >hg38_chr4:164748530-164748540(+) AAACAATGCAC >hg38_chr4:164770280-164770290(-) GAACAATGTAT >hg38_chr4:164780591-164780601(+) CTACAATGAGG >hg38_chr4:164819585-164819595(+) atataatggtc >hg38_chr4:164819756-164819766(-) AAACAATAGGG >hg38_chr4:164821982-164821992(-) ATACAATAGAG >hg38_chr4:164848499-164848509(-) CAACAATAGCA >hg38_chr4:164856702-164856712(+) caacaatagtt >hg38_chr4:164867357-164867367(+) GGACAAAGGGT >hg38_chr4:164875082-164875092(-) Gaacaatgcaa >hg38_chr4:164878501-164878511(-) AAACAATGAGA >hg38_chr4:164878848-164878858(-) AGACAATGCAA >hg38_chr4:164880650-164880660(+) TCACAATAGCA >hg38_chr4:164931577-164931587(-) AGACAATATTA >hg38_chr4:164935460-164935470(-) aaacaatagct >hg38_chr4:164949898-164949908(+) CTACAATGggc >hg38_chr4:164949905-164949915(-) tcacaatgccC >hg38_chr4:164950282-164950292(+) TTACAATCGGT >hg38_chr4:164950289-164950299(-) TGACAATACCG >hg38_chr4:165076382-165076392(-) tgataatggga >hg38_chr4:165199878-165199888(+) GAACAATGTAG >hg38_chr4:165207117-165207127(+) GGACACTGGAC >hg38_chr4:165207158-165207168(-) TTACAAAGGGT >hg38_chr4:165207872-165207882(+) CCACAATGGAG >hg38_chr4:165209660-165209670(+) GAACAATGAGA >hg38_chr4:165209678-165209688(+) ATATAATGGAA >hg38_chr4:165256105-165256115(+) TTACAATGTGC >hg38_chr4:165287717-165287727(-) ccacaatgaga >hg38_chr4:165295103-165295113(-) TGACAAAGGTA >hg38_chr4:165329459-165329469(+) GCACAATGAAT >hg38_chr4:165333080-165333090(-) GAACAATAGGG >hg38_chr4:165333095-165333105(-) GAACAATAGCT >hg38_chr4:165335666-165335676(+) AGACAAAGGAC >hg38_chr4:165363602-165363612(+) GCACAATAGCA >hg38_chr4:165363630-165363640(-) TAACAATGGCT >hg38_chr4:165386574-165386584(+) GCATAATGGAG >hg38_chr4:165417413-165417423(+) caacaatgtaa >hg38_chr4:165439527-165439537(+) GAACAATGTGT >hg38_chr4:165525637-165525647(+) AAACAATAGGG >hg38_chr4:165533211-165533221(-) CGACAGTGGAG >hg38_chr4:165549508-165549518(-) ACACAATGCAA >hg38_chr4:165601162-165601172(-) AGATAATGGAC >hg38_chr4:165601487-165601497(+) TCATAATGGAG >hg38_chr4:165610644-165610654(-) AGATAATGGTG >hg38_chr4:165610981-165610991(-) CAACAATAGGT >hg38_chr4:165612185-165612195(+) ACACAATGGCT >hg38_chr4:165655411-165655421(-) ttacaatagct >hg38_chr4:165724992-165725002(+) TCACAATAGCC >hg38_chr4:165737762-165737772(-) GAACAATGACG >hg38_chr4:165737819-165737829(-) TAACAATAGAC >hg38_chr4:165804643-165804653(+) GTACAATAAAC >hg38_chr4:165804661-165804671(+) ATACTATGGGA >hg38_chr4:165811209-165811219(+) AAACAATAAGA >hg38_chr4:165829497-165829507(-) TAACAATGATA >hg38_chr4:165868187-165868197(-) TAACAATGGCC >hg38_chr4:165894382-165894392(-) ttacaataaga >hg38_chr4:165930946-165930956(+) TAACAATaaca >hg38_chr4:165930952-165930962(+) Taacaatagca >hg38_chr4:165967959-165967969(+) ATACAATGcct >hg38_chr4:165984480-165984490(-) AGACAAAGGCA >hg38_chr4:165984510-165984520(-) TCACAATGAAG >hg38_chr4:166017873-166017883(+) GGACAATGATA >hg38_chr4:166020053-166020063(-) CAACAATGCAT >hg38_chr4:166042626-166042636(+) AAACAATAAAC >hg38_chr4:166042637-166042647(-) GCACAATGCCA >hg38_chr4:166064903-166064913(-) TAACAATGCAT >hg38_chr4:166101762-166101772(-) AGACAATAGCA >hg38_chr4:166153397-166153407(+) AGACAATGCAT >hg38_chr4:166164029-166164039(-) ACACAATGATG >hg38_chr4:166174847-166174857(+) gcataatggtg >hg38_chr4:166175294-166175304(-) GTACAATGCAT >hg38_chr4:166190384-166190394(+) GGACAATGACA >hg38_chr4:166193431-166193441(-) CCACAATGACC >hg38_chr4:166284420-166284430(+) atacaaAGGTT >hg38_chr4:166319475-166319485(-) gaacaaaggct >hg38_chr4:166352263-166352273(+) GAACAATAGTC >hg38_chr4:166377093-166377103(-) gaacaaaggac >hg38_chr4:166387163-166387173(+) TAACAATATCT >hg38_chr4:166404116-166404126(+) ccacaatgaga >hg38_chr4:166408403-166408413(+) ttacaaaggag >hg38_chr4:166493979-166493989(+) CTACAATAGAA >hg38_chr4:166506234-166506244(+) CAACAATGAAG >hg38_chr4:166896481-166896491(+) gaacaaaggaa >hg38_chr4:166946260-166946270(-) aaacaaaggag >hg38_chr4:166946330-166946340(+) atacaatgtct >hg38_chr4:167100230-167100240(-) TAACAATAGCT >hg38_chr4:167122574-167122584(-) TGACAATGTTT >hg38_chr4:167122582-167122592(-) GTACAATGTGA >hg38_chr4:167210372-167210382(-) TGACAatggaa >hg38_chr4:167293399-167293409(+) AAACAATGCCA >hg38_chr4:167293405-167293415(-) TTATAATGGCA >hg38_chr4:167389796-167389806(-) aaacaaagggc >hg38_chr4:167476010-167476020(-) CAACAAAGGTA >hg38_chr4:167476026-167476036(+) taacaaaggtt >hg38_chr4:167535501-167535511(-) taacaatgggg >hg38_chr4:167721896-167721906(-) GAACAATGACC >hg38_chr4:167722525-167722535(-) TAATAATGGCC >hg38_chr4:167722582-167722592(+) TCACAATAGTG >hg38_chr4:167763478-167763488(+) gcacaatagtt >hg38_chr4:167807632-167807642(+) TGACAATGAAA >hg38_chr4:167811479-167811489(+) AAACAATAGCA >hg38_chr4:167811494-167811504(+) GGACAATAAAA >hg38_chr4:167842529-167842539(-) GAAcaataatc >hg38_chr4:167842546-167842556(-) TGACAATGGTT >hg38_chr4:167897314-167897324(-) ccacaatgaac >hg38_chr4:167930267-167930277(+) agacaatggga >hg38_chr4:167933166-167933176(+) ACACAATATAC >hg38_chr4:167933224-167933234(-) AGACAATGCCC >hg38_chr4:167965716-167965726(+) atacaatgcat >hg38_chr4:167965724-167965734(-) taacaataatg >hg38_chr4:168017024-168017034(-) aaacaaaggaa >hg38_chr4:168025210-168025220(-) aaacaaagggt >hg38_chr4:168025840-168025850(-) ACACAATGGCA >hg38_chr4:168046292-168046302(-) AGACAATAAAA >hg38_chr4:168062619-168062629(+) acacaatggga >hg38_chr4:168080054-168080064(-) aaataatggtc >hg38_chr4:168098884-168098894(+) ATACAGTGGGA >hg38_chr4:168099050-168099060(-) CTACAATGCCT >hg38_chr4:168129223-168129233(+) AAACAATAGAG >hg38_chr4:168133743-168133753(+) gaacaatagtt >hg38_chr4:168139533-168139543(+) GCACAAAGGAT >hg38_chr4:168148664-168148674(-) atacaatggca >hg38_chr4:168149208-168149218(-) ggacaatgctg >hg38_chr4:168151998-168152008(-) ttacaatatag >hg38_chr4:168152013-168152023(+) cgactatgtaa >hg38_chr4:168179917-168179927(-) AGACAAAGGTA >hg38_chr4:168201719-168201729(-) caacaatggac >hg38_chr4:168276895-168276905(+) AAACAATGCAT >hg38_chr4:168276936-168276946(+) AAACAATAGGA >hg38_chr4:168276960-168276970(+) AAACAATAGGT >hg38_chr4:168314080-168314090(+) AGACAATGCGT >hg38_chr4:168319448-168319458(+) TAACAATACAT >hg38_chr4:168416111-168416121(-) CGACGATGGCA >hg38_chr4:168441498-168441508(+) TAACAATAAAA >hg38_chr4:168453287-168453297(+) GTACAATAAAG >hg38_chr4:168453311-168453321(+) TCACAATGTCA >hg38_chr4:168521743-168521753(+) ATACAATACAT >hg38_chr4:168614954-168614964(+) ACACAATGGCA >hg38_chr4:168632651-168632661(+) ATACAATAGGG >hg38_chr4:168716144-168716154(-) TAACAAAGGGG >hg38_chr4:168716172-168716182(-) AGACAAAGGAA >hg38_chr4:168725014-168725024(+) TCACAATGTTC >hg38_chr4:168725066-168725076(+) CCACAATAGGT >hg38_chr4:168736880-168736890(+) AAACAGTGGGA >hg38_chr4:168744270-168744280(-) GAACAAAGGGG >hg38_chr4:168804052-168804062(-) TAACAATGTAA >hg38_chr4:168823960-168823970(+) GAACAATGACA >hg38_chr4:168848391-168848401(-) GAACAATGGCT >hg38_chr4:168870935-168870945(-) ATACAATGTAA >hg38_chr4:168879841-168879851(-) GGACAAAGGTT >hg38_chr4:168903419-168903429(+) AAATAATGGCA >hg38_chr4:168920690-168920700(-) TCACAATGGAA >hg38_chr4:168926790-168926800(+) CTACAATGATT >hg38_chr4:168945393-168945403(+) AGACAGTGGTA >hg38_chr4:168958760-168958770(-) ccacaatgaga >hg38_chr4:168992391-168992401(+) gtacaatgggt >hg38_chr4:169000010-169000020(-) TAACAATAACT >hg38_chr4:169011695-169011705(-) ctacaatgctt >hg38_chr4:169046086-169046096(+) taacactggag >hg38_chr4:169046132-169046142(+) gaacaatgcac >hg38_chr4:169070144-169070154(-) TAACAAAGGGA >hg38_chr4:169122767-169122777(+) AAACAATAGTA >hg38_chr4:169122792-169122802(-) CAACAATGGTC >hg38_chr4:169144696-169144706(+) GAACTATGGTC >hg38_chr4:169150068-169150078(-) taacaatggga >hg38_chr4:169153556-169153566(+) AGACAATCGAC >hg38_chr4:169159737-169159747(+) TGACAATGCAT >hg38_chr4:169169000-169169010(+) CTACAATGTCA >hg38_chr4:169198818-169198828(+) aaacaatagag >hg38_chr4:169208326-169208336(-) GCACAATGTGT >hg38_chr4:169244349-169244359(+) ACACAATGGAC >hg38_chr4:169247136-169247146(+) GGACAAAGGTT >hg38_chr4:169251740-169251750(+) GAACAAAGGTG >hg38_chr4:169261802-169261812(+) gaacaaaggag >hg38_chr4:169334402-169334412(-) aaacaataggg >hg38_chr4:169373504-169373514(+) agacaataggc >hg38_chr4:169373526-169373536(-) gtacaatgcag >hg38_chr4:169373552-169373562(-) caacaatggac >hg38_chr4:169397887-169397897(-) caacaatgtgc >hg38_chr4:169405140-169405150(-) taacaatgcat >hg38_chr4:169405158-169405168(-) caacaatgaaa >hg38_chr4:169412171-169412181(+) TTACAATAGCT >hg38_chr4:169412514-169412524(-) AGATAATGGTG >hg38_chr4:169423864-169423874(+) TGACAATGACA >hg38_chr4:169461121-169461131(-) caacaatggat >hg38_chr4:169467457-169467467(+) taacaatgagc >hg38_chr4:169489514-169489524(-) caacaatgact >hg38_chr4:169509916-169509926(+) agacaatatta >hg38_chr4:169510598-169510608(+) CTACAATGCCC >hg38_chr4:169540935-169540945(-) TTACAATAAGA >hg38_chr4:169598889-169598899(+) TAACAATATAT >hg38_chr4:169611173-169611183(+) ATACAATGTCA >hg38_chr4:169613837-169613847(+) aaacaatgaaa >hg38_chr4:169613894-169613904(+) atacaaagata >hg38_chr4:169613903-169613913(-) tatcaatggta >hg38_chr4:169644644-169644654(+) atacaatgaac >hg38_chr4:169644680-169644690(+) taataatggcc >hg38_chr4:169659579-169659589(+) CTACAATGTCT >hg38_chr4:169659901-169659911(-) AGACAATGCCC >hg38_chr4:169708433-169708443(+) ATACAATAGTA >hg38_chr4:169800791-169800801(+) ACACAATGCTA >hg38_chr4:169881885-169881895(+) tgacaatggga >hg38_chr4:169910493-169910503(-) AAACAAAGGAA >hg38_chr4:169910531-169910541(+) TTACAATGTGG >hg38_chr4:169910552-169910562(-) TTACAAAGGGC >hg38_chr4:170005372-170005382(-) AGACAAAGGAC >hg38_chr4:170009597-170009607(+) CTACAATGGAC >hg38_chr4:170063448-170063458(+) GGATAATGGCA >hg38_chr4:170089455-170089465(+) gaacaaaggct >hg38_chr4:170176916-170176926(+) ggacaatgctc >hg38_chr4:170183955-170183965(+) TTACAATGGAT >hg38_chr4:170229152-170229162(-) AAACAAAGGAC >hg38_chr4:170229201-170229211(+) AAACAATGACT >hg38_chr4:170262582-170262592(+) TAACAATATGT >hg38_chr4:170305724-170305734(-) gaacaatgagt >hg38_chr4:170374956-170374966(-) gtacaatgata >hg38_chr4:170405229-170405239(-) TAACAATATAG >hg38_chr4:170465952-170465962(-) gaacagtggaa >hg38_chr4:170518682-170518692(-) aaacaaaggta >hg38_chr4:170564345-170564355(-) CGACAATGCAA >hg38_chr4:170675664-170675674(-) TCACAATGACT >hg38_chr4:170865838-170865848(-) gaacaaaggag >hg38_chr4:170885155-170885165(+) TTACAATGTGG >hg38_chr4:171008152-171008162(+) aaacaatggcc >hg38_chr4:171008439-171008449(+) taacaataaaa >hg38_chr4:171008589-171008599(+) ttacagtggaa >hg38_chr4:171023124-171023134(+) ATATAATGAAC >hg38_chr4:171023153-171023163(-) CAACAATGGTG >hg38_chr4:171023174-171023184(-) ACACAAAGGAC >hg38_chr4:171111225-171111235(+) AAACAAAGGAA >hg38_chr4:171293651-171293661(+) GAACAAAGGTC >hg38_chr4:171293666-171293676(+) GAACAATGATT >hg38_chr4:171345235-171345245(+) ACACAATATAA >hg38_chr4:171345240-171345250(+) ATATAATGGAA >hg38_chr4:171478311-171478321(+) gcacaatgtat >hg38_chr4:171601940-171601950(+) GTACAATAAGC >hg38_chr4:171710923-171710933(-) GAACAATGGGG >hg38_chr4:171843387-171843397(+) TGACAATGCCA >hg38_chr4:171918141-171918151(+) agacaatagtc >hg38_chr4:171938033-171938043(+) TAACAAAGGCC >hg38_chr4:171993151-171993161(+) AGACAATGGAA >hg38_chr4:171993437-171993447(+) ggaCAACGGAG >hg38_chr4:172000827-172000837(-) ACACAACGGGA >hg38_chr4:172003044-172003054(-) GTACAATACCA >hg38_chr4:172044720-172044730(-) TTACAAAGGAT >hg38_chr4:172113742-172113752(+) AGACAATGACC >hg38_chr4:172117766-172117776(-) caacaatgttg >hg38_chr4:172134982-172134992(+) ggacaatgtag >hg38_chr4:172144051-172144061(-) GAACAATGTGT >hg38_chr4:172153731-172153741(+) GTACAATACAA >hg38_chr4:172218297-172218307(-) taacaaaggcc >hg38_chr4:172218330-172218340(+) ttacaaaggtt >hg38_chr4:172234304-172234314(+) taataatggct >hg38_chr4:172234380-172234390(-) taacaatagac >hg38_chr4:172244180-172244190(-) TGACAAAGGAA >hg38_chr4:172253594-172253604(+) GTATAATGGGT >hg38_chr4:172289305-172289315(-) AAACAATGATG >hg38_chr4:172293210-172293220(+) TGACAATAGCA >hg38_chr4:172329727-172329737(+) caacaatggct >hg38_chr4:172515620-172515630(+) taacaatagag >hg38_chr4:172539529-172539539(+) TAACAATAAAA >hg38_chr4:172545523-172545533(+) GTACAATGAGG >hg38_chr4:172602951-172602961(-) gcacaatggca >hg38_chr4:172604953-172604963(-) AAACAAAGGGA >hg38_chr4:172623062-172623072(+) atacaataaga >hg38_chr4:172652489-172652499(-) gcacaatgtct >hg38_chr4:172666618-172666628(+) aaacaatgatg >hg38_chr4:172721262-172721272(+) CCACAATGATA >hg38_chr4:172751075-172751085(-) CAACAATAGCC >hg38_chr4:172777980-172777990(-) AGACAATGGAA >hg38_chr4:172778212-172778222(-) TCACAATGCAG >hg38_chr4:172791110-172791120(+) AGACAATGTAA >hg38_chr4:172810450-172810460(-) TTACAATAAAA >hg38_chr4:172810503-172810513(+) TCACAATAGCT >hg38_chr4:172814962-172814972(-) TAACAAAGGTC >hg38_chr4:172826574-172826584(-) GCACAAAGGAT >hg38_chr4:172840621-172840631(+) gcacaatgaac >hg38_chr4:172840641-172840651(-) gcacaatgaac >hg38_chr4:172840684-172840694(+) ACACAATATAT >hg38_chr4:172844780-172844790(-) CAACAATGAGC >hg38_chr4:172868511-172868521(-) GTACAATAGTA >hg38_chr4:172868526-172868536(+) GAACAATGCAC >hg38_chr4:172890805-172890815(-) acacaataata >hg38_chr4:172983850-172983860(+) ACACAATGCCG >hg38_chr4:172986313-172986323(+) TGACAATGATG >hg38_chr4:173012371-173012381(+) TTACAATGCAG >hg38_chr4:173017312-173017322(-) GTACAATAACC >hg38_chr4:173019971-173019981(-) GCACAATGCTG >hg38_chr4:173038615-173038625(-) ATACAAAGGCT >hg38_chr4:173039698-173039708(-) AGACAATAAAT >hg38_chr4:173093523-173093533(-) agacaatatag >hg38_chr4:173139796-173139806(+) TGACAATGAAA >hg38_chr4:173189868-173189878(+) GAACAATAGAT >hg38_chr4:173237081-173237091(-) TGACAATGTAA >hg38_chr4:173264366-173264376(-) TTATAATGGAC >hg38_chr4:173292992-173293002(+) TAACAATAATG >hg38_chr4:173318480-173318490(+) TGACAAAGGGA >hg38_chr4:173318719-173318729(-) GAACAATGAGT >hg38_chr4:173319030-173319040(-) CAACAATGATA >hg38_chr4:173319049-173319059(+) ATACAGTGGGA >hg38_chr4:173322627-173322637(-) TAACAATAACA >hg38_chr4:173371872-173371882(-) AAACAAAGGCG >hg38_chr4:173386819-173386829(-) taacaaaggtg >hg38_chr4:173423977-173423987(-) ttataatggga >hg38_chr4:173448331-173448341(+) GAACAAAGGGA >hg38_chr4:173448863-173448873(+) ATACAATAGCC >hg38_chr4:173458603-173458613(+) acacaaaggcg >hg38_chr4:173459155-173459165(+) atacaataata >hg38_chr4:173489124-173489134(+) AAACAATGTAT >hg38_chr4:173494464-173494474(+) AGACAATGTGC >hg38_chr4:173513109-173513119(+) AAACAATGTAG >hg38_chr4:173515000-173515010(+) TAACAATAGGA >hg38_chr4:173556127-173556137(-) atacaatgtgt >hg38_chr4:173556579-173556589(-) GCACAATGAGT >hg38_chr4:173556749-173556759(+) AGATAATGGAT >hg38_chr4:173556823-173556833(+) TTACAATGCAG >hg38_chr4:173802823-173802833(-) tgacaatgtat >hg38_chr4:173802841-173802851(-) acacaatgcaa >hg38_chr4:173807578-173807588(-) ACACAAAGGGA >hg38_chr4:173814104-173814114(+) CCACAATAGGA >hg38_chr4:173835890-173835900(+) TAACAATGTGC >hg38_chr4:173917082-173917092(-) CTATAATGGAA >hg38_chr4:173917759-173917769(-) ACACAAAGGAA >hg38_chr4:174032953-174032963(+) ggacaatgcac >hg38_chr4:174042893-174042903(-) TGACAATGGAA >hg38_chr4:174042965-174042975(-) ATACAATAGCA >hg38_chr4:174047045-174047055(+) acacaataata >hg38_chr4:174047252-174047262(-) AAACAATGCAA >hg38_chr4:174063385-174063395(-) TAACAATGCAC >hg38_chr4:174156476-174156486(+) ACACAATGTGA >hg38_chr4:174167581-174167591(-) ATACAATAGGT >hg38_chr4:174202070-174202080(-) TTACAATGTTT >hg38_chr4:174211079-174211089(-) ATATAATGTAA >hg38_chr4:174234107-174234117(+) aaacaatggag >hg38_chr4:174239640-174239650(-) aaacaatatat >hg38_chr4:174247785-174247795(-) AAACAATGGGG >hg38_chr4:174248732-174248742(-) AAACAATGTTT >hg38_chr4:174283658-174283668(-) CAACAATAGGA >hg38_chr4:174296295-174296305(-) acacaatgagt >hg38_chr4:174366065-174366075(+) AAACAATGGAT >hg38_chr4:174393418-174393428(-) aaacaatagag >hg38_chr4:174403477-174403487(-) ACACAATGTAG >hg38_chr4:174423768-174423778(+) AAATAATGGGA >hg38_chr4:174431001-174431011(+) AGACAATATAT >hg38_chr4:174436205-174436215(+) GGACAATAGGG >hg38_chr4:174452102-174452112(-) ATACAGTGGCT >hg38_chr4:174460683-174460693(+) GTACAATGGGG >hg38_chr4:174466820-174466830(+) ATACAACGGAC >hg38_chr4:174497131-174497141(+) AGACAAAGGCA >hg38_chr4:174497151-174497161(+) GGACAATGTTC >hg38_chr4:174600522-174600532(+) GAATAATGGAA >hg38_chr4:174614023-174614033(+) ATACAAAGGAG >hg38_chr4:174614072-174614082(+) ATACACTGGTA >hg38_chr4:174636729-174636739(-) GCACAATGAGA >hg38_chr4:174642302-174642312(-) GTACAATAGAA >hg38_chr4:174642319-174642329(-) AAACAATGAAC >hg38_chr4:174713560-174713570(+) TAACAATAACA >hg38_chr4:174730301-174730311(-) GGAGAATGGCG >hg38_chr4:174773970-174773980(+) CCACAATAGGG >hg38_chr4:174817439-174817449(-) gcacaatagca >hg38_chr4:174865785-174865795(+) ctacaatgcac >hg38_chr4:175002334-175002344(+) TGACAATGCTG >hg38_chr4:175034195-175034205(+) aaacaaaggta >hg38_chr4:175233296-175233306(+) acacaatggga >hg38_chr4:175308394-175308404(-) GAACAATAAAG >hg38_chr4:175308746-175308756(-) ACACAATGAGC >hg38_chr4:175324461-175324471(+) ATACAATAGAA >hg38_chr4:175339292-175339302(-) TGACAATGGCC >hg38_chr4:175367312-175367322(+) AAACAATGCTG >hg38_chr4:175430884-175430894(-) GAATAATGGAA >hg38_chr4:175542866-175542876(-) TGACAATAATA >hg38_chr4:175674553-175674563(+) TAACACTGGTT >hg38_chr4:175674763-175674773(+) TGACAATGAAG >hg38_chr4:175772451-175772461(-) AAACACTGGTA >hg38_chr4:175776367-175776377(+) AAACAAAGGCC >hg38_chr4:175812493-175812503(-) ACACAATGGGC >hg38_chr4:175812503-175812513(-) TTACAGTGGTA >hg38_chr4:175813276-175813286(+) TTATAATGGGA >hg38_chr4:175835030-175835040(-) GCACAATGAGA >hg38_chr4:175854531-175854541(+) agacaaagggc >hg38_chr4:175891981-175891991(-) TAACAACGGGC >hg38_chr4:175911570-175911580(+) GAACAATAAAG >hg38_chr4:175917565-175917575(-) CTACTATGGTA >hg38_chr4:175939223-175939233(+) ATACAATAAAT >hg38_chr4:175949984-175949994(+) AGACAATGTAT >hg38_chr4:175970427-175970437(+) AAACAATAACA >hg38_chr4:175970433-175970443(+) TAACAATAGAC >hg38_chr4:175971978-175971988(-) GAACAATGTAC >hg38_chr4:175972758-175972768(-) TAACAATGGAA >hg38_chr4:175972991-175973001(-) TATCAATGGAC >hg38_chr4:175973005-175973015(+) TCACAATGCTA >hg38_chr4:175987318-175987328(+) TAACAATATCC >hg38_chr4:175988141-175988151(-) CAACAATGACT >hg38_chr4:176001235-176001245(+) CAACAAAGGAC >hg38_chr4:176096443-176096453(-) CAACAATGTGT >hg38_chr4:176103307-176103317(+) aaacaataaat >hg38_chr4:176105263-176105273(+) ctacaataata >hg38_chr4:176132132-176132142(-) caacaatagaa >hg38_chr4:176234984-176234994(+) aaacaataact >hg38_chr4:176257202-176257212(+) CTATAATGGAG >hg38_chr4:176257234-176257244(-) TAACAATGGCA >hg38_chr4:176262491-176262501(-) TAACAATACAC >hg38_chr4:176283318-176283328(-) atacaatagtt >hg38_chr4:176291697-176291707(+) atacaatgata >hg38_chr4:176302059-176302069(-) aaacactggta >hg38_chr4:176339142-176339152(-) gaacaatgtaa >hg38_chr4:176340079-176340089(-) gaacaatgcct >hg38_chr4:176376130-176376140(+) AGACAATGGGA >hg38_chr4:176377868-176377878(-) AAACAATGCAA >hg38_chr4:176382277-176382287(-) ggacattggcg >hg38_chr4:176382313-176382323(-) GTATAATGGCA >hg38_chr4:176404075-176404085(-) aaacaatgatc >hg38_chr4:176437823-176437833(-) TCACAATGGAC >hg38_chr4:176443341-176443351(-) GAACACTGGGA >hg38_chr4:176450312-176450322(+) AAACAATGTCT >hg38_chr4:176478622-176478632(+) AGACAATGGAT >hg38_chr4:176484092-176484102(-) ACACAATGAAC >hg38_chr4:176484144-176484154(-) GAACAAAGGAG >hg38_chr4:176499707-176499717(+) AAACAAAGGGA >hg38_chr4:176505880-176505890(-) agataatggaa >hg38_chr4:176526247-176526257(-) tgacaatataa >hg38_chr4:176526263-176526273(+) aaacaatagac >hg38_chr4:176526906-176526916(-) AGACAAAGGAG >hg38_chr4:176528671-176528681(-) tgACAATAGAT >hg38_chr4:176538251-176538261(-) TAACAATGCTC >hg38_chr4:176538295-176538305(-) AAACAATGCAT >hg38_chr4:176541039-176541049(+) GCACAATGTTG >hg38_chr4:176562140-176562150(+) CTACAATGGTT >hg38_chr4:176636489-176636499(+) ACATAATGGAC >hg38_chr4:176707097-176707107(-) atacagtggaa >hg38_chr4:176709362-176709372(+) AGACAATATAA >hg38_chr4:176711527-176711537(+) TAATAATGGAA >hg38_chr4:176717453-176717463(+) AAACAATAGGT >hg38_chr4:176733418-176733428(+) caacaatgaaa >hg38_chr4:176733451-176733461(+) ccacaatagga >hg38_chr4:176751407-176751417(+) TGACAAAGGAT >hg38_chr4:176755887-176755897(-) TGACAATGCAT >hg38_chr4:176757338-176757348(+) AGACAAAGGTC >hg38_chr4:176767270-176767280(+) ccacaatggga >hg38_chr4:176767297-176767307(+) ccacaatagct >hg38_chr4:176767322-176767332(+) taacaatgcca >hg38_chr4:176770405-176770415(-) AAACAATGCTG >hg38_chr4:176778203-176778213(+) GGACAATACGA >hg38_chr4:176778255-176778265(+) TCACAATAGAC >hg38_chr4:176781764-176781774(-) GAACAATAGTG >hg38_chr4:176794738-176794748(+) TTAcaatgatt >hg38_chr4:176865909-176865919(+) AAACAATGCTG >hg38_chr4:176868777-176868787(+) acataatggta >hg38_chr4:176885453-176885463(-) TAATaatgata >hg38_chr4:176885471-176885481(+) CCACAATGTTT >hg38_chr4:176896447-176896457(+) TCACAATGACA >hg38_chr4:176896801-176896811(+) ATACAATGTAT >hg38_chr4:176920494-176920504(+) TAACAATATAT >hg38_chr4:176926134-176926144(-) caacaatggct >hg38_chr4:176957964-176957974(-) AGACAATGTCT >hg38_chr4:176968926-176968936(+) agataatggca >hg38_chr4:176974572-176974582(+) gaacaatatac >hg38_chr4:176974608-176974618(-) ggacaatagta >hg38_chr4:176987785-176987795(-) aaacaaaggca >hg38_chr4:176987804-176987814(+) gtacagtggtg >hg38_chr4:177009024-177009034(+) TGACAATGCAA >hg38_chr4:177047619-177047629(+) TAACAAAGGGT >hg38_chr4:177047629-177047639(+) TGACAATGTGT >hg38_chr4:177065187-177065197(+) CAACAAAGGTA >hg38_chr4:177079573-177079583(-) ACACAATGGGT >hg38_chr4:177095817-177095827(-) AAATAATGGCC >hg38_chr4:177101680-177101690(-) atacaatggct >hg38_chr4:177105095-177105105(-) TTACAATACTA >hg38_chr4:177109238-177109248(+) aaacaatggag >hg38_chr4:177109255-177109265(+) ggacaatggaa >hg38_chr4:177126385-177126395(-) GTACAATGAAA >hg38_chr4:177127344-177127354(-) atacaatgtac >hg38_chr4:177148709-177148719(-) atataatgaac >hg38_chr4:177156728-177156738(+) gcacaatgcca >hg38_chr4:177161286-177161296(+) ctacaaaggga >hg38_chr4:177188940-177188950(-) TGACTATGGTA >hg38_chr4:177191813-177191823(+) taacaatgcat >hg38_chr4:177208028-177208038(-) GGACAATGCGG >hg38_chr4:177221624-177221634(+) TTACAATGATG >hg38_chr4:177255527-177255537(-) TAACAATGGCT >hg38_chr4:177313610-177313620(+) ATACAATATTT >hg38_chr4:177314266-177314276(+) TTACAAAGGAG >hg38_chr4:177314301-177314311(-) CTACAATGGCC >hg38_chr4:177367547-177367557(-) GGACAATAGCA >hg38_chr4:177427021-177427031(-) taacaatagta >hg38_chr4:177441965-177441975(+) TAACTATGGTC >hg38_chr4:177446211-177446221(+) taataatagta >hg38_chr4:177447832-177447842(+) TGACAATGAGT >hg38_chr4:177484797-177484807(-) tgacaataggt >hg38_chr4:177493343-177493353(+) tgacaatggct >hg38_chr4:177493352-177493362(+) ctacaatgaca >hg38_chr4:177493358-177493368(+) tgacaatgGCT >hg38_chr4:177494275-177494285(+) TGACAATGGCA >hg38_chr4:177530348-177530358(+) TCACAATAGAA >hg38_chr4:177530379-177530389(+) AGACAATGACT >hg38_chr4:177531529-177531539(-) TGACAAAGGAA >hg38_chr4:177532370-177532380(+) ATACAATAGAA >hg38_chr4:177577224-177577234(-) GAACAATAGTG >hg38_chr4:177582728-177582738(+) TAACAATGTTG >hg38_chr4:177583205-177583215(-) TAACAATGATT >hg38_chr4:177616255-177616265(-) CAACAAAGGAC >hg38_chr4:177625844-177625854(+) gaacaatgtat >hg38_chr4:177629928-177629938(-) agacaatatag >hg38_chr4:177630077-177630087(-) aaacaatagaa >hg38_chr4:177691281-177691291(-) gcacaatgaga >hg38_chr4:177696617-177696627(-) GCACAATGAAA >hg38_chr4:177809544-177809554(+) ACACAATGACA >hg38_chr4:177824536-177824546(+) ATACAATGAAT >hg38_chr4:177837149-177837159(-) CAACAATAGTG >hg38_chr4:177841457-177841467(+) CAACAATGAAG >hg38_chr4:177910604-177910614(+) GAACAATGCAG >hg38_chr4:177936093-177936103(-) CAACAATGCTC >hg38_chr4:177966063-177966073(-) GGACAATAGAA >hg38_chr4:177966090-177966100(+) TGACAATGTTT >hg38_chr4:177988064-177988074(+) taacaataaga >hg38_chr4:178068308-178068318(+) aaacaatgggg >hg38_chr4:178154999-178155009(+) TGACAATGACT >hg38_chr4:178197378-178197388(+) gtacaattgat >hg38_chr4:178229859-178229869(-) gaacaatgtat >hg38_chr4:178229869-178229879(+) ccacaatgtga >hg38_chr4:178229884-178229894(-) gaacaatgtat >hg38_chr4:178229894-178229904(+) ccacaatgtga >hg38_chr4:178229907-178229917(-) tcacaatgttg >hg38_chr4:178316248-178316258(+) GTACAATAGGC >hg38_chr4:178330494-178330504(+) AGACAATGGTA >hg38_chr4:178359214-178359224(-) TGACAATAGGT >hg38_chr4:178381122-178381132(+) taacaatacta >hg38_chr4:178414177-178414187(-) atacaattgac >hg38_chr4:178471594-178471604(-) ggataatgggg >hg38_chr4:178553396-178553406(+) GGACAATAGCA >hg38_chr4:178554621-178554631(+) caacaatggtt >hg38_chr4:178595924-178595934(-) agacaatagtg >hg38_chr4:178595953-178595963(-) tgacaatagaa >hg38_chr4:178595959-178595969(-) acacaatgaca >hg38_chr4:178609415-178609425(-) TTACAATGAGA >hg38_chr4:178705123-178705133(+) GCACAATAGGG >hg38_chr4:179040252-179040262(-) AGACAAAGGGA >hg38_chr4:179116075-179116085(+) GAACAATGGAA >hg38_chr4:179467165-179467175(-) ATACAATGGTT >hg38_chr4:179590231-179590241(-) aaataatggct >hg38_chr4:179590465-179590475(-) aaataatgggt >hg38_chr4:179597465-179597475(-) gtacaaagggc >hg38_chr4:179597510-179597520(+) gaacaaaggga >hg38_chr4:179740776-179740786(-) aaacaatgtca >hg38_chr4:179755594-179755604(+) AGACAATGAAG >hg38_chr4:179843410-179843420(-) GTACAATGGGA >hg38_chr4:179843449-179843459(-) GAACAATAGGT >hg38_chr4:180112476-180112486(-) gaacaaaggca >hg38_chr4:180117150-180117160(-) tcacaatggtg >hg38_chr4:180125477-180125487(-) TTACAAAGGCa >hg38_chr4:180151040-180151050(-) CCACAATGAAA >hg38_chr4:180160810-180160820(+) TTACAAAGGAG >hg38_chr4:180446108-180446118(+) ATATAATGGGA >hg38_chr4:180490019-180490029(-) TGACAATGCAA >hg38_chr4:180530863-180530873(-) TAACAATGGCA >hg38_chr4:180635584-180635594(+) CGACAATGCAG >hg38_chr4:180700672-180700682(+) ATACAATAGGG >hg38_chr4:180720401-180720411(+) aaACAATGggc >hg38_chr4:180769927-180769937(-) acacaaaggca >hg38_chr4:180785135-180785145(+) caacaatggct >hg38_chr4:180828591-180828601(-) ATACAATGTGC >hg38_chr4:180851836-180851846(+) ACACAATGTTA >hg38_chr4:180868955-180868965(-) TCACAATAGAA >hg38_chr4:180913924-180913934(+) AAACAATGACT >hg38_chr4:180913972-180913982(-) AAACAATAAAA >hg38_chr4:181082874-181082884(+) ACACAATGTAC >hg38_chr4:181193706-181193716(+) GAACAATGCAT >hg38_chr4:181202375-181202385(-) gaacaatgaaa >hg38_chr4:181226105-181226115(-) GAACAATGGAT >hg38_chr4:181259277-181259287(+) GTACAATGCTT >hg38_chr4:181259333-181259343(-) TAACAATAGAA >hg38_chr4:181264951-181264961(+) atataatggtg >hg38_chr4:181265025-181265035(-) GAACAATGAGC >hg38_chr4:181276931-181276941(+) tcacaatagca >hg38_chr4:181308054-181308064(+) GAACAATGGAA >hg38_chr4:181350995-181351005(-) TAACAATGAGA >hg38_chr4:181408443-181408453(-) gcacaatgaga >hg38_chr4:181453222-181453232(+) aaacaaaggaa >hg38_chr4:181549370-181549380(-) TAACAATGATG >hg38_chr4:181569747-181569757(+) GAACAATAAGA >hg38_chr4:181609568-181609578(-) ATATAATGGTC >hg38_chr4:181670691-181670701(+) AAACAATGAAG >hg38_chr4:181717594-181717604(+) TAACAATAGTT >hg38_chr4:181720660-181720670(+) TGACAATAGtc >hg38_chr4:181730403-181730413(-) taacaatagga >hg38_chr4:181733965-181733975(-) CTACAATAATA >hg38_chr4:181758067-181758077(+) GAACAATGACA >hg38_chr4:181793484-181793494(-) AGACAATGCAC >hg38_chr4:181802205-181802215(+) CTATAATGGGT >hg38_chr4:181813427-181813437(-) CTACAATGCTT >hg38_chr4:181821898-181821908(+) CAACAATAGAT >hg38_chr4:181890926-181890936(+) AAATAATGGAA >hg38_chr4:181952011-181952021(-) ATACAATATAA >hg38_chr4:181985572-181985582(-) AAACAATGGTG >hg38_chr4:181994341-181994351(+) ATACAATAAGG >hg38_chr4:181994365-181994375(-) AAACAATGACG >hg38_chr4:181995340-181995350(-) GGACAAAGGCA >hg38_chr4:181995391-181995401(+) TAACAATGGGG >hg38_chr4:182131795-182131805(-) TAATAATGGTT >hg38_chr4:182136352-182136362(-) CAACAATAGCA >hg38_chr4:182136732-182136742(-) TTATAATGGGC >hg38_chr4:182178429-182178439(+) AAACAATGTGA >hg38_chr4:182181246-182181256(+) TAACAATAAGA >hg38_chr4:182187112-182187122(+) AAATAATGGTC >hg38_chr4:182238341-182238351(-) ttacaaaggcc >hg38_chr4:182277522-182277532(+) GAACAAAGGAA >hg38_chr4:182277543-182277553(-) AAACAATAATA >hg38_chr4:182291392-182291402(-) TCACAATGACT >hg38_chr4:182293941-182293951(+) cgacaatgaca >hg38_chr4:182308132-182308142(-) caataatggac >hg38_chr4:182343253-182343263(-) AAACAATAAAT >hg38_chr4:182370542-182370552(+) AGACAATAACA >hg38_chr4:182478639-182478649(-) CTACAATGGTA >hg38_chr4:182478668-182478678(-) GAACAATAGTA >hg38_chr4:182502114-182502124(-) agacaatggag >hg38_chr4:182502158-182502168(-) tgacaatggca >hg38_chr4:182511041-182511051(-) acacaatgggc >hg38_chr4:182511085-182511095(+) gaacaaaggga >hg38_chr4:182525683-182525693(-) GGACAATAGAA >hg38_chr4:182534158-182534168(+) gtacaatacca >hg38_chr4:182549579-182549589(-) GAACAATGGGT >hg38_chr4:182560613-182560623(+) GAACAAAGGAC >hg38_chr4:182575401-182575411(-) aaacaaaggat >hg38_chr4:182580361-182580371(-) AGATAATGTCG >hg38_chr4:182593223-182593233(-) TAATAATGATA >hg38_chr4:182629692-182629702(+) GAACAATAGCC >hg38_chr4:182678404-182678414(-) AAACAATGGAG >hg38_chr4:182692751-182692761(-) TAACAATACTA >hg38_chr4:182716385-182716395(-) AAACAAAGGGG >hg38_chr4:182731064-182731074(-) ACACAATGATG >hg38_chr4:182758692-182758702(+) TTACAAAGGGC >hg38_chr4:182760352-182760362(-) ATACACTGGAT >hg38_chr4:182768998-182769008(-) GTACAATGCAA >hg38_chr4:182778788-182778798(+) TCACAAAGGAA >hg38_chr4:182828513-182828523(-) ACATAATGGAG >hg38_chr4:182844302-182844312(+) agacaatgggt >hg38_chr4:182844328-182844338(+) agacaatgggt >hg38_chr4:182866988-182866998(+) agacaatgaac >hg38_chr4:182880658-182880668(+) AGACAATGAGC >hg38_chr4:182963784-182963794(+) ttacaatgggt >hg38_chr4:182963809-182963819(-) ttataatggag >hg38_chr4:183058827-183058837(+) gtacaatatct >hg38_chr4:183076956-183076966(-) TTATAATGCGT >hg38_chr4:183076961-183076971(+) TTATAATGGCA >hg38_chr4:183080802-183080812(-) AGACAATATAC >hg38_chr4:183081146-183081156(+) TCACAATGGGA >hg38_chr4:183105246-183105256(-) TCACAATGGCT >hg38_chr4:183118637-183118647(-) TCACAATGCTA >hg38_chr4:183139216-183139226(-) GAACAATGGCT >hg38_chr4:183139520-183139530(-) acacaatgact >hg38_chr4:183154984-183154994(-) ATACAATGGGA >hg38_chr4:183155575-183155585(+) CTATAATGGTA >hg38_chr4:183225575-183225585(+) AAACAATGGGG >hg38_chr4:183242023-183242033(+) AAACAATAGAC >hg38_chr4:183242347-183242357(+) TTACAATAGAA >hg38_chr4:183242421-183242431(+) GTACAATAGTT >hg38_chr4:183242452-183242462(-) GAACAATGCCA >hg38_chr4:183320356-183320366(-) AAACAATAGCA >hg38_chr4:183343276-183343286(-) GAACAATGGCC >hg38_chr4:183345620-183345630(+) aaacagtggta >hg38_chr4:183345631-183345641(-) ACacaatagac >hg38_chr4:183355415-183355425(-) AGACAATAACG >hg38_chr4:183355458-183355468(-) ACACAATGCGT >hg38_chr4:183362249-183362259(+) gaacaatgatc >hg38_chr4:183391426-183391436(+) gaacaatgaac >hg38_chr4:183391472-183391482(+) gtacaatgatc >hg38_chr4:183407337-183407347(-) AGACAAAGGGT >hg38_chr4:183443437-183443447(+) ATACAATAGCT >hg38_chr4:183447448-183447458(+) ATACAATATCT >hg38_chr4:183495379-183495389(-) GAATAATGGCC >hg38_chr4:183546767-183546777(-) gtacaaaggtc >hg38_chr4:183546783-183546793(+) aaacaataact >hg38_chr4:183556218-183556228(-) ACACAATGTAC >hg38_chr4:183633773-183633783(-) tgacaaaggac >hg38_chr4:183635595-183635605(+) GAACAAAGGCC >hg38_chr4:183682870-183682880(+) CAACAATAGCT >hg38_chr4:183738342-183738352(+) TCACAATGACG >hg38_chr4:183738359-183738369(+) GAACAATAGGG >hg38_chr4:183773965-183773975(+) GTACAATGGAT >hg38_chr4:183774621-183774631(-) ccacaatgtaa >hg38_chr4:183873678-183873688(+) AGACAATGCAC >hg38_chr4:183878725-183878735(-) TGACAATAGCT >hg38_chr4:183905271-183905281(-) CAACAATGCGC >hg38_chr4:183909094-183909104(+) CTACAATGAAG >hg38_chr4:183910092-183910102(-) GAACAATGAAG >hg38_chr4:183915574-183915584(-) ccacaatgacc >hg38_chr4:183935751-183935761(-) gcacaatgtca >hg38_chr4:183960190-183960200(+) CCACAATGTGA >hg38_chr4:183961959-183961969(-) AGACAAAGGAC >hg38_chr4:183962188-183962198(-) TAACAATGGAA >hg38_chr4:183987410-183987420(+) TCACAATGAGC >hg38_chr4:183988139-183988149(+) AGACAAAGGAG >hg38_chr4:183988205-183988215(+) TCACAAAGGAT >hg38_chr4:184125401-184125411(+) AGACAATGGTG >hg38_chr4:184190934-184190944(-) GGACAATGTGA >hg38_chr4:184190953-184190963(+) ACACAAAGGAG >hg38_chr4:184221430-184221440(-) GGACAATGACT >hg38_chr4:184239878-184239888(+) GAACAATGTAG >hg38_chr4:184255629-184255639(+) GAACAAAGGCC >hg38_chr4:184265214-184265224(+) AGACAATAGTT >hg38_chr4:184265221-184265231(-) GAACAATAACT >hg38_chr4:184293717-184293727(+) CAACAATGGTT >hg38_chr4:184355382-184355392(-) CAACAATGACT >hg38_chr4:184385245-184385255(+) CTACAATAGCA >hg38_chr4:184390187-184390197(-) GCACAATGGCA >hg38_chr4:184401908-184401918(+) AAACAATGGCA >hg38_chr4:184401945-184401955(-) GAACAAAGGGA >hg38_chr4:184447790-184447800(-) tgacaatgaca >hg38_chr4:184501328-184501338(+) ccacaatgaag >hg38_chr4:184503996-184504006(+) aaataatgcgt >hg38_chr4:184506787-184506797(+) acacaatgcca >hg38_chr4:184543991-184544001(+) gaacaatgaca >hg38_chr4:184549303-184549313(-) gtacaatggga >hg38_chr4:184549326-184549336(-) aaacaatgaga >hg38_chr4:184649409-184649419(+) TCACAATAGCA >hg38_chr4:184656689-184656699(+) ATACAATAAAA >hg38_chr4:184664553-184664563(-) acacagtggta >hg38_chr4:184706085-184706095(+) gcataatggca >hg38_chr4:184706949-184706959(+) GGACAATGCCA >hg38_chr4:184729079-184729089(+) GAACAATAGGT >hg38_chr4:184748060-184748070(+) gaacaatagga >hg38_chr4:184771798-184771808(+) TGACAATGGAT >hg38_chr4:184794016-184794026(-) gaacaatacgg >hg38_chr4:184806936-184806946(+) AGACAATAGCT >hg38_chr4:184808560-184808570(+) GTACAATAGGC >hg38_chr4:184948311-184948321(+) acataatgggc >hg38_chr4:184948680-184948690(+) tgataatggta >hg38_chr4:184948688-184948698(+) gtactatggtt >hg38_chr4:184954236-184954246(+) TGACAATGGGA >hg38_chr4:184954292-184954302(-) TGACAATGCCC >hg38_chr4:184971931-184971941(-) acacaaaggaa >hg38_chr4:184972301-184972311(-) tcacaaaggac >hg38_chr4:185084141-185084151(+) CTACAATGGAA >hg38_chr4:185089124-185089134(-) GAACAATGTGG >hg38_chr4:185099291-185099301(-) GAACACTGGGA >hg38_chr4:185109901-185109911(-) ttacaatagac >hg38_chr4:185112193-185112203(-) GAACAATGTGC >hg38_chr4:185217391-185217401(+) TTACAATAGGT >hg38_chr4:185269425-185269435(-) aaacaatgact >hg38_chr4:185297986-185297996(-) taataatggac >hg38_chr4:185315870-185315880(-) AGACAATGATT >hg38_chr4:185316084-185316094(-) TTACaaaggac >hg38_chr4:185331306-185331316(-) TAACAATGGCA >hg38_chr4:185331331-185331341(+) ATACAATGCTG >hg38_chr4:185333156-185333166(-) AAACAATGTAT >hg38_chr4:185363785-185363795(-) GTACAATATTC >hg38_chr4:185363853-185363863(-) TAACAATGTTC >hg38_chr4:185377791-185377801(-) GAACAATGCCA >hg38_chr4:185423270-185423280(+) TAACAATGACA >hg38_chr4:185426222-185426232(+) ACACAATAAAC >hg38_chr4:185435774-185435784(-) TAACAATAAAC >hg38_chr4:185439286-185439296(-) CAACAATGGTA >hg38_chr4:185457404-185457414(-) acacagtggat >hg38_chr4:185502536-185502546(+) AGACAAAGGAG >hg38_chr4:185502591-185502601(+) AAACAATGGCC >hg38_chr4:185541552-185541562(-) AAACAATATAG >hg38_chr4:185586855-185586865(+) ACACAATAGTT >hg38_chr4:185644844-185644854(+) TAACAATGATA >hg38_chr4:185662125-185662135(+) TGACAATGCTG >hg38_chr4:185668219-185668229(-) TGACAATGGTG >hg38_chr4:185673643-185673653(-) ggacaatgata >hg38_chr4:185717357-185717367(+) GCACAAAGGGA >hg38_chr4:185775575-185775585(-) GCACAATGAAC >hg38_chr4:185776394-185776404(-) GCACAATGACT >hg38_chr4:185779762-185779772(-) AGACAATGCCA >hg38_chr4:185779785-185779795(+) GAACAATGTGT >hg38_chr4:185793062-185793072(-) taataatggcc >hg38_chr4:185811006-185811016(+) GCACAATGGGG >hg38_chr4:185811335-185811345(-) GAACAATGGAT >hg38_chr4:185833241-185833251(+) CTACAATAGCA >hg38_chr4:185833310-185833320(-) AAACAAAGGGG >hg38_chr4:185836561-185836571(+) tcacaatgaac >hg38_chr4:185839422-185839432(+) AGACAATGACC >hg38_chr4:185844177-185844187(+) atacactggac >hg38_chr4:185859877-185859887(+) AGACAATGAAC >hg38_chr4:185864128-185864138(-) TAATAATGGCA >hg38_chr4:185870412-185870422(+) AGACAAAGGAG >hg38_chr4:185912928-185912938(-) CAATAATGGGT >hg38_chr4:185937070-185937080(+) CAACAATGACA >hg38_chr4:185943800-185943810(+) atacaatgccc >hg38_chr4:185963907-185963917(-) ATACAGTGGAG >hg38_chr4:185964360-185964370(-) AGACAAAGGCA >hg38_chr4:186017204-186017214(-) taacaatagaa >hg38_chr4:186017220-186017230(-) ATACACTGGGa >hg38_chr4:186017257-186017267(-) GCACAATGATG >hg38_chr4:186077446-186077456(+) ATACAATGATC >hg38_chr4:186077780-186077790(+) acacaataaat >hg38_chr4:186077811-186077821(+) ctacaatgctt >hg38_chr4:186077848-186077858(-) AAACAATAACA >hg38_chr4:186222356-186222366(-) tcacaaaggaa >hg38_chr4:186222572-186222582(-) aaactatggca >hg38_chr4:186226193-186226203(-) aaacaatatag >hg38_chr4:186241479-186241489(-) ATATAATGAAT >hg38_chr4:186248837-186248847(-) agacaataaca >hg38_chr4:186250395-186250405(+) TCACAAAGGCG >hg38_chr4:186250426-186250436(+) TCACAAAGGCG >hg38_chr4:186265829-186265839(-) ATATAATGGAG >hg38_chr4:186311862-186311872(-) ACACAAAGGCA >hg38_chr4:186558202-186558212(-) AAACAATGCAG >hg38_chr4:186722949-186722959(+) ATACAATTGCG >hg38_chr4:186731952-186731962(-) GAACAATAGTC >hg38_chr4:186731965-186731975(+) TCATAATGGCA >hg38_chr4:186732004-186732014(+) CTACAATAGTT >hg38_chr4:186761520-186761530(-) gcacaatgcct >hg38_chr4:186799507-186799517(-) TCACAATGGAG >hg38_chr4:186799558-186799568(+) GCACAATGAAA >hg38_chr4:186799566-186799576(+) AAACAATAACA >hg38_chr4:186807425-186807435(+) TCACAAAGGAA >hg38_chr4:186810764-186810774(+) ggacaataggc >hg38_chr4:186831803-186831813(-) taacaaaggag >hg38_chr4:186846950-186846960(+) AAACAAAGGTG >hg38_chr4:186853706-186853716(+) AGACAATGAAA >hg38_chr4:186855205-186855215(+) GGACAATGTAA >hg38_chr4:186887537-186887547(+) ATACAAAGGAA >hg38_chr4:186912664-186912674(-) ATACAATAAAA >hg38_chr4:186919666-186919676(-) GTACAATGTCT >hg38_chr4:186958135-186958145(-) ATACAAAGGGA >hg38_chr4:186958184-186958194(+) TAACAATATGT >hg38_chr4:187065374-187065384(-) ATACAAAGGAC >hg38_chr4:187124250-187124260(-) GGACAATGGGG >hg38_chr4:187278301-187278311(+) TGACAATATAT >hg38_chr4:187322764-187322774(+) CAACAATGGAA >hg38_chr4:187338078-187338088(+) GAACAATGTCA >hg38_chr4:187351173-187351183(+) TAACAATGTAA >hg38_chr4:187363971-187363981(-) TAACAATGAAT >hg38_chr4:187387079-187387089(+) atacaaaggtc >hg38_chr4:187393907-187393917(+) CGACAATATGT >hg38_chr4:187394586-187394596(-) ACACAATGCCT >hg38_chr4:187400570-187400580(-) GAACAAAGGCC >hg38_chr4:187501205-187501215(+) TAACAATACGT >hg38_chr4:187512328-187512338(+) AAACAATGAAG >hg38_chr4:187512363-187512373(+) TGACAATGAGC >hg38_chr4:187780260-187780270(+) aaacaaaggcc >hg38_chr4:187780309-187780319(+) agacaatagtc >hg38_chr4:187895012-187895022(+) GCACAACGGAG >hg38_chr4:187944418-187944428(+) CAACAATGCTC >hg38_chr4:187944457-187944467(-) ACACAATGGCA >hg38_chr4:187944467-187944477(+) TGACAATGGTA >hg38_chr4:187993001-187993011(+) ttacaatgtgg >hg38_chr4:188032659-188032669(-) TGACAATAGCC >hg38_chr4:188032710-188032720(+) AAACAACGGGA >hg38_chr4:188130452-188130462(+) GAACAATATAG >hg38_chr4:188199258-188199268(+) agacaatggat >hg38_chr4:188289853-188289863(+) AAACAGTGGCG >hg38_chr4:188660807-188660817(+) atacgatgggg >hg38_chr4:188703414-188703424(+) GGACAATGCGT >hg38_chr4:188703444-188703454(-) CAACAATGCAT >hg38_chr4:188841672-188841682(+) TTACAATGTGC >hg38_chr4:188843426-188843436(-) ACACAATgagc >hg38_chr4:188925773-188925783(+) GAATAATGGGG >hg38_chr4:188934832-188934842(-) gtacaatgaaa >hg38_chr4:188960505-188960515(+) TCACAATGGAC >hg38_chr4:188976746-188976756(+) CAACAATGGTT >hg38_chr4:189061506-189061516(-) ttacaatgaac >hg38_chr4:189186166-189186176(+) taacaatgcca >hg38_chr4:189200543-189200553(+) tcactatggaa >hg38_chr4:189208009-189208019(+) taacaaaggaa >hg38_chr4:189302901-189302911(-) AAACAATAGGG >hg38_chr5:99992-100002(-) gaacaaaggaa >hg38_chr5:287805-287815(+) GTACAATGGTG >hg38_chr5:380114-380124(-) tcacaaaggta >hg38_chr5:383230-383240(-) acacaatgaca >hg38_chr5:450554-450564(-) gaacaatgcaa >hg38_chr5:450606-450616(-) caataatggac >hg38_chr5:452342-452352(-) gtacaataaat >hg38_chr5:628164-628174(-) ACACAATGAGT >hg38_chr5:672935-672945(+) AAACAAAGGCT >hg38_chr5:728155-728165(+) tgacaatgtgt >hg38_chr5:758198-758208(+) GGACAATGAGA >hg38_chr5:900145-900155(+) cgtcaatggaa >hg38_chr5:912848-912858(-) AAACAAAGGCC >hg38_chr5:920701-920711(-) agacaatagtc >hg38_chr5:920710-920720(-) ttacaatgaag >hg38_chr5:926035-926045(-) AGATAATGGAG >hg38_chr5:946582-946592(-) GAACAATGGGG >hg38_chr5:980482-980492(-) GAACAATGCCC >hg38_chr5:1676808-1676818(+) aaacaatgtaa >hg38_chr5:1676825-1676835(+) agacaatggaa >hg38_chr5:1770999-1771009(-) AAACTATGGAA >hg38_chr5:1808608-1808618(-) ACACAATAGAG >hg38_chr5:1936573-1936583(+) ttacaatgtct >hg38_chr5:1973795-1973805(+) CAACAATGAGT >hg38_chr5:1978811-1978821(+) agactatggtt >hg38_chr5:1978859-1978869(+) aaacaatggat >hg38_chr5:2015299-2015309(-) CCACAATGGCC >hg38_chr5:2027098-2027108(-) tgacaatgaca >hg38_chr5:2067468-2067478(+) TTACAATACGT >hg38_chr5:2067493-2067503(+) AGACAATAGAC >hg38_chr5:2087879-2087889(-) acacaataaac >hg38_chr5:2133349-2133359(-) GAACAATGGAT >hg38_chr5:2206476-2206486(+) TAACAATGATT >hg38_chr5:2206536-2206546(+) GAACAATGGTG >hg38_chr5:2253803-2253813(+) GCACAGTGGCG >hg38_chr5:2279778-2279788(-) ACATAATGGAT >hg38_chr5:2298994-2299004(+) GAACAATAGGC >hg38_chr5:2305123-2305133(-) TATCAATGGAC >hg38_chr5:2335439-2335449(-) AAACAAAGGGA >hg38_chr5:2497387-2497397(-) TCACAATGGAA >hg38_chr5:2538395-2538405(-) TGACAATGGGT >hg38_chr5:2588791-2588801(+) ATACAGTGGCG >hg38_chr5:2588836-2588846(+) AAACAATGGAC >hg38_chr5:2588866-2588876(+) GGACAAAGGGA >hg38_chr5:2743252-2743262(+) AGACAAAGGTG >hg38_chr5:2743312-2743322(+) AAACAATAACT >hg38_chr5:2746591-2746601(+) CAACAATAGTC >hg38_chr5:2758183-2758193(+) TGACAATGGAA >hg38_chr5:2762090-2762100(+) TCACAATAGCT >hg38_chr5:2769822-2769832(-) gaacaatgatg >hg38_chr5:2769893-2769903(+) caacaatgtac >hg38_chr5:2833575-2833585(-) TAACAATGTTC >hg38_chr5:2902501-2902511(-) ggacaatgggc >hg38_chr5:2944509-2944519(-) agacaatagaa >hg38_chr5:3033663-3033673(+) ATATAATGGTG >hg38_chr5:3048440-3048450(+) GAACAATGCGT >hg38_chr5:3101423-3101433(+) TAACAATGTCA >hg38_chr5:3104598-3104608(-) GTACAATACAA >hg38_chr5:3105344-3105354(-) GAACAATGAGT >hg38_chr5:3117974-3117984(+) TAACAATAAGC >hg38_chr5:3118743-3118753(+) AGACAATGCAA >hg38_chr5:3122007-3122017(-) AGACTATGGCA >hg38_chr5:3124622-3124632(+) TAACACTGGAC >hg38_chr5:3181849-3181859(+) GCACAAAGGGA >hg38_chr5:3186638-3186648(+) GTACAATGGCA >hg38_chr5:3251870-3251880(-) TAACAAAGGGG >hg38_chr5:3275949-3275959(+) TGACAATGGCC >hg38_chr5:3311186-3311196(+) AGACAATGGGC >hg38_chr5:3311228-3311238(+) GAACAATGGGG >hg38_chr5:3341785-3341795(-) AGACAATAGAA >hg38_chr5:3342326-3342336(+) GGACAAAGGTT >hg38_chr5:3401968-3401978(+) ggacaatggtg >hg38_chr5:3456277-3456287(-) CAACAATGCTC >hg38_chr5:3512246-3512256(-) GGACAATGTAG >hg38_chr5:3518261-3518271(+) TGATAATGGCC >hg38_chr5:3526783-3526793(-) AAACAATGAAG >hg38_chr5:3564323-3564333(+) TCACAATGGCT >hg38_chr5:3564363-3564373(-) AAACAATGGAG >hg38_chr5:3596936-3596946(+) TAACAATAGAG >hg38_chr5:3655754-3655764(-) tgacaatgtgg >hg38_chr5:3741612-3741622(-) AGACAAAGGCA >hg38_chr5:3743035-3743045(-) TGACAATGGAA >hg38_chr5:3793999-3794009(+) TAACAATGCTG >hg38_chr5:3794045-3794055(+) CAACAATGACC >hg38_chr5:3826146-3826156(+) TGACAATGGGA >hg38_chr5:3869180-3869190(+) tcacaatgagt >hg38_chr5:3925148-3925158(-) GAACAAAGGGC >hg38_chr5:3983970-3983980(-) CGATAATGTTA >hg38_chr5:4013679-4013689(-) CCACAATGAGA >hg38_chr5:4014614-4014624(-) ACACAATAGGA >hg38_chr5:4020596-4020606(-) TCACAATGGAC >hg38_chr5:4034449-4034459(+) AGACAATGGCC >hg38_chr5:4117144-4117154(-) caacaatgagt >hg38_chr5:4117196-4117206(+) aaacaataact >hg38_chr5:4150197-4150207(-) agacaatgcat >hg38_chr5:4191388-4191398(-) TTATAATGGGA >hg38_chr5:4199609-4199619(+) AGACAATGTGG >hg38_chr5:4207523-4207533(+) AGACAATGGAG >hg38_chr5:4207895-4207905(+) GCACAATAGAT >hg38_chr5:4329114-4329124(+) GAACAATGAAT >hg38_chr5:4337777-4337787(-) aaacaatagag >hg38_chr5:4505391-4505401(-) aaacaatgtga >hg38_chr5:4512292-4512302(-) AAACAAAGGCG >hg38_chr5:4575422-4575432(+) ACACAATGGCA >hg38_chr5:4636637-4636647(+) GAACAATGGAA >hg38_chr5:4640452-4640462(-) AGACAATAAAT >hg38_chr5:4716995-4717005(+) GAACAATAGAC >hg38_chr5:4848273-4848283(-) TCACAATGGGT >hg38_chr5:4848293-4848303(-) AAACAAAGGCA >hg38_chr5:4868289-4868299(+) GAACAATGGGA >hg38_chr5:4868302-4868312(-) GGACAATAACG >hg38_chr5:5078428-5078438(+) ACACAATGAAA >hg38_chr5:5164547-5164557(+) GCACAATGCCC >hg38_chr5:5179843-5179853(-) AGACAAAGGTA >hg38_chr5:5182966-5182976(-) AAACAATGGGC >hg38_chr5:5215301-5215311(+) TGACAATGCCC >hg38_chr5:5221463-5221473(+) TCACAATGGCG >hg38_chr5:5266554-5266564(-) CAACAATGGGC >hg38_chr5:5270330-5270340(+) AGACAAAGGCG >hg38_chr5:5275359-5275369(+) taacaatggga >hg38_chr5:5299124-5299134(-) TAACAATGGGG >hg38_chr5:5304620-5304630(+) GAACGATGGCT >hg38_chr5:5307967-5307977(-) TGACAATAGGC >hg38_chr5:5326155-5326165(+) GCACAATGCCC >hg38_chr5:5337828-5337838(+) GCACAATGGGC >hg38_chr5:5337845-5337855(-) TTACAATGTGT >hg38_chr5:5342194-5342204(-) atacaatggcc >hg38_chr5:5361257-5361267(-) TCACAATGAGA >hg38_chr5:5372098-5372108(+) GAACAATGAAC >hg38_chr5:5387962-5387972(-) atacaatataa >hg38_chr5:5387985-5387995(+) agacaataggc >hg38_chr5:5388450-5388460(+) ggacaaaggac >hg38_chr5:5424924-5424934(-) caacaatggtc >hg38_chr5:5435057-5435067(+) TGACAAAGGAA >hg38_chr5:5484041-5484051(-) TGACAATGCAA >hg38_chr5:5539705-5539715(+) TCACAATGAGC >hg38_chr5:5552208-5552218(-) GAACAATGCAT >hg38_chr5:5557595-5557605(+) aaacaatgcgc >hg38_chr5:5629406-5629416(-) TAACAAAGGAT >hg38_chr5:5666213-5666223(+) ATACAATGGAA >hg38_chr5:5685534-5685544(+) GGACAATTGCG >hg38_chr5:5715687-5715697(-) gcacaatggca >hg38_chr5:5725244-5725254(+) TAACAATGTCT >hg38_chr5:5750340-5750350(-) gaacaatagtg >hg38_chr5:5750376-5750386(-) tgacaatgttg >hg38_chr5:5750390-5750400(+) GAACAAAGGAC >hg38_chr5:5883524-5883534(+) AGACAATGGGC >hg38_chr5:6143798-6143808(+) acacaatagct >hg38_chr5:6170378-6170388(+) aaataatggaa >hg38_chr5:6195955-6195965(-) ccacaatgacc >hg38_chr5:6202607-6202617(+) TCACAATGCCC >hg38_chr5:6251720-6251730(-) TAACAATGGAT >hg38_chr5:6402305-6402315(+) aaacaatatat >hg38_chr5:6545366-6545376(-) CGACACTGGCC >hg38_chr5:6561083-6561093(+) atacaatggag >hg38_chr5:6690388-6690398(+) ATACAACGGGC >hg38_chr5:6693652-6693662(-) ccataatggta >hg38_chr5:6701669-6701679(+) ACACAAAGGCA >hg38_chr5:6797527-6797537(+) atacaatgaaa >hg38_chr5:6797537-6797547(+) acacaatgcca >hg38_chr5:6813126-6813136(-) TCACAATGCAC >hg38_chr5:6848137-6848147(-) ccacaatgaga >hg38_chr5:7075791-7075801(+) gcacaatgccc >hg38_chr5:7078311-7078321(+) AGACAGTGGTA >hg38_chr5:7147080-7147090(+) CGACAATACAA >hg38_chr5:7256736-7256746(-) AAACAATATAA >hg38_chr5:7256753-7256763(-) ATACAATGATA >hg38_chr5:7256787-7256797(-) ACATAATGGAC >hg38_chr5:7256795-7256805(-) TAACAATGACA >hg38_chr5:7256812-7256822(-) TTACAAAGGAA >hg38_chr5:7261260-7261270(+) agacaatgcca >hg38_chr5:7272268-7272278(-) CCACAATGGAA >hg38_chr5:7337782-7337792(-) CAACAATGTCC >hg38_chr5:7391422-7391432(-) TGACAATAGGT >hg38_chr5:7401167-7401177(+) gaacaatgcct >hg38_chr5:7562467-7562477(-) AAACAATGAGT >hg38_chr5:7562480-7562490(-) CAACAATGGCC >hg38_chr5:7564370-7564380(-) TCACAATGAAT >hg38_chr5:7564390-7564400(+) Aaacaataacc >hg38_chr5:7596811-7596821(+) AGACAATGTAA >hg38_chr5:7607271-7607281(-) GAATAATGGCT >hg38_chr5:7652470-7652480(+) CAACAATGCAG >hg38_chr5:7663983-7663993(-) ACACAATGGCC >hg38_chr5:7669140-7669150(+) ATACACTGGTA >hg38_chr5:7671540-7671550(-) GAACAATAAGA >hg38_chr5:7705468-7705478(+) GAACAATGCCA >hg38_chr5:7763601-7763611(-) gcacaatggtg >hg38_chr5:7861796-7861806(+) AAACAATGGTG >hg38_chr5:7895406-7895416(-) AGACAATAGCA >hg38_chr5:7902569-7902579(-) aaacaatggtg >hg38_chr5:7905234-7905244(+) gtacagtggtt >hg38_chr5:7925898-7925908(+) CGATAATGGCa >hg38_chr5:7927977-7927987(-) ATACAATAGTT >hg38_chr5:7986308-7986318(-) gaacaataaag >hg38_chr5:7986360-7986370(-) atacaatggac >hg38_chr5:8022136-8022146(-) gaacaataaaa >hg38_chr5:8022190-8022200(-) ctacaatggta >hg38_chr5:8060468-8060478(-) AGACAAAGGAA >hg38_chr5:8069953-8069963(+) AGACAATAACA >hg38_chr5:8173254-8173264(+) GCACAATGTCG >hg38_chr5:8207056-8207066(+) caacaatgtcc >hg38_chr5:8322814-8322824(+) ATACAATGCCA >hg38_chr5:8366514-8366524(-) GAACAATGATG >hg38_chr5:8380006-8380016(+) ACACAATGGGC >hg38_chr5:8444670-8444680(-) taataatgggc >hg38_chr5:8460812-8460822(+) TAACAATAAGT >hg38_chr5:8491407-8491417(+) ATACAATGACT >hg38_chr5:8569488-8569498(+) GGACAATGATC >hg38_chr5:8591891-8591901(+) ttacaatgtcc >hg38_chr5:8604674-8604684(+) aaacaatgtgt >hg38_chr5:8646959-8646969(+) ttacaatagat >hg38_chr5:8687039-8687049(+) ATACAATGGTG >hg38_chr5:8729109-8729119(-) TGACAATGTCC >hg38_chr5:8729689-8729699(-) AAACAATATAA >hg38_chr5:8808898-8808908(-) atacaatggac >hg38_chr5:8829860-8829870(-) taacaatgtgg >hg38_chr5:8831121-8831131(+) acacaatgaag >hg38_chr5:8831590-8831600(+) taacaaaggtc >hg38_chr5:8831640-8831650(+) gaacaatgaca >hg38_chr5:8848599-8848609(+) AGACAATAGAC >hg38_chr5:8925789-8925799(+) aaacaatgaag >hg38_chr5:8943742-8943752(+) CTACAATAGGC >hg38_chr5:8962159-8962169(+) CAACAATGATG >hg38_chr5:8997979-8997989(-) AGACAATGGGA >hg38_chr5:8998035-8998045(+) GAACAATAGGG >hg38_chr5:8998045-8998055(-) TAACAATAAAC >hg38_chr5:9019317-9019327(-) ttacaatggca >hg38_chr5:9030740-9030750(-) AAACAATGCAA >hg38_chr5:9030764-9030774(-) AAACAATGATC >hg38_chr5:9035752-9035762(+) TTACAAAGGAC >hg38_chr5:9090630-9090640(+) ACACAATGCCT >hg38_chr5:9108475-9108485(+) AGACAATGGGA >hg38_chr5:9108494-9108504(+) AGACAATGAAG >hg38_chr5:9114879-9114889(-) ttacaataaaa >hg38_chr5:9163544-9163554(+) TAACAATATCT >hg38_chr5:9165025-9165035(+) GAACAATGAAA >hg38_chr5:9211218-9211228(-) GAACAATAAGT >hg38_chr5:9222526-9222536(-) TGACAATGAAT >hg38_chr5:9233220-9233230(-) TTACAAAGGAA >hg38_chr5:9236901-9236911(+) AGACAATGAAA >hg38_chr5:9239201-9239211(+) AAACAATGCTA >hg38_chr5:9241643-9241653(-) AAACAATGGGG >hg38_chr5:9250521-9250531(+) ATACAATGTTT >hg38_chr5:9283264-9283274(-) ggacaatgagc >hg38_chr5:9356757-9356767(-) TGACAATGTTA >hg38_chr5:9359386-9359396(-) TCACAATAGCA >hg38_chr5:9359396-9359406(-) CAACAATGCCT >hg38_chr5:9364732-9364742(-) GAACAATGGGA >hg38_chr5:9364985-9364995(-) GAACAATGAGT >hg38_chr5:9371737-9371747(+) GAACAAAGGAT >hg38_chr5:9379178-9379188(+) ATACAATGTCT >hg38_chr5:9413744-9413754(+) TGACAATGTTT >hg38_chr5:9432094-9432104(+) AGATAATGGAA >hg38_chr5:9443184-9443194(+) CGACAGTGGAC >hg38_chr5:9452180-9452190(+) TTACAATGACA >hg38_chr5:9456674-9456684(+) TAACAAAGGCA >hg38_chr5:9499003-9499013(+) TGACAATGCCG >hg38_chr5:9523554-9523564(+) GGACAAAGGGA >hg38_chr5:9623280-9623290(-) AAACAATGGGG >hg38_chr5:9642724-9642734(+) GAATAATGGAG >hg38_chr5:9644012-9644022(+) aaacaatgccc >hg38_chr5:9654866-9654876(-) acacaatgtat >hg38_chr5:9884965-9884975(+) TAACAATAGAA >hg38_chr5:9887633-9887643(+) caacaatagcc >hg38_chr5:9887689-9887699(+) ggacaaaggcg >hg38_chr5:9924736-9924746(+) tcacaatgact >hg38_chr5:9924782-9924792(+) agacaatagca >hg38_chr5:9947612-9947622(-) CAACAATGGTC >hg38_chr5:9956063-9956073(+) gaacaatgacc >hg38_chr5:9987351-9987361(+) acacaatggcc >hg38_chr5:10157466-10157476(-) agacaatgggg >hg38_chr5:10284261-10284271(-) GAACAATGGAG >hg38_chr5:10324024-10324034(+) gaacaatgcct >hg38_chr5:10350139-10350149(-) cgacaatgtgg >hg38_chr5:10350161-10350171(-) taacattggcg >hg38_chr5:10367783-10367793(-) AAACAATAGTG >hg38_chr5:10372298-10372308(-) TAACAATAGTA >hg38_chr5:10379333-10379343(-) ggataatggta >hg38_chr5:10379356-10379366(+) aaacaatgatg >hg38_chr5:10400565-10400575(+) tcacaatggtt >hg38_chr5:10407113-10407123(-) GGACAATGGAG >hg38_chr5:10415178-10415188(+) AAACAATGAAA >hg38_chr5:10437075-10437085(+) AAACACTGGAC >hg38_chr5:10437090-10437100(-) ATACAATGTAG >hg38_chr5:10449892-10449902(-) AAACAATGAAT >hg38_chr5:10452891-10452901(-) ACACAATGTCT >hg38_chr5:10455935-10455945(-) GAACAAAGGAG >hg38_chr5:10506312-10506322(-) gaacaatgcct >hg38_chr5:10549610-10549620(+) ggacaatgtag >hg38_chr5:10551483-10551493(+) AAACAATGATT >hg38_chr5:10577685-10577695(+) AGACAATAGTC >hg38_chr5:10601538-10601548(-) aaacaatgaga >hg38_chr5:10631527-10631537(-) ccacaatagcc >hg38_chr5:10808457-10808467(-) TAACAAAGGTG >hg38_chr5:10885155-10885165(+) AGACAATGATT >hg38_chr5:10885173-10885183(+) TAACAATGTGA >hg38_chr5:10933079-10933089(+) TTACAATAACC >hg38_chr5:11053850-11053860(-) GAACAATGAAG >hg38_chr5:11053858-11053868(-) AAATAATGGAA >hg38_chr5:11054195-11054205(+) GGACAATGATG >hg38_chr5:11099240-11099250(-) CAACAATAGAA >hg38_chr5:11134812-11134822(+) GGATAATGGCA >hg38_chr5:11167090-11167100(+) ACACAAAGGTC >hg38_chr5:11245127-11245137(+) GAACAAAGGCG >hg38_chr5:11270460-11270470(+) AAACAATGTGG >hg38_chr5:11343623-11343633(+) TAACAATGTAG >hg38_chr5:11399050-11399060(-) GGACAAAGGGT >hg38_chr5:11407213-11407223(-) AAACAATAAAA >hg38_chr5:11422821-11422831(-) GTACAATGAAC >hg38_chr5:11449408-11449418(-) TAACAATGTGG >hg38_chr5:11523839-11523849(+) CAACAATGAGC >hg38_chr5:11525246-11525256(+) GCACAATGTGC >hg38_chr5:11528108-11528118(+) TCACAATGGGT >hg38_chr5:11528160-11528170(-) TAACAATATGT >hg38_chr5:11589107-11589117(+) GCACAAAGGTC >hg38_chr5:11591114-11591124(-) ACATAATGGGG >hg38_chr5:11595224-11595234(+) AAACAATGCTG >hg38_chr5:11609944-11609954(+) ACACAATGACC >hg38_chr5:11651036-11651046(+) aaacaatgggg >hg38_chr5:11679910-11679920(-) ccacaataacg >hg38_chr5:11707379-11707389(-) AGACAACGGGT >hg38_chr5:11707403-11707413(+) GAACAatgacc >hg38_chr5:11784704-11784714(-) caacaatagaa >hg38_chr5:11792784-11792794(+) ACACAATGCTT >hg38_chr5:11797289-11797299(-) TGACAATGACG >hg38_chr5:12063220-12063230(-) caacaatagca >hg38_chr5:12063872-12063882(-) taacaataaaa >hg38_chr5:12161520-12161530(-) AAACTATGGCA >hg38_chr5:12174932-12174942(-) TGACAATAGCA >hg38_chr5:12272743-12272753(-) gtacaaaggta >hg38_chr5:12322231-12322241(+) aaacaatggag >hg38_chr5:12413302-12413312(+) aaacaatgtcc >hg38_chr5:12547642-12547652(-) atacaatggat >hg38_chr5:12624904-12624914(+) agacaatggga >hg38_chr5:12882245-12882255(-) TGACAATGGAT >hg38_chr5:13062979-13062989(+) gaataatggag >hg38_chr5:13127242-13127252(-) acacaatgggg >hg38_chr5:13127249-13127259(-) taacaatacac >hg38_chr5:13134194-13134204(-) GGACAAAGGAG >hg38_chr5:13246160-13246170(-) AGACAAAGGCA >hg38_chr5:13246779-13246789(+) TGACAATGCCA >hg38_chr5:13250820-13250830(+) GCACAATGCTT >hg38_chr5:13326384-13326394(-) GAATAATGGTG >hg38_chr5:13404024-13404034(+) GGATAATGGAC >hg38_chr5:13423913-13423923(-) agactatggaa >hg38_chr5:13441641-13441651(-) GTACAATGGGG >hg38_chr5:13463638-13463648(-) TAACAATAGAT >hg38_chr5:13496349-13496359(-) acacaatgagt >hg38_chr5:13567895-13567905(+) ctacaatgatc >hg38_chr5:13589122-13589132(+) TAACAATGCTG >hg38_chr5:13595605-13595615(+) taataatagta >hg38_chr5:13595627-13595637(-) tcacaatagtt >hg38_chr5:13595648-13595658(+) agataatggat >hg38_chr5:13622162-13622172(-) gaacaatggcc >hg38_chr5:13749812-13749822(+) TGACAATGCTA >hg38_chr5:13763273-13763283(+) CCACAATGAAA >hg38_chr5:13842884-13842894(+) GAACAAAGGCT >hg38_chr5:13865548-13865558(+) GAACAATGCAG >hg38_chr5:13909012-13909022(+) GGACAAAGGAA >hg38_chr5:13910178-13910188(+) ccacaatggtt >hg38_chr5:13944865-13944875(+) GAACAATGAGA >hg38_chr5:13956491-13956501(-) AGACAATAACA >hg38_chr5:14011284-14011294(+) GGACAATGGGT >hg38_chr5:14045469-14045479(-) gcacaatgtct >hg38_chr5:14054588-14054598(+) taacaatgaaa >hg38_chr5:14106078-14106088(-) GAACAATAAAG >hg38_chr5:14118917-14118927(-) TAACTATGGCT >hg38_chr5:14165644-14165654(-) AAACAAAGGAA >hg38_chr5:14192112-14192122(+) TGACAATAATA >hg38_chr5:14222561-14222571(-) AGACAATGCTT >hg38_chr5:14268304-14268314(-) CCACAATGGAG >hg38_chr5:14324359-14324369(-) AAACAATGAGA >hg38_chr5:14324394-14324404(-) ATACAATACAT >hg38_chr5:14328302-14328312(+) AAACAATGTGC >hg38_chr5:14328328-14328338(+) AAACAATGCAC >hg38_chr5:14328354-14328364(+) AAACAATGCAC >hg38_chr5:14376045-14376055(+) TGATAATGGTA >hg38_chr5:14387100-14387110(-) TCACAATGCTG >hg38_chr5:14399144-14399154(-) AGACAATGAAC >hg38_chr5:14425131-14425141(-) taacaatgtga >hg38_chr5:14451858-14451868(-) GAACAATGAAA >hg38_chr5:14451892-14451902(+) CTACAATGGAC >hg38_chr5:14470246-14470256(+) TAACAATGAAA >hg38_chr5:14479219-14479229(-) TAACAATGGGA >hg38_chr5:14554913-14554923(-) CAACAATGAAA >hg38_chr5:14572119-14572129(-) CTATAATggtg >hg38_chr5:14572164-14572174(+) ATACAATAGTG >hg38_chr5:14603540-14603550(-) gcacaatgata >hg38_chr5:14603774-14603784(+) taacaatgtAA >hg38_chr5:14675641-14675651(-) GTACAATGCCA >hg38_chr5:14728575-14728585(-) GAACAAAGACG >hg38_chr5:14728587-14728597(+) AGACAAAGGGT >hg38_chr5:14806788-14806798(+) ACACAATGAAT >hg38_chr5:14908447-14908457(+) AGACAATGCCC >hg38_chr5:14924867-14924877(+) TAACAATGCAG >hg38_chr5:14937485-14937495(+) GGACAATGTGG >hg38_chr5:14947639-14947649(-) GTACAATAAAC >hg38_chr5:14947687-14947697(+) AAACAACGGAA >hg38_chr5:14977426-14977436(+) GCACAATGAGC >hg38_chr5:15003534-15003544(+) AAACAATGCCC >hg38_chr5:15004569-15004579(+) GTACAATAGCA >hg38_chr5:15009597-15009607(-) TTACAAAGGTT >hg38_chr5:15009615-15009625(-) ATACAAAGGGG >hg38_chr5:15027109-15027119(+) TTACACTGGTA >hg38_chr5:15085536-15085546(+) GTACAATGGTG >hg38_chr5:15106007-15106017(-) GAACAATGTTC >hg38_chr5:15137620-15137630(+) tcacaatggga >hg38_chr5:15139042-15139052(-) CAACAATGGGT >hg38_chr5:15141304-15141314(-) AAACAAAGGCA >hg38_chr5:15150532-15150542(-) GCACAATGGGG >hg38_chr5:15159785-15159795(-) TCACAATAGAA >hg38_chr5:15212024-15212034(+) tgacaatggac >hg38_chr5:15212059-15212069(+) aaataatggac >hg38_chr5:15232381-15232391(-) ACACAATGTTT >hg38_chr5:15232398-15232408(+) AAATAATGGAA >hg38_chr5:15253208-15253218(-) ggacaATGTTA >hg38_chr5:15288868-15288878(+) GCACAATGAGT >hg38_chr5:15307372-15307382(-) gaacaatggaa >hg38_chr5:15307798-15307808(-) acacaatgcca >hg38_chr5:15309985-15309995(-) CCACAATAGAC >hg38_chr5:15330702-15330712(+) GAACAATGTTA >hg38_chr5:15364213-15364223(-) GCACAATGACG >hg38_chr5:15367282-15367292(-) GAACAATGCTC >hg38_chr5:15388162-15388172(-) AAACAAAGGGA >hg38_chr5:15393654-15393664(-) AAACAATAAAC >hg38_chr5:15433298-15433308(-) ttacaatagtt >hg38_chr5:15447385-15447395(+) AGACAATAGAC >hg38_chr5:15454515-15454525(-) tcacaatagca >hg38_chr5:15454962-15454972(-) acacaatagag >hg38_chr5:15483994-15484004(+) GGACAATGAAG >hg38_chr5:15500685-15500695(+) GAACAATGGCA >hg38_chr5:15501607-15501617(+) TTACAAAGGAG >hg38_chr5:15507265-15507275(-) TAACAGTGGAA >hg38_chr5:15507302-15507312(+) TAACAATAACG >hg38_chr5:15544750-15544760(-) CCACAATGAAT >hg38_chr5:15550669-15550679(-) ATACAAAGGTT >hg38_chr5:15554625-15554635(+) ttacaaaggga >hg38_chr5:15564422-15564432(+) ATACAATATGT >hg38_chr5:15566415-15566425(+) AAACAATAGTT >hg38_chr5:15571249-15571259(+) AAACAATGCCG >hg38_chr5:15571329-15571339(+) CAACAATGAAA >hg38_chr5:15573566-15573576(+) TTACAAAGGAA >hg38_chr5:15575836-15575846(-) GTACAATGAGA >hg38_chr5:15585285-15585295(-) GGACAATGAGT >hg38_chr5:15601342-15601352(+) TTACAATGGAA >hg38_chr5:15632159-15632169(-) taacaatacac >hg38_chr5:15698432-15698442(+) GAATAATGACG >hg38_chr5:15698770-15698780(+) TAACAAAGGTT >hg38_chr5:15705667-15705677(-) CAACAATGTCA >hg38_chr5:15785831-15785841(-) GCACAATGCGT >hg38_chr5:15794798-15794808(-) AAACAATAGCT >hg38_chr5:15796750-15796760(-) ACACAATGGAT >hg38_chr5:15808193-15808203(-) CAACAATGGGT >hg38_chr5:15837751-15837761(-) GGACAATGCAC >hg38_chr5:15850636-15850646(-) ATACAATGCTG >hg38_chr5:15919220-15919230(-) TTACAATGGCC >hg38_chr5:15933533-15933543(+) AAACAAAGGGA >hg38_chr5:15989114-15989124(-) caacaatgaca >hg38_chr5:16061377-16061387(+) CAACAATGGCA >hg38_chr5:16135185-16135195(-) taacaatgcca >hg38_chr5:16206483-16206493(-) GAACAATGAAT >hg38_chr5:16293497-16293507(-) gtacaataagt >hg38_chr5:16318059-16318069(+) ttacaaaggac >hg38_chr5:16333652-16333662(+) agacaatacga >hg38_chr5:16333676-16333686(+) atacaatggag >hg38_chr5:16333966-16333976(+) agacaatggga >hg38_chr5:16405397-16405407(+) ACACAAAGGAA >hg38_chr5:16405411-16405421(+) CGACAATAGAC >hg38_chr5:16459600-16459610(-) aaacaatgctg >hg38_chr5:16474011-16474021(-) AGACAATGGTT >hg38_chr5:16475506-16475516(-) AGACAAAGGTG >hg38_chr5:16475987-16475997(-) AGACAATAATA >hg38_chr5:16479288-16479298(+) acacaATGCTC >hg38_chr5:16479301-16479311(-) AAACAATGACT >hg38_chr5:16496726-16496736(+) ttacaatggaa >hg38_chr5:16496735-16496745(+) aaacaacgggc >hg38_chr5:16509265-16509275(-) GCATAATGGCT >hg38_chr5:16557600-16557610(-) GCACAATAGAG >hg38_chr5:16560386-16560396(+) TAACAATGGCA >hg38_chr5:16579659-16579669(-) aaactatggag >hg38_chr5:16591628-16591638(-) ACACAAAGGGA >hg38_chr5:16591830-16591840(+) AAACAATGCAG >hg38_chr5:16632181-16632191(+) taacagtggaa >hg38_chr5:16690383-16690393(+) CTACAATGGTT >hg38_chr5:16712361-16712371(+) GGACAATAGGT >hg38_chr5:16713498-16713508(-) CGAGAATGGAA >hg38_chr5:16736409-16736419(+) AAACAATGGCT >hg38_chr5:16736805-16736815(+) TAACAATGCCT >hg38_chr5:16741039-16741049(+) TCACAATGAAA >hg38_chr5:16763504-16763514(-) AGACAATGGAG >hg38_chr5:16768438-16768448(+) GGACAATGCCA >hg38_chr5:16791572-16791582(+) gcacaATGATC >hg38_chr5:16797564-16797574(+) aaacaatgcca >hg38_chr5:16854444-16854454(+) acacaaaggac >hg38_chr5:16854456-16854466(-) aaacaatacgt >hg38_chr5:16855655-16855665(-) TAACAAAGGAC >hg38_chr5:16855703-16855713(-) TGACAATGGGT >hg38_chr5:16882544-16882554(+) atacaatgaga >hg38_chr5:16884812-16884822(-) ccacaatgtca >hg38_chr5:16888876-16888886(+) AAACAATAGGC >hg38_chr5:16897651-16897661(+) AGACAATGAAA >hg38_chr5:16916712-16916722(+) AAACAATAGGC >hg38_chr5:16939274-16939284(-) TCACAAAGGAA >hg38_chr5:16994639-16994649(-) TTACAATCGTT >hg38_chr5:17014916-17014926(+) TGACAATGCTG >hg38_chr5:17050535-17050545(-) GATCAATGGCG >hg38_chr5:17056160-17056170(-) TAACAATGGTA >hg38_chr5:17096874-17096884(+) TTACAATGGGT >hg38_chr5:17106764-17106774(+) gaacaatagca >hg38_chr5:17133052-17133062(-) CCACAATGTTT >hg38_chr5:17141315-17141325(-) tcacaatgggg >hg38_chr5:17147419-17147429(+) TGACAATGCTG >hg38_chr5:17214305-17214315(+) TGACAATGAGA >hg38_chr5:17214314-17214324(-) ATATAATGGTC >hg38_chr5:17216378-17216388(-) TGACAACGGTA >hg38_chr5:17218805-17218815(+) GCACAATGCGC >hg38_chr5:17219192-17219202(-) AGACAAAGGAA >hg38_chr5:17223345-17223355(+) ggacaatgcct >hg38_chr5:17257842-17257852(+) GAACAATGGAA >hg38_chr5:17261953-17261963(-) CAACAACGGAC >hg38_chr5:17264001-17264011(+) CAACAATGGAA >hg38_chr5:17288869-17288879(+) ggacaaagggc >hg38_chr5:17300349-17300359(-) GAACAATGAGT >hg38_chr5:17316704-17316714(-) GAACAAAGGAT >hg38_chr5:17375583-17375593(+) TAACAGTGGAC >hg38_chr5:17402359-17402369(+) AGACAATGCAA >hg38_chr5:17402407-17402417(-) GAACAAAGGAG >hg38_chr5:17415599-17415609(-) AAACAATGGTG >hg38_chr5:17423741-17423751(-) gcataatggct >hg38_chr5:17454613-17454623(+) GCACAATGGGT >hg38_chr5:17664442-17664452(+) gaataatggcc >hg38_chr5:17664492-17664502(-) ccacaatgaaa >hg38_chr5:17679990-17680000(-) taacaatggtg >hg38_chr5:17801814-17801824(-) ACACAATGGCT >hg38_chr5:17803229-17803239(+) ATAcaattgac >hg38_chr5:17807313-17807323(+) AAACAACGGCA >hg38_chr5:17830264-17830274(+) ATACAATGAAG >hg38_chr5:17831492-17831502(-) TTACAAAGGAG >hg38_chr5:17833592-17833602(-) tgacaatgAGt >hg38_chr5:17845330-17845340(+) agataatggac >hg38_chr5:17989159-17989169(+) GAACAAAGGAC >hg38_chr5:17989182-17989192(+) ACACAATGGGC >hg38_chr5:17996224-17996234(-) atacaatggaa >hg38_chr5:18023371-18023381(+) ATACAATGCAT >hg38_chr5:18023376-18023386(-) GGACAATGCAT >hg38_chr5:18095197-18095207(+) ggacaaaggga >hg38_chr5:18099385-18099395(-) AAACAATGATA >hg38_chr5:18110907-18110917(-) agacaatggct >hg38_chr5:18110939-18110949(-) gcacaatagag >hg38_chr5:18110952-18110962(-) ccacaatggcc >hg38_chr5:18190782-18190792(-) atataatggct >hg38_chr5:18213465-18213475(-) AGACAATGAGG >hg38_chr5:18213496-18213506(-) ATACAATACAT >hg38_chr5:18305302-18305312(+) ACACAGTGGAA >hg38_chr5:18327471-18327481(-) taacagtggat >hg38_chr5:18337564-18337574(-) CAATAATGGAT >hg38_chr5:18337588-18337598(-) AGACAATGGGA >hg38_chr5:18389381-18389391(+) tcacaatgtgc >hg38_chr5:18459630-18459640(-) aaacaataatc >hg38_chr5:18466262-18466272(-) AAATAATGGTT >hg38_chr5:18600816-18600826(+) taacaatggaa >hg38_chr5:18644834-18644844(+) AAACAATGGTT >hg38_chr5:18796976-18796986(+) taacaatgtgt >hg38_chr5:18805129-18805139(-) TGACAATGATG >hg38_chr5:18858254-18858264(+) TCACAATGACA >hg38_chr5:18941031-18941041(-) taacaatggcc >hg38_chr5:18972354-18972364(-) acacaatgtta >hg38_chr5:18985564-18985574(+) ggacaatagaa >hg38_chr5:19033122-19033132(-) ATACAATAAAG >hg38_chr5:19035990-19036000(-) gAACAATGGAC >hg38_chr5:19225081-19225091(-) acacaataata >hg38_chr5:19240062-19240072(+) AAATAATGGGG >hg38_chr5:19241656-19241666(+) atataatgcgc >hg38_chr5:19279774-19279784(-) gaacaataaag >hg38_chr5:19361108-19361118(-) cgacaatacat >hg38_chr5:19511822-19511832(+) tgacaatgaaa >hg38_chr5:19647689-19647699(-) ACACAATGACC >hg38_chr5:19750902-19750912(-) GGACAATATAA >hg38_chr5:19766827-19766837(-) ttacaatgaga >hg38_chr5:19768287-19768297(+) aaacaatagaa >hg38_chr5:19769958-19769968(+) aaacaataaat >hg38_chr5:19928080-19928090(-) TGACAATGAAT >hg38_chr5:19928640-19928650(+) GAACAATGGGC >hg38_chr5:19930166-19930176(+) gaacaaaggga >hg38_chr5:20011093-20011103(-) AGATAATGGCA >hg38_chr5:20020378-20020388(+) agacaatgggg >hg38_chr5:20036522-20036532(-) aaacaatgtga >hg38_chr5:20044231-20044241(+) atacaatgggg >hg38_chr5:20051148-20051158(+) ATACAATAATA >hg38_chr5:20088849-20088859(-) AGACAATAGAC >hg38_chr5:20089214-20089224(+) CAACAATAGAT >hg38_chr5:20089232-20089242(-) AAACAATGAAA >hg38_chr5:20099344-20099354(+) TGACAATGACT >hg38_chr5:20103266-20103276(+) TAACAATGAGC >hg38_chr5:20109960-20109970(-) ATACAATAACA >hg38_chr5:20261919-20261929(+) TAACAATAACT >hg38_chr5:20321392-20321402(-) GAACAATAGAT >hg38_chr5:20331259-20331269(+) TAACAATATGT >hg38_chr5:20497429-20497439(-) acacaatggta >hg38_chr5:20571822-20571832(-) ACACAATGTGA >hg38_chr5:20572857-20572867(+) CAACAATGCAA >hg38_chr5:20572866-20572876(+) AAACAATAGTG >hg38_chr5:20594880-20594890(-) gaacaatgaaa >hg38_chr5:20833104-20833114(+) CTATAATGGGT >hg38_chr5:21017558-21017568(+) gcacaatgata >hg38_chr5:21120263-21120273(+) agacaatgaaa >hg38_chr5:21120283-21120293(+) atacaatgcat >hg38_chr5:21120288-21120298(-) gcacaatgcat >hg38_chr5:21121595-21121605(-) GAATAATGgtg >hg38_chr5:21121641-21121651(+) AAACAATGCtg >hg38_chr5:21232295-21232305(+) ttacaatggct >hg38_chr5:21245144-21245154(+) aaacaatgggg >hg38_chr5:21370515-21370525(-) agacaatggtt >hg38_chr5:21426722-21426732(-) AAACAATGACT >hg38_chr5:21432684-21432694(+) CAACAATGAAA >hg38_chr5:21682899-21682909(+) ttacaatgtat >hg38_chr5:21682917-21682927(-) acacaatgttg >hg38_chr5:21741903-21741913(-) ttacaatgccc >hg38_chr5:21741967-21741977(+) aaacaataaac >hg38_chr5:21784655-21784665(+) AAACAATGGCT >hg38_chr5:21784678-21784688(-) TAACAATGATG >hg38_chr5:21784722-21784732(-) TCACAATGAAC >hg38_chr5:21812820-21812830(+) CTACAATGTTC >hg38_chr5:21875654-21875664(-) GTACAATGCAC >hg38_chr5:21919473-21919483(-) AAATAATGGCA >hg38_chr5:22017188-22017198(-) AGACAAAGGAG >hg38_chr5:22045257-22045267(+) ttacaatggct >hg38_chr5:22045278-22045288(+) agacaatagat >hg38_chr5:22218174-22218184(-) ccacaatgtat >hg38_chr5:22322162-22322172(+) AGACAATGGAA >hg38_chr5:22496661-22496671(+) aaacaatggaa >hg38_chr5:22501670-22501680(+) TAACAATAACC >hg38_chr5:22506392-22506402(+) atacaatacta >hg38_chr5:22528471-22528481(-) ttacaatgcaa >hg38_chr5:22541189-22541199(+) AGACAATGGTG >hg38_chr5:22554676-22554686(+) CTACAATAGCC >hg38_chr5:22611496-22611506(+) TAACAAAggga >hg38_chr5:22611528-22611538(+) gaacaatgcag >hg38_chr5:22614943-22614953(+) atacactggac >hg38_chr5:22614950-22614960(+) ggacaaaggga >hg38_chr5:22631964-22631974(+) caacaatgggg >hg38_chr5:22649233-22649243(-) aaacaatggaa >hg38_chr5:22664049-22664059(+) ACATAATGGTA >hg38_chr5:22718823-22718833(-) ccacaatgcat >hg38_chr5:22730726-22730736(+) CAACAATGGAA >hg38_chr5:22835976-22835986(-) GAACAAAGGAA >hg38_chr5:22836005-22836015(-) GAACAATAGCT >hg38_chr5:22853532-22853542(-) CTACAATGAAC >hg38_chr5:22855203-22855213(+) ttacaatggca >hg38_chr5:22890669-22890679(+) AAACAATGAAG >hg38_chr5:22911216-22911226(-) TAACAATGATA >hg38_chr5:22929233-22929243(-) gaacaaaggag >hg38_chr5:22936538-22936548(+) agacaatagga >hg38_chr5:23042971-23042981(+) ACACAATAGCC >hg38_chr5:23042978-23042988(-) AAATAATGGCT >hg38_chr5:23113574-23113584(-) gaaCAATGAAT >hg38_chr5:23251883-23251893(+) tgacaaaggta >hg38_chr5:23251922-23251932(+) ATACAATATGT >hg38_chr5:23345008-23345018(+) gtacaatggtg >hg38_chr5:23383996-23384006(-) GAACAAAGGGT >hg38_chr5:23403481-23403491(-) atacaatgtct >hg38_chr5:23403554-23403564(-) ggacaatgccc >hg38_chr5:23440837-23440847(-) aaacaatgact >hg38_chr5:23654694-23654704(-) ttacaaaggtc >hg38_chr5:23772471-23772481(+) taacaatgttg >hg38_chr5:23974844-23974854(-) taataatgggt >hg38_chr5:24071201-24071211(+) GCACAATGTTG >hg38_chr5:24505492-24505502(-) TGACAATAGAC >hg38_chr5:24529909-24529919(-) TAACAATGGGT >hg38_chr5:24577879-24577889(+) GCACAATGATC >hg38_chr5:24633876-24633886(-) ACACAATGTTT >hg38_chr5:24633893-24633903(+) GAACAATAATT >hg38_chr5:24644126-24644136(+) GTACAATGAAC >hg38_chr5:24727171-24727181(+) caacaatgcac >hg38_chr5:24795009-24795019(+) atacaatgtga >hg38_chr5:24795019-24795029(+) aaacaatagca >hg38_chr5:24801070-24801080(-) gtataatgata >hg38_chr5:24801077-24801087(+) atacaatgttc >hg38_chr5:24851122-24851132(-) CCACAATGAAA >hg38_chr5:25067638-25067648(-) TAACAATGGGA >hg38_chr5:25106630-25106640(+) tcacaATAGTC >hg38_chr5:25242152-25242162(-) ccacaatgcat >hg38_chr5:25388272-25388282(+) ATACAATTGTA >hg38_chr5:25420526-25420536(+) tgataatggag >hg38_chr5:25424819-25424829(-) ACACAATGCCC >hg38_chr5:25451634-25451644(-) GTACAATAGGC >hg38_chr5:25481618-25481628(+) TAACAATGCAG >hg38_chr5:25501383-25501393(-) caacaatggga >hg38_chr5:25559016-25559026(-) AGACAGTGGAT >hg38_chr5:25615574-25615584(+) caacaatgtgg >hg38_chr5:25615595-25615605(+) aaacaaaggcc >hg38_chr5:25641357-25641367(-) aaacaataacc >hg38_chr5:25641370-25641380(+) acaCAATGTAA >hg38_chr5:25739170-25739180(+) acacaatgcag >hg38_chr5:25815313-25815323(+) gaacaacggaa >hg38_chr5:25846650-25846660(+) gtataatggca >hg38_chr5:25933602-25933612(-) TGACAATGAGT >hg38_chr5:25944861-25944871(-) GAACAATAGAC >hg38_chr5:25964841-25964851(+) tgacaatgagc >hg38_chr5:26038894-26038904(+) ATACAATGACA >hg38_chr5:26065195-26065205(+) AGACAATGTAA >hg38_chr5:26065238-26065248(+) GAACAATGACA >hg38_chr5:26180629-26180639(+) TGACAATGTGA >hg38_chr5:26250520-26250530(-) gaacaatgggg >hg38_chr5:26250554-26250564(-) tcacaaaggac >hg38_chr5:26313390-26313400(+) atacaatgtct >hg38_chr5:26389251-26389261(+) ctacaaaggat >hg38_chr5:26464676-26464686(-) agacaatagta >hg38_chr5:26483022-26483032(-) gtacaatgctg >hg38_chr5:26483058-26483068(-) gaacaaaggct >hg38_chr5:26489036-26489046(-) acacaatgaca >hg38_chr5:26497958-26497968(+) TGACAATGATA >hg38_chr5:26666546-26666556(+) GCACAATGCAC >hg38_chr5:26666582-26666592(+) CAACAATAGAT >hg38_chr5:26677182-26677192(-) GAACAATAATT >hg38_chr5:26848199-26848209(+) AGATAATGGTA >hg38_chr5:26894153-26894163(-) CAACAATAGAG >hg38_chr5:26894824-26894834(-) TGACAATGGCC >hg38_chr5:26908103-26908113(+) TAACAATGACA >hg38_chr5:26931273-26931283(-) ccacaatagca >hg38_chr5:26931303-26931313(+) gtacaaaggga >hg38_chr5:27038431-27038441(-) TGACAATGTAA >hg38_chr5:27038469-27038479(+) TAACAATGGAA >hg38_chr5:27049931-27049941(-) taacaaaggtg >hg38_chr5:27109597-27109607(+) taacaaaggca >hg38_chr5:27163654-27163664(+) taacaatgttt >hg38_chr5:27176090-27176100(-) acacaatggct >hg38_chr5:27272769-27272779(-) caacaatagta >hg38_chr5:27272780-27272790(-) gtacaatagtc >hg38_chr5:27318594-27318604(+) ctacaATAGTG >hg38_chr5:27319193-27319203(+) gaacaatatca >hg38_chr5:27319199-27319209(-) CGACAAtgata >hg38_chr5:27319214-27319224(-) GAACAATGACA >hg38_chr5:27319242-27319252(+) GGACAATGCTA >hg38_chr5:27367033-27367043(+) aaacaatgtta >hg38_chr5:27385753-27385763(+) GAACAATGAAA >hg38_chr5:27410649-27410659(-) TGACAATGGAG >hg38_chr5:27471901-27471911(+) ggacaatatta >hg38_chr5:27499406-27499416(+) TAACAATACAA >hg38_chr5:27499465-27499475(-) AAACCATGGTA >hg38_chr5:27542047-27542057(+) acacaatagta >hg38_chr5:27807393-27807403(-) TGACAATGTAG >hg38_chr5:27841455-27841465(-) ACACAATGATT >hg38_chr5:27876344-27876354(-) taacaatgatg >hg38_chr5:28015049-28015059(+) tcactatggaa >hg38_chr5:28044063-28044073(-) tgacaatagcc >hg38_chr5:28044110-28044120(+) gaacaaaggga >hg38_chr5:28143646-28143656(+) TGACAATGAAG >hg38_chr5:28165478-28165488(+) GAACAATAATA >hg38_chr5:28173299-28173309(+) tgacaatggaa >hg38_chr5:28208503-28208513(+) ccacaatgaga >hg38_chr5:28229894-28229904(-) agacaatagaa >hg38_chr5:28313411-28313421(-) GCACAATGCTA >hg38_chr5:28360804-28360814(+) ttacaatagcc >hg38_chr5:28400291-28400301(-) gcacaaaggaa >hg38_chr5:28404037-28404047(+) TAACAAAGGAA >hg38_chr5:28404120-28404130(-) GTACAATACAT >hg38_chr5:28734621-28734631(+) AAACAATGACT >hg38_chr5:28862507-28862517(+) gtacagtggtg >hg38_chr5:28913685-28913695(+) AAACAATAGAA >hg38_chr5:28913697-28913707(+) TAACAAAGGAA >hg38_chr5:28913727-28913737(-) TAACAATGCTT >hg38_chr5:29367346-29367356(+) AGACAATGGAA >hg38_chr5:29571761-29571771(-) taacaatatcc >hg38_chr5:29635479-29635489(+) gcacaatgcac >hg38_chr5:29772771-29772781(+) GCACAATGTTG >hg38_chr5:29785356-29785366(+) GGACAATGAGA >hg38_chr5:29807654-29807664(-) GTATAATGGCA >hg38_chr5:29813927-29813937(-) AAACAATACGT >hg38_chr5:29833584-29833594(-) TGACAATCGAG >hg38_chr5:29833614-29833624(+) TTACAAAGGGT >hg38_chr5:29889113-29889123(+) AAACAAAGGCC >hg38_chr5:29983639-29983649(+) agacaatgaga >hg38_chr5:29983698-29983708(+) agacaatgcat >hg38_chr5:30030959-30030969(+) ATACAATACTC >hg38_chr5:30050601-30050611(-) aaacaatgggt >hg38_chr5:30052219-30052229(-) TTACAATGGAC >hg38_chr5:30052234-30052244(+) AAACAATGTTA >hg38_chr5:30100899-30100909(-) acacagtggaa >hg38_chr5:30144967-30144977(-) atacaatacgc >hg38_chr5:30148107-30148117(-) AGACAATGGTC >hg38_chr5:30184228-30184238(-) TAATAATGGAG >hg38_chr5:30184265-30184275(-) TAATAATGGCA >hg38_chr5:30380398-30380408(-) AAACAAAGGAA >hg38_chr5:30449412-30449422(+) GAACAAAGGCG >hg38_chr5:30522226-30522236(+) atacaaaggga >hg38_chr5:30543327-30543337(+) caacaatgcaa >hg38_chr5:30559050-30559060(-) TCACAATGTAG >hg38_chr5:30595288-30595298(-) AGACAATGACC >hg38_chr5:30596898-30596908(+) GAACAGTGGGA >hg38_chr5:30607449-30607459(+) taacaatagga >hg38_chr5:30686064-30686074(-) CGACAGTGGCT >hg38_chr5:30738726-30738736(+) GAATAATGGAA >hg38_chr5:30781838-30781848(-) CTACAATGGGC >hg38_chr5:30783388-30783398(-) TGACAGTGGTA >hg38_chr5:30783474-30783484(+) AAACAATGAAA >hg38_chr5:30804966-30804976(+) acacaatgtgg >hg38_chr5:30849805-30849815(+) caacaataggc >hg38_chr5:30852669-30852679(-) atacaatgcta >hg38_chr5:30870338-30870348(+) ggacaatggat >hg38_chr5:30909032-30909042(+) GAACAAAGGCA >hg38_chr5:30909065-30909075(-) TAATAATGTAA >hg38_chr5:30928922-30928932(+) GTACAATGAGC >hg38_chr5:30928955-30928965(+) TAACAAAGGAG >hg38_chr5:30940303-30940313(+) TAACAATGACT >hg38_chr5:30956436-30956446(-) GAACAATGACT >hg38_chr5:30981452-30981462(+) CAACAATAGGG >hg38_chr5:30991388-30991398(-) TGACAATGGGC >hg38_chr5:30995140-30995150(+) ACACAATGAAA >hg38_chr5:30995149-30995159(-) ACACAATGTTT >hg38_chr5:31023214-31023224(+) AAACAATGGCA >hg38_chr5:31023459-31023469(-) GAACAATGCAA >hg38_chr5:31036636-31036646(-) CAACAATGGTC >hg38_chr5:31063052-31063062(+) GTACAATGACA >hg38_chr5:31096860-31096870(-) TTACAATGAGA >hg38_chr5:31120461-31120471(+) GAATAATGGAT >hg38_chr5:31120518-31120528(+) ACACAATGAAT >hg38_chr5:31139849-31139859(+) tgacaatagAG >hg38_chr5:31149259-31149269(-) AAACAATGAGC >hg38_chr5:31161909-31161919(+) GAACAAAGGAT >hg38_chr5:31173696-31173706(+) CAACAATGAAT >hg38_chr5:31186850-31186860(-) GGACAAAGGAA >hg38_chr5:31186882-31186892(+) TAACAATAGGT >hg38_chr5:31213966-31213976(-) GAACAATGGCT >hg38_chr5:31221156-31221166(-) TGACAAAGGAC >hg38_chr5:31236282-31236292(-) GTACAATGAGG >hg38_chr5:31289630-31289640(-) Aaacaatgttg >hg38_chr5:31295084-31295094(-) CTACAATGTCT >hg38_chr5:31309101-31309111(+) AAACAATAGCT >hg38_chr5:31349257-31349267(+) GAACAATGATG >hg38_chr5:31349686-31349696(-) ACACAATGAGA >hg38_chr5:31401293-31401303(+) CAACAATAGCG >hg38_chr5:31401340-31401350(-) AGACAATGAAT >hg38_chr5:31422590-31422600(+) TGACAATGGAA >hg38_chr5:31442405-31442415(-) AAACAATGAAA >hg38_chr5:31472319-31472329(+) AGACAATGGAG >hg38_chr5:31488017-31488027(+) ATACAATGCAA >hg38_chr5:31534190-31534200(-) AAACAATGCTA >hg38_chr5:31586826-31586836(-) aaataatggtt >hg38_chr5:31622134-31622144(+) AAACAAAGGGA >hg38_chr5:31670158-31670168(-) AAACAATGCCT >hg38_chr5:31753939-31753949(-) GAACAATGGGA >hg38_chr5:31819941-31819951(+) acacaatgggt >hg38_chr5:31838585-31838595(-) GAACAATGCCT >hg38_chr5:31838615-31838625(+) GAACAAAGGCT >hg38_chr5:31854399-31854409(-) TTACAATCGCC >hg38_chr5:31921958-31921968(-) TAACAATGCAA >hg38_chr5:31931784-31931794(+) ATACAATGAAG >hg38_chr5:31982144-31982154(-) tgacaatgtgg >hg38_chr5:31992563-31992573(-) TAACAAAGGAT >hg38_chr5:32028136-32028146(+) GCACAAAGGAA >hg38_chr5:32033072-32033082(-) AAACAATGCCA >hg38_chr5:32076922-32076932(+) TCACAATGGAT >hg38_chr5:32110553-32110563(-) AAACAATGACA >hg38_chr5:32111021-32111031(+) GTACAAAGGCA >hg38_chr5:32124634-32124644(+) TTACAATGCTA >hg38_chr5:32149331-32149341(+) atacagtgggt >hg38_chr5:32157522-32157532(-) aaacaatggat >hg38_chr5:32190547-32190557(+) gcacaatggag >hg38_chr5:32192418-32192428(+) acacaatggaa >hg38_chr5:32210105-32210115(-) AAACAAAGGGA >hg38_chr5:32248851-32248861(-) CCACAATGGAA >hg38_chr5:32284970-32284980(+) GCACAAAGGAA >hg38_chr5:32368894-32368904(+) CAACAATGGGC >hg38_chr5:32378200-32378210(+) ATACAATGAGG >hg38_chr5:32386749-32386759(-) GGACAATGAAG >hg38_chr5:32388200-32388210(+) CTATAATGGCT >hg38_chr5:32413697-32413707(-) TTACAATGAAA >hg38_chr5:32414174-32414184(+) ccacaatgaac >hg38_chr5:32414218-32414228(-) atacaatgatg >hg38_chr5:32420759-32420769(+) ctacaatgcac >hg38_chr5:32443366-32443376(+) TTACAATGACT >hg38_chr5:32449305-32449315(-) aaacaatggac >hg38_chr5:32475525-32475535(+) TTACCATGGCG >hg38_chr5:32475591-32475601(-) GAACAAAGGAA >hg38_chr5:32490297-32490307(-) TTACAAAGGGA >hg38_chr5:32506422-32506432(-) GTACAATAACA >hg38_chr5:32506712-32506722(-) acacaatagaa >hg38_chr5:32559565-32559575(-) gaacaataaaa >hg38_chr5:32563144-32563154(+) ctacaatgctt >hg38_chr5:32612965-32612975(+) TAACAATAGTT >hg38_chr5:32613026-32613036(+) CAACAATAGGC >hg38_chr5:32613481-32613491(+) gcacaatgttc >hg38_chr5:32708113-32708123(-) AGACAATGTTA >hg38_chr5:32723236-32723246(+) ACACAGTGGTA >hg38_chr5:32738346-32738356(-) gaacaatgaga >hg38_chr5:32738404-32738414(-) TGACAATGGAT >hg38_chr5:32760146-32760156(-) tcacaatagtt >hg38_chr5:32760905-32760915(+) ccacaatgcat >hg38_chr5:32760933-32760943(-) agacaatggga >hg38_chr5:32785763-32785773(-) TTACAAAGGAA >hg38_chr5:32851651-32851661(-) GCACAAAGGTC >hg38_chr5:32904572-32904582(+) ttacaatggtg >hg38_chr5:32904601-32904611(+) tgacaatgtat >hg38_chr5:32904630-32904640(-) acacaatagaa >hg38_chr5:32904640-32904650(-) gaacaatgtaa >hg38_chr5:32914150-32914160(+) CTACAATGTCT >hg38_chr5:32952609-32952619(-) agacaatagat >hg38_chr5:32960752-32960762(+) CAACAATGCTT >hg38_chr5:32964358-32964368(-) GCACAATGTGA >hg38_chr5:32971288-32971298(+) CAACAATGCTT >hg38_chr5:33044171-33044181(+) GCACAAAGGGA >hg38_chr5:33052342-33052352(+) gaacaaaggag >hg38_chr5:33066293-33066303(+) AAACAGTGGAA >hg38_chr5:33071334-33071344(+) TAACAATGGCA >hg38_chr5:33076222-33076232(-) aaacaatgtaa >hg38_chr5:33090249-33090259(-) TCACAATGCAC >hg38_chr5:33107880-33107890(-) acacaatgcag >hg38_chr5:33150037-33150047(+) taacaatgggt >hg38_chr5:33150119-33150129(+) GGACAATAGAG >hg38_chr5:33152078-33152088(+) AGACAAAGGAA >hg38_chr5:33161151-33161161(+) ttacaatggct >hg38_chr5:33174491-33174501(-) AGATAATGGAG >hg38_chr5:33184911-33184921(+) AAACAATCGTA >hg38_chr5:33185622-33185632(-) ttacaaaggca >hg38_chr5:33227913-33227923(-) GCACAATGCAC >hg38_chr5:33227980-33227990(-) AGACAATGGTA >hg38_chr5:33244658-33244668(+) ACACAATAGGG >hg38_chr5:33272421-33272431(+) CCACAATGAAT >hg38_chr5:33275961-33275971(-) AAACTATGGTA >hg38_chr5:33318704-33318714(-) AAACAATGAGC >hg38_chr5:33318733-33318743(+) TGACGATGGAC >hg38_chr5:33334673-33334683(+) TAACAATGGTC >hg38_chr5:33339880-33339890(+) CTACAATAGCA >hg38_chr5:33344704-33344714(+) GGACAATAGTG >hg38_chr5:33418339-33418349(-) AAACAATGAGA >hg38_chr5:33440101-33440111(-) GAACAATAgct >hg38_chr5:33495483-33495493(+) CCACAATGGGC >hg38_chr5:33499829-33499839(-) TTACAATATAA >hg38_chr5:33533167-33533177(-) GGACAATAAAA >hg38_chr5:33554703-33554713(+) AAACAAAGGCT >hg38_chr5:33641864-33641874(+) GAACAGTGGGA >hg38_chr5:33642361-33642371(+) ccacaatagtt >hg38_chr5:33654032-33654042(-) gaacaatagaa >hg38_chr5:33669413-33669423(+) CCACAATGAAT >hg38_chr5:33669485-33669495(-) ACACAATAAAC >hg38_chr5:33698095-33698105(+) GAACAAAGGTG >hg38_chr5:33761052-33761062(+) GAACAATGGGA >hg38_chr5:33781579-33781589(+) AGATAATGGAA >hg38_chr5:33795923-33795933(-) TCACAATGGAA >hg38_chr5:34000140-34000150(-) gaacaaaggtt >hg38_chr5:34008342-34008352(-) GTACAATAAAA >hg38_chr5:34452022-34452032(-) TAACAAAGGGA >hg38_chr5:34476248-34476258(+) agacaatgggt >hg38_chr5:34483720-34483730(+) AGACAATAGAC >hg38_chr5:34504180-34504190(+) TGACAAAGGAT >hg38_chr5:34509548-34509558(+) gcacaatggag >hg38_chr5:34513936-34513946(-) CAACAATAGTA >hg38_chr5:34514433-34514443(+) gcacaatagat >hg38_chr5:34514718-34514728(+) TAATAATGGAA >hg38_chr5:34522869-34522879(-) GAACAATAGtg >hg38_chr5:34536932-34536942(-) agacaatgggg >hg38_chr5:34548559-34548569(+) TAATAATGGTC >hg38_chr5:34597771-34597781(+) TGACAATGGTA >hg38_chr5:34632964-34632974(-) AGACAAAGGAA >hg38_chr5:34657014-34657024(-) GAACAATAGCG >hg38_chr5:34663869-34663879(-) ACACAATGCAC >hg38_chr5:34670603-34670613(-) TTATAATGGCA >hg38_chr5:34685944-34685954(-) AAACAATGCAA >hg38_chr5:34704005-34704015(-) ccacaatgttc >hg38_chr5:34709981-34709991(-) GAACAAAGGAA >hg38_chr5:34762075-34762085(-) GAACAATGGGA >hg38_chr5:34762340-34762350(+) CAACAATGTGG >hg38_chr5:34789729-34789739(+) ATACAATAATT >hg38_chr5:34811863-34811873(+) ATACAATGCCT >hg38_chr5:34839160-34839170(-) AGACAATACCG >hg38_chr5:34864122-34864132(-) TGACAATAGTT >hg38_chr5:34869499-34869509(+) CAACAATAGtt >hg38_chr5:34879169-34879179(+) agataatggag >hg38_chr5:34879224-34879234(+) gtacaatgtat >hg38_chr5:34898409-34898419(+) ACACTATGGAA >hg38_chr5:34907306-34907316(+) TCACAATGACT >hg38_chr5:34908648-34908658(-) GCACAATGGGG >hg38_chr5:34914731-34914741(-) TAACAGTGGAA >hg38_chr5:34918584-34918594(+) ACACAATGCCT >hg38_chr5:34929263-34929273(+) TCACAATGACA >hg38_chr5:35051945-35051955(-) ttataatggtc >hg38_chr5:35138688-35138698(+) ATACAATAGGG >hg38_chr5:35146603-35146613(+) ACACAATGGGG >hg38_chr5:35155497-35155507(+) GAACAATGAAT >hg38_chr5:35173111-35173121(-) GAACAATGTGG >hg38_chr5:35198882-35198892(+) CTACAATGAGA >hg38_chr5:35220292-35220302(+) ccacaatggtc >hg38_chr5:35246579-35246589(+) GAACAATGCCT >hg38_chr5:35337809-35337819(+) ggactatggaa >hg38_chr5:35338403-35338413(-) CCACAATAGGG >hg38_chr5:35381610-35381620(-) GGACAATGAAC >hg38_chr5:35415759-35415769(-) agacaaaggta >hg38_chr5:35436609-35436619(-) GAACAATAATA >hg38_chr5:35448088-35448098(+) acacaataata >hg38_chr5:35460561-35460571(-) atacaataaCA >hg38_chr5:35460580-35460590(+) atacaatagca >hg38_chr5:35479581-35479591(+) GAACAATGAAT >hg38_chr5:35485997-35486007(-) ttacaaaggct >hg38_chr5:35490366-35490376(+) TGATAATGGAT >hg38_chr5:35490408-35490418(+) AGACAATGCTA >hg38_chr5:35490414-35490424(-) AAACAATAGCA >hg38_chr5:35526942-35526952(+) agacaatggat >hg38_chr5:35578783-35578793(-) atacaatggag >hg38_chr5:35578793-35578803(-) agacaataaaa >hg38_chr5:35606856-35606866(+) tcacaatgtct >hg38_chr5:35607149-35607159(-) gaacaataaca >hg38_chr5:35607578-35607588(-) taacaatgtag >hg38_chr5:35608628-35608638(-) GAACAATGAGG >hg38_chr5:35608642-35608652(+) GTACAAAGGAC >hg38_chr5:35611351-35611361(-) AAACACTGGTA >hg38_chr5:35660669-35660679(+) CAACAATAGAC >hg38_chr5:35670412-35670422(-) GAACAATAGAT >hg38_chr5:35671203-35671213(+) GGACAAAGGGT >hg38_chr5:35671230-35671240(+) TTACAATGAAC >hg38_chr5:35686592-35686602(+) ACACAATAAAT >hg38_chr5:35694744-35694754(-) ATACAATAAAC >hg38_chr5:35726149-35726159(-) TAACAATGAAA >hg38_chr5:35726190-35726200(+) GCACAATAGTC >hg38_chr5:35741677-35741687(-) ccacaatgacg >hg38_chr5:35744484-35744494(-) gaacaatgcaa >hg38_chr5:35753730-35753740(+) TGACAATGAAC >hg38_chr5:35754691-35754701(+) ttacaatgtcg >hg38_chr5:35773844-35773854(-) ATACAAAGGTG >hg38_chr5:35790630-35790640(+) ACACAATGGTG >hg38_chr5:35848687-35848697(-) ggacaatgtag >hg38_chr5:35859197-35859207(-) TAACAAAGGAC >hg38_chr5:35959669-35959679(+) ggacaatagga >hg38_chr5:35963726-35963736(+) ccacaatggca >hg38_chr5:35971263-35971273(+) atacaaaggaa >hg38_chr5:35986393-35986403(+) ttacaataacc >hg38_chr5:35986437-35986447(+) ggacaatgcat >hg38_chr5:36078345-36078355(-) ccacaatagaa >hg38_chr5:36079818-36079828(+) ctacaatgaac >hg38_chr5:36102253-36102263(+) tcacaatggct >hg38_chr5:36109071-36109081(-) ACACAATGCCG >hg38_chr5:36121135-36121145(-) agacaataaac >hg38_chr5:36216393-36216403(-) taacaaaggaa >hg38_chr5:36242100-36242110(+) GCATAATGGCT >hg38_chr5:36281902-36281912(-) ACACAATAGTC >hg38_chr5:36315599-36315609(-) acacaaaggat >hg38_chr5:36413083-36413093(+) acacaatggaa >hg38_chr5:36417867-36417877(+) AAACAATAGGG >hg38_chr5:36433928-36433938(+) atacaaaggac >hg38_chr5:36494344-36494354(+) GAACAAAGGAG >hg38_chr5:36495455-36495465(-) GGACAAAGGAG >hg38_chr5:36545968-36545978(+) gtacaatgtat >hg38_chr5:36584408-36584418(-) GGACAATAGTG >hg38_chr5:36596513-36596523(+) TAACAATAGGC >hg38_chr5:36629192-36629202(+) AGACAAAGGGA >hg38_chr5:36631942-36631952(+) AAACAAAGGCA >hg38_chr5:36690309-36690319(+) CAACAATGGCC >hg38_chr5:36717427-36717437(-) GCACAATGCCA >hg38_chr5:36739803-36739813(+) tgacaatggaa >hg38_chr5:36747177-36747187(+) AGACAATGGAA >hg38_chr5:36874105-36874115(+) AAATAATGGTA >hg38_chr5:36875734-36875744(+) GAACAATGGTT >hg38_chr5:36875776-36875786(-) GTACTATGGTT >hg38_chr5:36899056-36899066(-) CAACAATGGGC >hg38_chr5:36929213-36929223(-) ccacaatgata >hg38_chr5:36964835-36964845(+) aaacaataaca >hg38_chr5:36981381-36981391(-) GTACAATAGGG >hg38_chr5:36981440-36981450(-) GGACAAAGGGA >hg38_chr5:37007003-37007013(-) ATACAATAGAT >hg38_chr5:37016622-37016632(-) TAACAATGTGT >hg38_chr5:37046613-37046623(+) AAACAATGATC >hg38_chr5:37054659-37054669(+) TCACAATGCAA >hg38_chr5:37054921-37054931(-) TTACAATGTTT >hg38_chr5:37181258-37181268(-) AAACAATGACA >hg38_chr5:37181279-37181289(+) AAACAATGGCA >hg38_chr5:37188246-37188256(-) atacaatggaa >hg38_chr5:37188278-37188288(+) ACACAATGCAC >hg38_chr5:37271978-37271988(-) Gtataatggtt >hg38_chr5:37311106-37311116(-) GAATAATGGAA >hg38_chr5:37329873-37329883(-) TTATAATGGTA >hg38_chr5:37371949-37371959(+) AGACAAAGGGC >hg38_chr5:37410819-37410829(+) GAACAAAGGAA >hg38_chr5:37437082-37437092(-) gtataatgaat >hg38_chr5:37477594-37477604(-) acacaataggc >hg38_chr5:37477979-37477989(-) TAACAATAGCA >hg38_chr5:37492459-37492469(+) GTATAATGGGC >hg38_chr5:37492485-37492495(-) ACACAATGTAA >hg38_chr5:37492497-37492507(+) ACAcaatggac >hg38_chr5:37565253-37565263(-) ACACAATAAAT >hg38_chr5:37612744-37612754(-) ATACAATGAAT >hg38_chr5:37612779-37612789(+) TAACAATGCTA >hg38_chr5:37639117-37639127(-) ACACAATGATG >hg38_chr5:37640073-37640083(-) atacagtggtt >hg38_chr5:37646407-37646417(-) atacaatatta >hg38_chr5:37663000-37663010(+) gcacaatgcca >hg38_chr5:37664703-37664713(-) caacaatgaga >hg38_chr5:37705605-37705615(-) GGACAATAGGT >hg38_chr5:37709787-37709797(+) AAACAATGTTG >hg38_chr5:37717644-37717654(-) CAACAATGCGA >hg38_chr5:37737444-37737454(-) ATACAATGATC >hg38_chr5:37738267-37738277(+) AAACAATGGGC >hg38_chr5:37740377-37740387(+) CTACAATGTTT >hg38_chr5:37847198-37847208(-) TAACAATGACC >hg38_chr5:37959182-37959192(+) aaacaatgcaa >hg38_chr5:37959199-37959209(+) agacagtggaa >hg38_chr5:37990703-37990713(+) agacaatgtct >hg38_chr5:38104638-38104648(+) GGACAATGGTG >hg38_chr5:38140201-38140211(+) AGACAATGTTT >hg38_chr5:38152507-38152517(-) TGACAATGATT >hg38_chr5:38152515-38152525(-) AGACAATGTGA >hg38_chr5:38244767-38244777(+) TAACAATGGGC >hg38_chr5:38284176-38284186(-) CAACAATGAGA >hg38_chr5:38284631-38284641(-) TCACAATGTCA >hg38_chr5:38448034-38448044(-) TCACAATGTGC >hg38_chr5:38466400-38466410(+) taacaatgggg >hg38_chr5:38518479-38518489(+) ttataatggag >hg38_chr5:38551664-38551674(+) AAACAATGATT >hg38_chr5:38561835-38561845(-) ATACAATGGAA >hg38_chr5:38566724-38566734(+) taacaatatct >hg38_chr5:38595489-38595499(+) CAACAATGACT >hg38_chr5:38649102-38649112(-) GTACAATGCTT >hg38_chr5:38653600-38653610(-) GTACAATGCAC >hg38_chr5:38715863-38715873(+) ggacaatggac >hg38_chr5:38715880-38715890(-) GGACAATggaa >hg38_chr5:38765949-38765959(-) acacaatagtg >hg38_chr5:38765966-38765976(+) aaacaatgcct >hg38_chr5:38783279-38783289(-) CAACAATAGCC >hg38_chr5:38800241-38800251(+) GCACAAAGGGA >hg38_chr5:38806465-38806475(+) CAACAATGGCT >hg38_chr5:38809926-38809936(-) GAACAAAGGCC >hg38_chr5:38812125-38812135(-) TAACAATAAAG >hg38_chr5:38839927-38839937(+) gtacaatacta >hg38_chr5:38844578-38844588(-) AAACAAAGGCC >hg38_chr5:38850700-38850710(-) GAACAATGAAA >hg38_chr5:38873007-38873017(+) tcacaatgtta >hg38_chr5:38884045-38884055(-) TCACAATAGAT >hg38_chr5:38916952-38916962(+) ccacaatagaa >hg38_chr5:38948010-38948020(+) CCACAATGTAC >hg38_chr5:38948029-38948039(+) CCACAATGTAC >hg38_chr5:38960134-38960144(+) acacaatgctg >hg38_chr5:39013820-39013830(+) atacaaagata >hg38_chr5:39022538-39022548(-) GAACAATGAAC >hg38_chr5:39025063-39025073(+) CAACAATGAGT >hg38_chr5:39091724-39091734(+) caacaatagcc >hg38_chr5:39091763-39091773(-) CAACAATGTGT >hg38_chr5:39108536-39108546(+) TAACAATGTAC >hg38_chr5:39108558-39108568(+) GTACAATACTC >hg38_chr5:39150038-39150048(-) TAACAAAGGTg >hg38_chr5:39201536-39201546(+) ATACAATGGTT >hg38_chr5:39232073-39232083(+) GAACAATGAAC >hg38_chr5:39232080-39232090(+) GAACAATGGTG >hg38_chr5:39296952-39296962(+) atacaataaag >hg38_chr5:39308036-39308046(+) gcacaaTAGTG >hg38_chr5:39321477-39321487(+) tcacaaaggaa >hg38_chr5:39330517-39330527(+) CAACAATAGCC >hg38_chr5:39335200-39335210(+) GAACAATGAAA >hg38_chr5:39395010-39395020(+) AAATAATGGAT >hg38_chr5:39399834-39399844(+) GGACAATGATT >hg38_chr5:39405610-39405620(+) AGACAATGGGG >hg38_chr5:39421003-39421013(+) TGACAATGCTG >hg38_chr5:39425362-39425372(+) GAACAAAGGAC >hg38_chr5:39427844-39427854(+) AAACAATGAAG >hg38_chr5:39427860-39427870(-) GAACAATAGCC >hg38_chr5:39449753-39449763(-) CTACAATGGCC >hg38_chr5:39473058-39473068(+) ACACAATAGTG >hg38_chr5:39475346-39475356(-) ACAtaatggcg >hg38_chr5:39513399-39513409(+) GAACAATAGGC >hg38_chr5:39524838-39524848(-) GAACAAAGGAC >hg38_chr5:39534791-39534801(-) CAACAATGACC >hg38_chr5:39544035-39544045(-) TAATAATGGCA >hg38_chr5:39606014-39606024(-) atataatgaac >hg38_chr5:39633461-39633471(-) ATACAAAGGTG >hg38_chr5:39659981-39659991(+) TTATAATGGAA >hg38_chr5:39661453-39661463(+) agacaatgagc >hg38_chr5:39743766-39743776(-) aaacaATAAGA >hg38_chr5:39800395-39800405(-) TAACAATGGAC >hg38_chr5:39856631-39856641(-) taacagtggag >hg38_chr5:39862777-39862787(+) atacaatgtca >hg38_chr5:39914274-39914284(+) ctacaatagta >hg38_chr5:39949020-39949030(-) GGACAATGAAG >hg38_chr5:40007050-40007060(-) taacaaaggaa >hg38_chr5:40046803-40046813(+) CAACAATGTTG >hg38_chr5:40046849-40046859(-) GGACAAAGGAT >hg38_chr5:40072013-40072023(-) acacaatgttg >hg38_chr5:40141573-40141583(+) TCACAATGAGA >hg38_chr5:40142015-40142025(-) taataatggaa >hg38_chr5:40151260-40151270(-) TCACAATGTTT >hg38_chr5:40151537-40151547(+) ATATAATGAAT >hg38_chr5:40189025-40189035(-) tgacaatgcat >hg38_chr5:40189048-40189058(+) ccacaatggta >hg38_chr5:40207968-40207978(-) gtacaatgtga >hg38_chr5:40208126-40208136(+) gaacaatacga >hg38_chr5:40219482-40219492(-) ATACAATATTC >hg38_chr5:40295346-40295356(+) caacaatgtat >hg38_chr5:40296021-40296031(-) ccacaatagca >hg38_chr5:40309043-40309053(-) GAACAAAGGGC >hg38_chr5:40322427-40322437(+) ACACAATGGAA >hg38_chr5:40386006-40386016(-) GGACAATGGTT >hg38_chr5:40399581-40399591(+) ACACAATGTAT >hg38_chr5:40413719-40413729(+) TCACAATGGAC >hg38_chr5:40413731-40413741(-) TGATAATGGAA >hg38_chr5:40437585-40437595(+) GCACAATGCTG >hg38_chr5:40443860-40443870(-) atacaatggtt >hg38_chr5:40456978-40456988(+) TAACAAAGGAC >hg38_chr5:40456988-40456998(+) CTATAATGGGA >hg38_chr5:40496513-40496523(-) GAACAATGGGC >hg38_chr5:40503783-40503793(-) TTACAATAAAC >hg38_chr5:40524816-40524826(+) acacaatggag >hg38_chr5:40551860-40551870(-) gaacaatagga >hg38_chr5:40552071-40552081(+) TCACAATGAGA >hg38_chr5:40558681-40558691(-) ATACAAAGGGC >hg38_chr5:40581098-40581108(-) agacaatggaa >hg38_chr5:40584330-40584340(+) caacaatggaa >hg38_chr5:40588047-40588057(-) taacaatagcc >hg38_chr5:40588702-40588712(+) taacaatagca >hg38_chr5:40618067-40618077(+) atacaataaaa >hg38_chr5:40619362-40619372(-) atacaataact >hg38_chr5:40650886-40650896(-) agacaatgtca >hg38_chr5:40656054-40656064(+) ttacaatgaat >hg38_chr5:40667380-40667390(+) AGACAATGTAT >hg38_chr5:40689667-40689677(-) TAACAATGAAT >hg38_chr5:40727517-40727527(+) ACACAATGAGT >hg38_chr5:40735149-40735159(+) atacaataagc >hg38_chr5:40735157-40735167(-) taactatggct >hg38_chr5:40769637-40769647(-) CTACAATAGAC >hg38_chr5:40769658-40769668(-) GCATAATGGCA >hg38_chr5:40773597-40773607(+) tgacaatagat >hg38_chr5:40792060-40792070(+) acacaatgtcc >hg38_chr5:40834967-40834977(+) ACACAATGCGG >hg38_chr5:40894214-40894224(+) ctacaatgctt >hg38_chr5:40915695-40915705(-) GAACAATGTTG >hg38_chr5:40940706-40940716(-) atacaaagcgt >hg38_chr5:40944983-40944993(-) CAACAATGGCA >hg38_chr5:40955185-40955195(-) ctacaatggtg >hg38_chr5:40955227-40955237(-) gcacaaaggat >hg38_chr5:40958183-40958193(-) AGACAATGGGT >hg38_chr5:40960484-40960494(+) GAACTATGGTG >hg38_chr5:40973564-40973574(+) GAACAATAGGC >hg38_chr5:41009822-41009832(-) AAACAAAGGTT >hg38_chr5:41159257-41159267(+) GAACAAAGGAA >hg38_chr5:41189401-41189411(+) acacaatgtga >hg38_chr5:41189419-41189429(+) atacaatgggt >hg38_chr5:41195845-41195855(-) GGACAAAGGCA >hg38_chr5:41219418-41219428(-) GAACAAAGGGC >hg38_chr5:41262371-41262381(-) GCACAATGGTT >hg38_chr5:41262384-41262394(-) TTACAATAAAA >hg38_chr5:41268742-41268752(-) taacaatggaa >hg38_chr5:41268797-41268807(+) AAATAATGGGC >hg38_chr5:41283516-41283526(-) AAACAATGACT >hg38_chr5:41285194-41285204(-) TAATAATGGAA >hg38_chr5:41302706-41302716(+) AAACAAAGGGG >hg38_chr5:41312126-41312136(+) TCACAATGACA >hg38_chr5:41312163-41312173(-) GAACAATGACA >hg38_chr5:41328949-41328959(+) gaacaaaggta >hg38_chr5:41328988-41328998(+) gaacaatggcc >hg38_chr5:41335019-41335029(+) AGACAATGGGT >hg38_chr5:41336172-41336182(+) taataatggta >hg38_chr5:41336202-41336212(+) taacaataaaa >hg38_chr5:41414788-41414798(-) ggacaaaggga >hg38_chr5:41414795-41414805(-) atacactggac >hg38_chr5:41418853-41418863(+) TTACAATGAAA >hg38_chr5:41418889-41418899(-) GAACAATGTAA >hg38_chr5:41444689-41444699(-) TGACAATGCAT >hg38_chr5:41504539-41504549(+) CCACAATGGGT >hg38_chr5:41506418-41506428(+) AAACAATGTTG >hg38_chr5:41560857-41560867(+) agacaatgttt >hg38_chr5:41561268-41561278(-) TGATAATGGTT >hg38_chr5:41638131-41638141(+) CTACAATGGCT >hg38_chr5:41658438-41658448(-) TTACAATAACC >hg38_chr5:41666106-41666116(+) ATACAAAGGAG >hg38_chr5:41674800-41674810(-) GCACAATGTAA >hg38_chr5:41699166-41699176(+) atacaatagct >hg38_chr5:41708339-41708349(+) gaacaatgggg >hg38_chr5:41748758-41748768(-) GAACAATAGCA >hg38_chr5:41749535-41749545(+) TAATAATGCGG >hg38_chr5:41756256-41756266(-) AAACAAAGGAA >hg38_chr5:41788758-41788768(+) gaacaatacta >hg38_chr5:41829499-41829509(+) TCACAATGCAA >hg38_chr5:41829514-41829524(-) GTACAATGGAC >hg38_chr5:41829528-41829538(+) AGATAATGGAA >hg38_chr5:41834623-41834633(+) atacaatgatt >hg38_chr5:41837453-41837463(+) AGACAATCGAA >hg38_chr5:41855114-41855124(+) taacaatggtt >hg38_chr5:41856859-41856869(-) GAACAACGGAA >hg38_chr5:41856899-41856909(+) ACACAATGCCT >hg38_chr5:41861315-41861325(-) CAACAATGCAG >hg38_chr5:41861588-41861598(-) ATATAATGGTG >hg38_chr5:41864011-41864021(-) AGATAATGGTT >hg38_chr5:41864044-41864054(-) ACACAGTGGTA >hg38_chr5:41878046-41878056(+) tcacaatagtc >hg38_chr5:41893943-41893953(+) atacaaagata >hg38_chr5:41894358-41894368(+) aaacaatggaa >hg38_chr5:41915219-41915229(-) TGACAATGCAA >hg38_chr5:41916048-41916058(-) TCACAATGTCT >hg38_chr5:41954356-41954366(+) AAACAATAACA >hg38_chr5:41954404-41954414(-) TAACAATGAAG >hg38_chr5:41986907-41986917(-) CAACAATGAAA >hg38_chr5:42027874-42027884(-) ccacaatggaa >hg38_chr5:42152473-42152483(+) ATACAAAGGAA >hg38_chr5:42156689-42156699(+) AGACAATGCCT >hg38_chr5:42163481-42163491(+) caacaataggt >hg38_chr5:42163515-42163525(-) tcacaatggaa >hg38_chr5:42182968-42182978(-) atacaataaaa >hg38_chr5:42188525-42188535(+) taacaatagga >hg38_chr5:42213550-42213560(+) ttacaatgtta >hg38_chr5:42224095-42224105(+) ctacaatgtgt >hg38_chr5:42230720-42230730(+) atacaatggga >hg38_chr5:42341386-42341396(+) ctacaatggta >hg38_chr5:42341451-42341461(+) taacaatatga >hg38_chr5:42360790-42360800(-) ATACAAAGGGC >hg38_chr5:42361564-42361574(+) taacaatggtt >hg38_chr5:42429838-42429848(-) ACACAATGAGG >hg38_chr5:42462801-42462811(+) TTATAATGGGG >hg38_chr5:42507866-42507876(-) gaacaatggag >hg38_chr5:42516591-42516601(+) agacaatagag >hg38_chr5:42576490-42576500(-) GGACAATAAGA >hg38_chr5:42666983-42666993(-) AAACAATAGAA >hg38_chr5:42684106-42684116(-) CAACAATAGAA >hg38_chr5:42684155-42684165(-) GAACAATAACG >hg38_chr5:42727610-42727620(-) atacaatacaa >hg38_chr5:42756777-42756787(-) CAACAATGGGC >hg38_chr5:42767615-42767625(-) GAACAATGGCT >hg38_chr5:42767666-42767676(-) CTACAATGGAG >hg38_chr5:42768332-42768342(+) ctactatggac >hg38_chr5:42812341-42812351(-) TAACAAAGGTC >hg38_chr5:42858106-42858116(+) CTACAATAGAA >hg38_chr5:42858172-42858182(-) GAACAATGAGA >hg38_chr5:42858849-42858859(-) AGACAATGGGG >hg38_chr5:42905214-42905224(-) TTACAATAACA >hg38_chr5:42908816-42908826(+) TTACAATGTAA >hg38_chr5:42976609-42976619(-) ctacaatggca >hg38_chr5:43005002-43005012(+) TAACAATAAGA >hg38_chr5:43005013-43005023(+) AAACAATAATC >hg38_chr5:43007834-43007844(-) atacaaaggct >hg38_chr5:43029314-43029324(-) TTACTATGGTA >hg38_chr5:43039924-43039934(+) ATACAAAGGAA >hg38_chr5:43039962-43039972(+) TCACAATAGGT >hg38_chr5:43043295-43043305(+) TGACAAAGGCG >hg38_chr5:43045432-43045442(-) TTACAAAGGCT >hg38_chr5:43072197-43072207(-) ggacaatgggc >hg38_chr5:43116282-43116292(-) gaacaaaggca >hg38_chr5:43194371-43194381(-) TAACAGTGGTC >hg38_chr5:43290472-43290482(+) TTACAATAACT >hg38_chr5:43479716-43479726(+) TGATAATGGAG >hg38_chr5:43479731-43479741(+) CAACAATGCTC >hg38_chr5:43512457-43512467(-) aaacaatgtca >hg38_chr5:43555539-43555549(+) ATACAATAATT >hg38_chr5:43555574-43555584(+) ACATAATGGAA >hg38_chr5:43556166-43556176(+) GAACAATAGAC >hg38_chr5:43611244-43611254(-) caacaatgaaa >hg38_chr5:43634315-43634325(-) TTACTATGGAA >hg38_chr5:43647836-43647846(-) gcacaatgcct >hg38_chr5:43658410-43658420(-) taacaatgtcc >hg38_chr5:43663338-43663348(+) tcacaaaggat >hg38_chr5:43674302-43674312(-) AGACAAAGGCA >hg38_chr5:43675618-43675628(+) TGACAATGCAA >hg38_chr5:43703749-43703759(-) TCACAATAGCA >hg38_chr5:43710323-43710333(+) TCACTATGGTA >hg38_chr5:43729956-43729966(+) GAACAATGAAA >hg38_chr5:43760138-43760148(+) gaacaatgctt >hg38_chr5:43772650-43772660(-) CAACAATGCTA >hg38_chr5:43782574-43782584(+) TCACaatagta >hg38_chr5:43806704-43806714(-) AGACAATGAAA >hg38_chr5:43858755-43858765(+) TAACAATACAA >hg38_chr5:43869199-43869209(+) TGACAATGCAG >hg38_chr5:43885219-43885229(-) TAACAATACAC >hg38_chr5:43971883-43971893(+) GTACAATAAGC >hg38_chr5:43972440-43972450(-) gtactatggct >hg38_chr5:43972447-43972457(+) gtacaatggtt >hg38_chr5:43997758-43997768(+) TAACAATGGGA >hg38_chr5:44003099-44003109(-) GGACAATATAC >hg38_chr5:44056434-44056444(+) AAACAATGCCT >hg38_chr5:44076151-44076161(-) CCACAATGCAA >hg38_chr5:44076163-44076173(+) CAACAATGATC >hg38_chr5:44161751-44161761(-) ctacaatgtca >hg38_chr5:44161834-44161844(+) taacaatgttg >hg38_chr5:44163129-44163139(+) AGACAATAAAC >hg38_chr5:44188188-44188198(-) atacaatgagc >hg38_chr5:44188217-44188227(+) tgacaatggct >hg38_chr5:44265884-44265894(-) ATACAATGAAT >hg38_chr5:44278689-44278699(-) CAACAATAGCA >hg38_chr5:44294532-44294542(-) tgacaatgtct >hg38_chr5:44305102-44305112(-) GCATAATGGGA >hg38_chr5:44305174-44305184(-) TAACAATGACT >hg38_chr5:44305335-44305345(+) CAACAATAGAA >hg38_chr5:44314762-44314772(-) GGACAATAGGT >hg38_chr5:44315098-44315108(+) ttacaaaggca >hg38_chr5:44316572-44316582(+) ATACAATATGA >hg38_chr5:44321352-44321362(+) caacaatgctt >hg38_chr5:44335197-44335207(+) TAACAATAATC >hg38_chr5:44335213-44335223(+) TAACAATGATC >hg38_chr5:44335652-44335662(-) GTACAAAGGAT >hg38_chr5:44355323-44355333(-) GTACAAAGGGA >hg38_chr5:44356514-44356524(+) TAATAATGTAA >hg38_chr5:44387105-44387115(-) AGACAATGATG >hg38_chr5:44387531-44387541(+) TTACAATGCTG >hg38_chr5:44392607-44392617(-) ACACAATAGCT >hg38_chr5:44403313-44403323(+) gcacaatggtg >hg38_chr5:44437715-44437725(-) gtACAAAGGCA >hg38_chr5:44438840-44438850(+) TGACAATATAT >hg38_chr5:44482036-44482046(-) AGACAATGAAA >hg38_chr5:44519980-44519990(-) cTATAATGGAT >hg38_chr5:44520928-44520938(+) ccacaatagag >hg38_chr5:44574830-44574840(+) acacaatgcaa >hg38_chr5:44595377-44595387(-) taacaatgttc >hg38_chr5:44615018-44615028(-) ATACAATGATT >hg38_chr5:44659374-44659384(-) agacaatgccc >hg38_chr5:44724604-44724614(+) AAACAATAATA >hg38_chr5:44729748-44729758(-) ttacaataata >hg38_chr5:44730007-44730017(+) agacaataata >hg38_chr5:44773623-44773633(-) TAACAATAGCT >hg38_chr5:44774145-44774155(-) GAACAATAGCT >hg38_chr5:44775020-44775030(+) acacaatgttt >hg38_chr5:44813848-44813858(+) atactatgggg >hg38_chr5:44822571-44822581(+) TTACAATGGAG >hg38_chr5:44911759-44911769(+) atacaaaggct >hg38_chr5:44942824-44942834(+) CAACAATAGCT >hg38_chr5:45074519-45074529(+) TAACAATGTCA >hg38_chr5:45097675-45097685(-) AGACAATAGGG >hg38_chr5:45109786-45109796(+) taacaatgagc >hg38_chr5:45110598-45110608(-) AGACAAAGGAC >hg38_chr5:45171805-45171815(+) AAACAATGTCT >hg38_chr5:45192099-45192109(-) aaacaatgatT >hg38_chr5:45205631-45205641(-) AAACAACGGGG >hg38_chr5:45205737-45205747(-) ACACAAAGGCA >hg38_chr5:45253252-45253262(-) ATACAATGCCC >hg38_chr5:45279327-45279337(-) AAACAATGAGT >hg38_chr5:45460728-45460738(+) ggacaataggg >hg38_chr5:45521218-45521228(+) aaacaaaggct >hg38_chr5:45532969-45532979(+) AGACAAAGGAG >hg38_chr5:45533837-45533847(-) GGACAATGTCA >hg38_chr5:45533866-45533876(+) TGACAATAGGG >hg38_chr5:45615147-45615157(+) TAACAATGAGT >hg38_chr5:45678253-45678263(+) GTACAACGGTA >hg38_chr5:45678262-45678272(-) TAACTATGGTA >hg38_chr5:45678311-45678321(-) AAACAATGCAT >hg38_chr5:50550381-50550391(-) AAACAATGAGA >hg38_chr5:50565597-50565607(-) taacaatattc >hg38_chr5:50585822-50585832(-) ACACAAAGGTA >hg38_chr5:50735355-50735365(+) GGACAATGTGA >hg38_chr5:50735366-50735376(-) GAACAAAGGAG >hg38_chr5:50735382-50735392(-) ATATAATGTAA >hg38_chr5:50735410-50735420(+) TTACAATGATT >hg38_chr5:50745909-50745919(-) TGACAATGGTG >hg38_chr5:50762239-50762249(-) TAACAATATAC >hg38_chr5:50801696-50801706(-) tcataatggTA >hg38_chr5:50866008-50866018(+) AGATAATGGTG >hg38_chr5:50885152-50885162(+) TCACAATGCTG >hg38_chr5:50950407-50950417(+) ctacaatgtca >hg38_chr5:50951420-50951430(-) GAACAAAGGGC >hg38_chr5:50988677-50988687(+) GGACAATAGAG >hg38_chr5:50991765-50991775(+) AAACAATAAAA >hg38_chr5:50991786-50991796(-) GCACAATGGTA >hg38_chr5:51072607-51072617(-) acacaatgact >hg38_chr5:51093555-51093565(+) GAACAATGCAG >hg38_chr5:51145182-51145192(+) ATACAATGCCA >hg38_chr5:51168554-51168564(-) caataatggaa >hg38_chr5:51185914-51185924(-) CTACAATGGGG >hg38_chr5:51228082-51228092(+) cgacaatgtgt >hg38_chr5:51261189-51261199(-) ttacaaaggaa >hg38_chr5:51272876-51272886(-) AAACAAAGGAA >hg38_chr5:51276253-51276263(-) GAACAATAGTT >hg38_chr5:51327117-51327127(-) TAACAATATCT >hg38_chr5:51347443-51347453(+) GAACAATGCTT >hg38_chr5:51384098-51384108(+) TAACAATATCC >hg38_chr5:51394834-51394844(-) ATACAATATCA >hg38_chr5:51465239-51465249(+) AAACAATGACG >hg38_chr5:51484456-51484466(+) TGACAATGGGA >hg38_chr5:51516503-51516513(+) GAACAATAAGT >hg38_chr5:51582299-51582309(-) AGACAATAGTC >hg38_chr5:51582314-51582324(-) TAACAGTGGAG >hg38_chr5:51601805-51601815(-) AGACAATGAAC >hg38_chr5:51604775-51604785(+) agacaataaat >hg38_chr5:51607747-51607757(+) caacaatgtca >hg38_chr5:51665413-51665423(+) TCACAATGCCC >hg38_chr5:51686349-51686359(-) TAACAATAGCA >hg38_chr5:51718748-51718758(-) GTACAAAGGCA >hg38_chr5:51744729-51744739(+) atacaatgagg >hg38_chr5:51772741-51772751(-) GCACAATGCTG >hg38_chr5:51775869-51775879(-) GTACAATGTAA >hg38_chr5:51859773-51859783(+) CCACAATGATG >hg38_chr5:51861054-51861064(+) GAACAATGCCT >hg38_chr5:51882146-51882156(+) TAACAAAGGTA >hg38_chr5:51949099-51949109(-) acacaatgtgg >hg38_chr5:51998261-51998271(-) AAACAATAGGA >hg38_chr5:52013397-52013407(+) TAACAATGATG >hg38_chr5:52013959-52013969(-) GTACAATGCTC >hg38_chr5:52014042-52014052(-) GCACAATAGTG >hg38_chr5:52016244-52016254(-) TAACAAAGGAG >hg38_chr5:52032760-52032770(-) TAACAATGTAT >hg38_chr5:52043922-52043932(-) TCACAATAGCA >hg38_chr5:52044357-52044367(-) ATATAATGGGG >hg38_chr5:52078611-52078621(-) GAACAATGAAC >hg38_chr5:52124804-52124814(+) taacaatagca >hg38_chr5:52374476-52374486(+) atacaatagcc >hg38_chr5:52374486-52374496(-) taacaatagag >hg38_chr5:52407019-52407029(-) TAACAATGGTT >hg38_chr5:52418625-52418635(-) TTACAATGTAA >hg38_chr5:52438982-52438992(-) TGACAATGAGA >hg38_chr5:52439256-52439266(+) ttacaatagag >hg38_chr5:52448364-52448374(+) GAACAAAGGCA >hg38_chr5:52449025-52449035(-) CAACAATGAGT >hg38_chr5:52469198-52469208(-) TTATAATGGCC >hg38_chr5:52481824-52481834(+) atacaataaaa >hg38_chr5:52481864-52481874(-) aaacaatagga >hg38_chr5:52481895-52481905(+) caacaatgtac >hg38_chr5:52513198-52513208(+) atacaaaggac >hg38_chr5:52560619-52560629(-) AGACAATAGTA >hg38_chr5:52605767-52605777(+) ACACAATAGTA >hg38_chr5:52605782-52605792(+) ATACAGTGGAA >hg38_chr5:52647035-52647045(-) ccacaatgaaa >hg38_chr5:52656218-52656228(+) CAACAAAGGTA >hg38_chr5:52658526-52658536(+) TGACAATGTGC >hg38_chr5:52659475-52659485(+) GTACAATGACC >hg38_chr5:52659508-52659518(-) TAACAATAGCA >hg38_chr5:52675012-52675022(-) TGACAATGATG >hg38_chr5:52678477-52678487(-) caacaataggc >hg38_chr5:52774253-52774263(-) GGACAATGAAT >hg38_chr5:52774265-52774275(-) GCACAAAGGAA >hg38_chr5:52784984-52784994(-) gcacaatgcct >hg38_chr5:52806561-52806571(-) AAACAATGAAT >hg38_chr5:52829860-52829870(-) TCACAATGTTC >hg38_chr5:52834054-52834064(+) GTACAATAACA >hg38_chr5:52834114-52834124(-) TGACAATAGAG >hg38_chr5:52856295-52856305(+) TCACAATAGCT >hg38_chr5:52863850-52863860(+) ATACAATCGCC >hg38_chr5:52868591-52868601(+) GAACAATGGCT >hg38_chr5:52873795-52873805(-) AAACAATGAGG >hg38_chr5:52893797-52893807(-) TAACAATGGTG >hg38_chr5:52913823-52913833(+) TGACAATAGTG >hg38_chr5:52985580-52985590(-) GCATAATGGCC >hg38_chr5:52986921-52986931(-) atacaatgacc >hg38_chr5:52997609-52997619(-) AAACAAAGGCC >hg38_chr5:53011487-53011497(-) GAACAATGACA >hg38_chr5:53014892-53014902(+) tcataatggac >hg38_chr5:53023060-53023070(-) TAACAAAGGTG >hg38_chr5:53053569-53053579(+) GGACAATGGCC >hg38_chr5:53094192-53094202(+) AAACAATGCCC >hg38_chr5:53099819-53099829(-) TAACAGTGGGA >hg38_chr5:53104982-53104992(+) GAACAATGTTC >hg38_chr5:53193977-53193987(+) ATACAAAGATA >hg38_chr5:53194388-53194398(+) TCACAATGAGC >hg38_chr5:53194477-53194487(-) TTACAATGGGG >hg38_chr5:53240964-53240974(-) aaacaatgctg >hg38_chr5:53334419-53334429(+) TGATAATGGTG >hg38_chr5:53362671-53362681(-) CTACAATGTTT >hg38_chr5:53372437-53372447(-) AAACAATGGTA >hg38_chr5:53376566-53376576(+) CTACAATGTTG >hg38_chr5:53379473-53379483(-) gaacaataggt >hg38_chr5:53406772-53406782(+) atacaatggta >hg38_chr5:53406794-53406804(+) ttacaatggag >hg38_chr5:53418617-53418627(+) aaacaaagggg >hg38_chr5:53425490-53425500(-) GAACAATGACA >hg38_chr5:53454020-53454030(-) TCACAATAGAC >hg38_chr5:53473791-53473801(+) TGACTATGGTC >hg38_chr5:53489407-53489417(+) taacaataagc >hg38_chr5:53489779-53489789(-) TTACAATGAAG >hg38_chr5:53501106-53501116(-) CAACAATGCAT >hg38_chr5:53544998-53545008(+) ACACAATAGGG >hg38_chr5:53571494-53571504(+) atacaatattt >hg38_chr5:53571881-53571891(-) gaacaataaga >hg38_chr5:53594014-53594024(-) taacaatggca >hg38_chr5:53611341-53611351(+) ATACAATGTTA >hg38_chr5:53611350-53611360(-) CAACAATGTTA >hg38_chr5:53611400-53611410(-) TAACAATAATC >hg38_chr5:53642425-53642435(+) GGACAATGATG >hg38_chr5:53643636-53643646(+) TAACAATAGGG >hg38_chr5:53732024-53732034(+) cgacaatatac >hg38_chr5:53732068-53732078(-) caacaatgtac >hg38_chr5:53815044-53815054(-) taacaatacaa >hg38_chr5:53815065-53815075(+) tcacaatgttc >hg38_chr5:53880198-53880208(-) CAACAATGAAG >hg38_chr5:53928754-53928764(+) ATACAATGCTC >hg38_chr5:53934908-53934918(+) GGACAATGGCT >hg38_chr5:53949162-53949172(+) ATACAATGATT >hg38_chr5:53984481-53984491(+) GAATAATGGTA >hg38_chr5:54003262-54003272(+) GAATAATGGAA >hg38_chr5:54021603-54021613(+) aaacaatgaac >hg38_chr5:54040514-54040524(-) GAACAATGAAC >hg38_chr5:54102484-54102494(+) taacaataGTG >hg38_chr5:54102505-54102515(-) GTACAATGTAT >hg38_chr5:54104133-54104143(-) GAACAATGCCT >hg38_chr5:54108235-54108245(-) AAACAATGAGA >hg38_chr5:54116529-54116539(-) ttacaatgaaa >hg38_chr5:54135180-54135190(+) acacaatggga >hg38_chr5:54155634-54155644(+) AGACAATAAAT >hg38_chr5:54165152-54165162(-) gcacaaaggtc >hg38_chr5:54165160-54165170(-) gaacaatagca >hg38_chr5:54176511-54176521(-) ACACAATGAAC >hg38_chr5:54176541-54176551(-) TAACAATGCAA >hg38_chr5:54176574-54176584(+) GTACAATGCGA >hg38_chr5:54176586-54176596(+) ACACAATGCTG >hg38_chr5:54180766-54180776(-) AAATAATGGAG >hg38_chr5:54210065-54210075(+) ATACAATGCCA >hg38_chr5:54210422-54210432(+) AGACAATGGCT >hg38_chr5:54215685-54215695(-) ATACAATGGGT >hg38_chr5:54229194-54229204(+) AAACAATGCTT >hg38_chr5:54283448-54283458(-) GAACAATAGCA >hg38_chr5:54304995-54305005(-) CAACAATAGAA >hg38_chr5:54320486-54320496(+) tgacaatacGG >hg38_chr5:54355939-54355949(+) gaacagtggtt >hg38_chr5:54366855-54366865(-) ATACAATACAA >hg38_chr5:54366870-54366880(-) ATACAATAAAA >hg38_chr5:54386318-54386328(+) AAACAATGAGA >hg38_chr5:54391052-54391062(+) agacaatggac >hg38_chr5:54418470-54418480(+) GAACAATGTCC >hg38_chr5:54418490-54418500(+) TAACAATAGAA >hg38_chr5:54455582-54455592(-) TGACAATGAAC >hg38_chr5:54570914-54570924(-) GAACAATGGAT >hg38_chr5:54580267-54580277(+) TTACAATGGTG >hg38_chr5:54599174-54599184(+) tgacaatgctg >hg38_chr5:54664130-54664140(+) caacaatgcgt >hg38_chr5:54669815-54669825(+) CAACAATGATA >hg38_chr5:54703426-54703436(+) GTACAAAGGGC >hg38_chr5:54803710-54803720(-) CCACAATGTCT >hg38_chr5:54828365-54828375(+) GTACAATGGCT >hg38_chr5:54882247-54882257(+) AGACAAAGGTG >hg38_chr5:54983803-54983813(+) gtacaAAGGCA >hg38_chr5:54993613-54993623(+) AAACAATGACC >hg38_chr5:55071629-55071639(-) agacaatgcag >hg38_chr5:55081793-55081803(+) gaacaatgttt >hg38_chr5:55264948-55264958(+) aaacaataggt >hg38_chr5:55265522-55265532(+) ggacaatgtat >hg38_chr5:55278526-55278536(-) GCACAATGCtg >hg38_chr5:55339490-55339500(-) ACACAATAGAG >hg38_chr5:55386818-55386828(-) ACACAATGTGA >hg38_chr5:55406632-55406642(-) gaacaATAGCc >hg38_chr5:55438387-55438397(+) GAACAATGGTC >hg38_chr5:55443921-55443931(+) AAACAATGGAA >hg38_chr5:55468287-55468297(+) TTACAATGGAG >hg38_chr5:55485217-55485227(+) ggacaatgacc >hg38_chr5:55495644-55495654(-) GAACAATGCTC >hg38_chr5:55495665-55495675(-) AGACAATGACA >hg38_chr5:55495717-55495727(-) GAACAATAGGG >hg38_chr5:55532071-55532081(-) GTACAATAGCT >hg38_chr5:55540611-55540621(-) aaacaatagaa >hg38_chr5:55540621-55540631(-) atacaatagaa >hg38_chr5:55554883-55554893(+) ttACAATGAAA >hg38_chr5:55569123-55569133(+) GAACAAAGGGT >hg38_chr5:55577121-55577131(+) TTACAAAGGGG >hg38_chr5:55577485-55577495(+) AGACAATGGAG >hg38_chr5:55602888-55602898(-) GGACAATGGAT >hg38_chr5:55636259-55636269(+) GCACAATGTTA >hg38_chr5:55638892-55638902(+) ATACAATGAGG >hg38_chr5:55645498-55645508(+) aaacaatgcca >hg38_chr5:55661784-55661794(+) caataatggat >hg38_chr5:55672501-55672511(-) GAACAATATAA >hg38_chr5:55689607-55689617(+) GAACAATGCTA >hg38_chr5:55759547-55759557(-) caacaatggag >hg38_chr5:55779642-55779652(-) CCACAATGCAC >hg38_chr5:55849441-55849451(-) caacaatgaaa >hg38_chr5:55937687-55937697(+) GCACAATATAA >hg38_chr5:55951540-55951550(+) GTACAATGTGT >hg38_chr5:55951564-55951574(+) GGACAATGTAT >hg38_chr5:55979837-55979847(-) aaacaatgcag >hg38_chr5:55991564-55991574(-) CAACAATGTTC >hg38_chr5:56085204-56085214(+) TAACAACGGTA >hg38_chr5:56124010-56124020(+) AGATAATGGGT >hg38_chr5:56128731-56128741(-) ggacaataata >hg38_chr5:56128742-56128752(-) gtacaatatga >hg38_chr5:56128756-56128766(+) agacaatgaag >hg38_chr5:56152656-56152666(+) GCACAAAGGAC >hg38_chr5:56183652-56183662(+) ACACAATGTTA >hg38_chr5:56235539-56235549(-) gaacaatggtg >hg38_chr5:56280100-56280110(+) GAACAAAGGCA >hg38_chr5:56289588-56289598(+) gtacaatggag >hg38_chr5:56292325-56292335(-) ccacaatgtct >hg38_chr5:56350975-56350985(+) AGACAAAGGAG >hg38_chr5:56378116-56378126(+) CTACAATGTAG >hg38_chr5:56402458-56402468(+) GCACAATGATG >hg38_chr5:56405542-56405552(+) GAACTATGGAA >hg38_chr5:56438957-56438967(+) taacaatgggg >hg38_chr5:56449284-56449294(-) GAACAATGCTG >hg38_chr5:56459631-56459641(+) ttacaatagca >hg38_chr5:56557855-56557865(+) GCACAAAGGAA >hg38_chr5:56557893-56557903(-) TTACAATGCAA >hg38_chr5:56616821-56616831(+) GAACAATGTTC >hg38_chr5:56621518-56621528(-) agacaatggaa >hg38_chr5:56638383-56638393(-) AAACAATAGAG >hg38_chr5:56647475-56647485(+) AAACAATGGCT >hg38_chr5:56665278-56665288(-) tcacaatggcc >hg38_chr5:56669829-56669839(-) tgacaatgacc >hg38_chr5:56699286-56699296(-) TCACAATGCAG >hg38_chr5:56699295-56699305(-) ATACAATAATC >hg38_chr5:56699336-56699346(+) AAACAGTGGGA >hg38_chr5:56714460-56714470(-) GAACAATGGGA >hg38_chr5:56748608-56748618(-) caacaatgtca >hg38_chr5:56748635-56748645(-) taacaatatct >hg38_chr5:56748643-56748653(-) agacaatgtaa >hg38_chr5:56782789-56782799(-) ttacaatatag >hg38_chr5:56842458-56842468(-) atacagtggct >hg38_chr5:56862309-56862319(-) acacaatgaag >hg38_chr5:56879326-56879336(-) ATACAATAATG >hg38_chr5:56910770-56910780(-) TCACAATGAGA >hg38_chr5:56917325-56917335(-) ACACAATAGGT >hg38_chr5:56917362-56917372(+) GTATAATGTAA >hg38_chr5:56947625-56947635(-) ATACAATGCTT >hg38_chr5:56952766-56952776(+) GGACAATGGAA >hg38_chr5:56956200-56956210(-) atataatggct >hg38_chr5:56981862-56981872(+) caacaatgaGG >hg38_chr5:56981882-56981892(+) TGACAATGTAC >hg38_chr5:57028386-57028396(+) ACACAATGATT >hg38_chr5:57037615-57037625(-) ggacactggaC >hg38_chr5:57048880-57048890(+) GAACAAAGGAA >hg38_chr5:57048905-57048915(-) AGACAAAGGTT >hg38_chr5:57067122-57067132(-) ggacaaaggga >hg38_chr5:57113708-57113718(-) gaacaatgcct >hg38_chr5:57144041-57144051(-) atacaatgata >hg38_chr5:57161631-57161641(-) ATACAATGCAG >hg38_chr5:57173660-57173670(-) GAACAATCGGG >hg38_chr5:57189752-57189762(-) aaacaatgcag >hg38_chr5:57205288-57205298(-) acacaatgaaa >hg38_chr5:57220962-57220972(-) GAACAATATTA >hg38_chr5:57221762-57221772(+) TGACAATGTAA >hg38_chr5:57237062-57237072(-) AAACAATGCCA >hg38_chr5:57251257-57251267(-) tcataatgggt >hg38_chr5:57251299-57251309(-) gaacaaagggc >hg38_chr5:57259363-57259373(-) ACACAATAGCT >hg38_chr5:57299102-57299112(-) GAACAGTGGTT >hg38_chr5:57345539-57345549(-) TCACAATGAAT >hg38_chr5:57364665-57364675(-) TGACAATGCCT >hg38_chr5:57406203-57406213(-) TAATAATGGCA >hg38_chr5:57496064-57496074(+) aaacaatggga >hg38_chr5:57496099-57496109(+) ggacaatgtaa >hg38_chr5:57496132-57496142(+) taacaaaggca >hg38_chr5:57572204-57572214(+) ACACAATGCTT >hg38_chr5:57581364-57581374(-) ACACAATGAGA >hg38_chr5:57607263-57607273(-) CAACAATGGCA >hg38_chr5:57642195-57642205(-) agactatggta >hg38_chr5:57648222-57648232(+) ttacaatagtg >hg38_chr5:57653174-57653184(+) TTACAATGCTC >hg38_chr5:57654123-57654133(-) CAACAATGCCA >hg38_chr5:57691759-57691769(+) atacaaaggct >hg38_chr5:57700114-57700124(+) AAACAAAGGCA >hg38_chr5:57705236-57705246(+) ACACAATGACC >hg38_chr5:57705304-57705314(+) AGACAATGTGT >hg38_chr5:57736767-57736777(-) ACACAAAGGAG >hg38_chr5:57736785-57736795(+) TGACAAAGGAA >hg38_chr5:57783463-57783473(+) TAACAATATCT >hg38_chr5:57783481-57783491(-) GCACAATAGGC >hg38_chr5:57807193-57807203(-) ATACAATGCTC >hg38_chr5:57809297-57809307(-) ggacaatggta >hg38_chr5:57809317-57809327(+) aaacaaaggat >hg38_chr5:57825333-57825343(+) ttacaataggt >hg38_chr5:57828403-57828413(+) TAACAAAGGAG >hg38_chr5:57828419-57828429(+) AAACAATGAAT >hg38_chr5:57834910-57834920(-) aaacaatagtc >hg38_chr5:57836247-57836257(+) TAACAATGTAT >hg38_chr5:57839631-57839641(+) ctacaatggaa >hg38_chr5:57842778-57842788(-) AAACAATGACA >hg38_chr5:57855528-57855538(-) GAACAATGAGT >hg38_chr5:57903004-57903014(+) AGACAATGGGG >hg38_chr5:57989893-57989903(-) TGACAATGCTT >hg38_chr5:58017152-58017162(+) GCACAATGAAA >hg38_chr5:58071631-58071641(+) GAACAATGACC >hg38_chr5:58073393-58073403(+) GGACAATGGAA >hg38_chr5:58073413-58073423(+) AAACAATATAA >hg38_chr5:58077924-58077934(-) GGACAATAGAG >hg38_chr5:58079004-58079014(-) aaacaaaggta >hg38_chr5:58133889-58133899(+) CAACAATGCTC >hg38_chr5:58148532-58148542(+) ACACAATGAAG >hg38_chr5:58155865-58155875(+) TGACAATAGAT >hg38_chr5:58239401-58239411(+) CAACAATGGTC >hg38_chr5:58239428-58239438(+) AAACAATGCCT >hg38_chr5:58247741-58247751(+) gtacaatagac >hg38_chr5:58247754-58247764(-) acacaataaaa >hg38_chr5:58247791-58247801(-) caacaatgtcc >hg38_chr5:58256142-58256152(-) gtacaatagag >hg38_chr5:58274341-58274351(-) AGACAATAGCT >hg38_chr5:58279141-58279151(+) GCACAATGAAC >hg38_chr5:58279753-58279763(+) acataatggaa >hg38_chr5:58314725-58314735(+) AAATAATGGAA >hg38_chr5:58319145-58319155(+) ATACAGTGGGA >hg38_chr5:58335691-58335701(-) gaacaatgacT >hg38_chr5:58337819-58337829(+) AAACAATGTGC >hg38_chr5:58337840-58337850(+) AAACACTGGTA >hg38_chr5:58352422-58352432(-) taacaatgtat >hg38_chr5:58357119-58357129(-) TTACAATGGCA >hg38_chr5:58360066-58360076(+) AGACAATGAAA >hg38_chr5:58370604-58370614(-) AAACAATGGCT >hg38_chr5:58406584-58406594(-) AAACAATAGGG >hg38_chr5:58410624-58410634(-) TTACAGTGGGA >hg38_chr5:58410640-58410650(+) GTACAATGGAA >hg38_chr5:58410682-58410692(+) TCACAATGCAG >hg38_chr5:58453194-58453204(+) CCACAATGTAA >hg38_chr5:58462302-58462312(+) TGACAATAGAC >hg38_chr5:58490768-58490778(-) TAATAATAGTA >hg38_chr5:58493880-58493890(-) GCACAATAGAA >hg38_chr5:58542912-58542922(+) CAACAATAGAA >hg38_chr5:58582295-58582305(-) ACACAATAGAA >hg38_chr5:58593218-58593228(-) gtacaataact >hg38_chr5:58616180-58616190(-) gtacaatgctA >hg38_chr5:58616187-58616197(+) gtacaatagag >hg38_chr5:58623684-58623694(+) ATACAAAGGAT >hg38_chr5:58623700-58623710(-) GAACAATGCCC >hg38_chr5:58717853-58717863(-) AAACAATGAAA >hg38_chr5:58718218-58718228(-) ACACAAAGGCA >hg38_chr5:58722343-58722353(-) CTACAATAGAC >hg38_chr5:58803717-58803727(+) GAACAATGAAC >hg38_chr5:58806337-58806347(-) Taacaatagct >hg38_chr5:58849242-58849252(-) gtacaaaggtc >hg38_chr5:58850155-58850165(+) AAACAATAGAG >hg38_chr5:58869606-58869616(+) AGACAATGTGC >hg38_chr5:58891875-58891885(+) GAACAATGGGA >hg38_chr5:58909595-58909605(+) acacaatgcca >hg38_chr5:58917015-58917025(+) CCACAATAGTC >hg38_chr5:58921318-58921328(+) GAACAATGTGT >hg38_chr5:58963784-58963794(+) aaacaatgatg >hg38_chr5:58977870-58977880(-) AAACAATGAAT >hg38_chr5:58981059-58981069(+) caacaatggta >hg38_chr5:58992328-58992338(-) GAACAGTGGAA >hg38_chr5:58992346-58992356(-) TCACAAAGGAA >hg38_chr5:58997709-58997719(-) GAACAAAGGAT >hg38_chr5:58998816-58998826(-) CCACAATAGAA >hg38_chr5:58998835-58998845(+) TCACAATGCTA >hg38_chr5:59000525-59000535(-) TAACAATAGAA >hg38_chr5:59050733-59050743(-) gaacaatggca >hg38_chr5:59050783-59050793(-) atacaatgtgc >hg38_chr5:59060958-59060968(-) CAACAATGGAA >hg38_chr5:59065706-59065716(+) GTACAATGAAA >hg38_chr5:59073351-59073361(+) gcacaatgatg >hg38_chr5:59076137-59076147(+) GAACAATGTGA >hg38_chr5:59076476-59076486(+) TAACAATGAAA >hg38_chr5:59168220-59168230(+) TGATAATGGCA >hg38_chr5:59189615-59189625(-) GCACAATGCTG >hg38_chr5:59217701-59217711(-) GAACAATCGAT >hg38_chr5:59222843-59222853(-) ttataatggcc >hg38_chr5:59222912-59222922(-) aaataatggga >hg38_chr5:59246198-59246208(+) ACACAATGACA >hg38_chr5:59261348-59261358(+) TAACAAAGGAA >hg38_chr5:59317537-59317547(+) taacaaaggcc >hg38_chr5:59317889-59317899(+) TTACGATGGTT >hg38_chr5:59356962-59356972(-) GCACAAAGGAA >hg38_chr5:59357834-59357844(+) TAACAATGCAG >hg38_chr5:59370404-59370414(+) acacaatgtgc >hg38_chr5:59382012-59382022(-) CTACAATGGCC >hg38_chr5:59383643-59383653(+) gaacaatgcct >hg38_chr5:59390388-59390398(+) TAACAATGCAT >hg38_chr5:59422394-59422404(-) AAACAATAGTC >hg38_chr5:59428746-59428756(-) AAACAATAGTT >hg38_chr5:59451786-59451796(+) atacaatgcag >hg38_chr5:59451831-59451841(-) ttacagtggaa >hg38_chr5:59482384-59482394(-) GAACAATGCCA >hg38_chr5:59486721-59486731(-) GTACACtggtg >hg38_chr5:59489605-59489615(-) AGACAATAAGA >hg38_chr5:59526102-59526112(+) GCACAATGGAG >hg38_chr5:59540574-59540584(-) TTACAAAGGGC >hg38_chr5:59540591-59540601(+) GAACAATGAGG >hg38_chr5:59541057-59541067(+) TAATAATGGCA >hg38_chr5:59555845-59555855(-) TAACAATGTCC >hg38_chr5:59568901-59568911(+) taataatgtac >hg38_chr5:59568924-59568934(-) ttacaatgaat >hg38_chr5:59569398-59569408(-) GGACAAAGGTA >hg38_chr5:59579658-59579668(+) CAACAATGCCC >hg38_chr5:59584155-59584165(+) GGACAAAGGGA >hg38_chr5:59591289-59591299(+) TCACAATGAGC >hg38_chr5:59597347-59597357(+) TAACAATGTAT >hg38_chr5:59597820-59597830(-) CCACAATGGGC >hg38_chr5:59640129-59640139(-) aaacaatagac >hg38_chr5:59641610-59641620(+) aaacaatgaac >hg38_chr5:59661987-59661997(-) AGACAATGGGT >hg38_chr5:59680883-59680893(-) tcacaatgata >hg38_chr5:59701550-59701560(+) GCACAATGGAG >hg38_chr5:59710903-59710913(+) taacaatagcc >hg38_chr5:59722214-59722224(+) GGACAATGCCA >hg38_chr5:59723519-59723529(+) CTACAATGAGA >hg38_chr5:59727863-59727873(+) AGACAATAGGA >hg38_chr5:59752027-59752037(+) ATACAATGTCT >hg38_chr5:59753676-59753686(+) AGACAATGAAA >hg38_chr5:59766632-59766642(+) GGACACTGGAC >hg38_chr5:59766940-59766950(+) GGACAATAACA >hg38_chr5:59768448-59768458(+) GGACAATGCGG >hg38_chr5:59800226-59800236(+) CCACAATGGAA >hg38_chr5:59800243-59800253(+) CGACAATACTA >hg38_chr5:59800661-59800671(-) ATACAATAGCA >hg38_chr5:59800729-59800739(-) gaacaaaggca >hg38_chr5:59869626-59869636(+) gcataatggtt >hg38_chr5:59869694-59869704(+) aaacaatagtc >hg38_chr5:59873019-59873029(-) TCACAATGTAA >hg38_chr5:59941342-59941352(-) gaacaatataa >hg38_chr5:59943848-59943858(+) TAACAATAACC >hg38_chr5:59996742-59996752(-) ATACAATGCCC >hg38_chr5:59997398-59997408(+) ATACAAAGGAT >hg38_chr5:59997407-59997417(-) AGACAATAGAT >hg38_chr5:60031390-60031400(-) CTACAATGGCA >hg38_chr5:60067604-60067614(+) gtacaatacat >hg38_chr5:60067630-60067640(+) gtacaatgttg >hg38_chr5:60096781-60096791(+) GCACAATGGAA >hg38_chr5:60126822-60126832(-) gaacaatgtct >hg38_chr5:60175973-60175983(+) AGACAATGATT >hg38_chr5:60184860-60184870(+) GAACAAAGGGA >hg38_chr5:60220754-60220764(+) TTACAATGGTT >hg38_chr5:60223530-60223540(-) GAACAAAGGTA >hg38_chr5:60245504-60245514(+) tcacaatagcc >hg38_chr5:60264458-60264468(-) CAACAATGAGA >hg38_chr5:60297794-60297804(-) CCACAATGAAA >hg38_chr5:60297813-60297823(+) ACACAATATAA >hg38_chr5:60331477-60331487(-) AAACCATGGCG >hg38_chr5:60331519-60331529(-) ACACAAAGGGA >hg38_chr5:60340815-60340825(-) GGACAATGTCC >hg38_chr5:60340853-60340863(-) GAACAAAGGCT >hg38_chr5:60404336-60404346(+) GAACAATGGGC >hg38_chr5:60417565-60417575(+) GAACAATAGGC >hg38_chr5:60440652-60440662(+) gcacaatgtgt >hg38_chr5:60443886-60443896(+) AAACAATGGCT >hg38_chr5:60489641-60489651(-) AAACAAAGGTT >hg38_chr5:60503663-60503673(-) ATACAATAGTG >hg38_chr5:60521591-60521601(+) taacaatatca >hg38_chr5:60528231-60528241(-) GAACAATAGCA >hg38_chr5:60528275-60528285(+) GGACAATAGGA >hg38_chr5:60538561-60538571(-) tcacaatgcag >hg38_chr5:60622378-60622388(-) aaactatgggt >hg38_chr5:60625853-60625863(-) acacaatagaa >hg38_chr5:60707957-60707967(+) TAACAAAGGCA >hg38_chr5:60707966-60707976(+) CAACAATGGTG >hg38_chr5:60749306-60749316(+) aaacaataacc >hg38_chr5:60768591-60768601(-) CAACAATAGGC >hg38_chr5:60852944-60852954(+) aaacaatgacc >hg38_chr5:60888086-60888096(+) GAACAATGCTC >hg38_chr5:60888172-60888182(-) GTACAATAATA >hg38_chr5:60899267-60899277(+) ATACAATGGCC >hg38_chr5:60899591-60899601(-) TGACAATGATA >hg38_chr5:60903444-60903454(-) GAACAATGATC >hg38_chr5:60916819-60916829(-) TAACAATGTAT >hg38_chr5:61002627-61002637(-) GCACAATGTTT >hg38_chr5:61002652-61002662(+) ATACAATGATG >hg38_chr5:61003118-61003128(+) GAACAATGCAA >hg38_chr5:61004397-61004407(+) ATATAATGGAT >hg38_chr5:61019248-61019258(+) taacaatagta >hg38_chr5:61050891-61050901(+) ACACAAAGGAT >hg38_chr5:61055610-61055620(-) TGACAATAGCA >hg38_chr5:61060402-61060412(-) GCACAATGTAT >hg38_chr5:61089610-61089620(-) GTACAATAACC >hg38_chr5:61132799-61132809(-) TAACAATAAAA >hg38_chr5:61148753-61148763(+) TAACAATGTGA >hg38_chr5:61152009-61152019(+) TAACAAAGGAT >hg38_chr5:61152072-61152082(-) GTACAATGCAG >hg38_chr5:61184706-61184716(-) TTACAATGATC >hg38_chr5:61185008-61185018(-) TAACAAAGGAG >hg38_chr5:61234696-61234706(-) TAACAATGAAA >hg38_chr5:61264256-61264266(+) ACACAATAGAC >hg38_chr5:61274228-61274238(-) atacaatgatt >hg38_chr5:61274492-61274502(-) ACACAATGACG >hg38_chr5:61277374-61277384(+) AAACAATAGGA >hg38_chr5:61299303-61299313(-) GTACAATGTTT >hg38_chr5:61319811-61319821(-) GAACAATATCA >hg38_chr5:61330607-61330617(+) CAACAATGGGA >hg38_chr5:61333285-61333295(+) GGACAAAGGCG >hg38_chr5:61334297-61334307(+) GAACAATGCTG >hg38_chr5:61419772-61419782(+) GGACAATGGTA >hg38_chr5:61419828-61419838(+) ATACAATAGGT >hg38_chr5:61420250-61420260(-) agacaatgtgc >hg38_chr5:61432104-61432114(+) AAACAAAGGAC >hg38_chr5:61439481-61439491(+) gaacaatgcat >hg38_chr5:61455337-61455347(+) gaacaatgaaa >hg38_chr5:61455351-61455361(+) ggacaaaggaa >hg38_chr5:61479598-61479608(+) CCACAATGGCG >hg38_chr5:61479620-61479630(-) TTACAGTGGGA >hg38_chr5:61504756-61504766(-) ATACAATGCCC >hg38_chr5:61575226-61575236(+) TAACAATGAGC >hg38_chr5:61582335-61582345(+) AGACAAAGGCA >hg38_chr5:61612712-61612722(+) CCACAATGGAA >hg38_chr5:61625377-61625387(+) GCACAATAGAA >hg38_chr5:61631937-61631947(+) GGACAATGAGT >hg38_chr5:61637706-61637716(+) ACACAATGCTC >hg38_chr5:61657602-61657612(-) AAACAATGCCT >hg38_chr5:61659359-61659369(+) TTACTATGGTT >hg38_chr5:61673331-61673341(-) GAACAATGGCA >hg38_chr5:61674090-61674100(-) agacaatgcaa >hg38_chr5:61674105-61674115(-) gaataatgggt >hg38_chr5:61675214-61675224(-) TTACAAAGGCC >hg38_chr5:61691778-61691788(-) caacaatgtaa >hg38_chr5:61692322-61692332(-) gcacaatgttg >hg38_chr5:61698736-61698746(-) aaacaaagtcg >hg38_chr5:61698751-61698761(-) AGacaatagtg >hg38_chr5:61716889-61716899(-) GGACAATGCTT >hg38_chr5:61722677-61722687(-) ggataatggat >hg38_chr5:61732083-61732093(+) CGATAATGAAA >hg38_chr5:61732920-61732930(-) GAACAATACGC >hg38_chr5:61757322-61757332(-) acacaatgctc >hg38_chr5:61774979-61774989(+) AGACAATGGGA >hg38_chr5:61819654-61819664(+) ctataatggtg >hg38_chr5:61819694-61819704(+) atacaatgtat >hg38_chr5:61820124-61820134(+) tcacaatgaca >hg38_chr5:61820864-61820874(+) GAACAAAGGAT >hg38_chr5:61838028-61838038(-) atacaatggaa >hg38_chr5:61851532-61851542(+) ggacaaaggca >hg38_chr5:61851543-61851553(+) atataatggag >hg38_chr5:61924466-61924476(+) TAACAAAGGTC >hg38_chr5:61928150-61928160(-) CAACAATGGTA >hg38_chr5:61966710-61966720(+) TAACAATGCAG >hg38_chr5:61996066-61996076(-) agacaatgtca >hg38_chr5:62002671-62002681(-) agacaaaggaa >hg38_chr5:62060351-62060361(-) acacaatgaac >hg38_chr5:62060514-62060524(-) acacaaaggaa >hg38_chr5:62074769-62074779(+) ccacaatgtgt >hg38_chr5:62079355-62079365(+) GCACAAAGGAT >hg38_chr5:62083860-62083870(+) TAACAATAGCA >hg38_chr5:62094165-62094175(+) TTACTATGGAA >hg38_chr5:62113077-62113087(-) agacaatgagc >hg38_chr5:62124411-62124421(-) ggacaaaggga >hg38_chr5:62143710-62143720(-) TAACAACGCGA >hg38_chr5:62144598-62144608(+) AAACAATGAGC >hg38_chr5:62152715-62152725(-) AAACAATGACA >hg38_chr5:62167937-62167947(+) TAACAATGGTC >hg38_chr5:62260664-62260674(+) GTACAATGAAA >hg38_chr5:62260728-62260738(-) ACACAATGGAG >hg38_chr5:62268304-62268314(+) AAACAAAGGGG >hg38_chr5:62282996-62283006(-) ACACAATATTA >hg38_chr5:62283070-62283080(+) TTACAATGACA >hg38_chr5:62319522-62319532(-) AAACAAAGGGG >hg38_chr5:62319546-62319556(-) ATACAATGAGT >hg38_chr5:62319551-62319561(-) ATACAATACAA >hg38_chr5:62348863-62348873(-) gcacaATGTAA >hg38_chr5:62353978-62353988(-) GCACAATGGTG >hg38_chr5:62356387-62356397(-) atacaatgaca >hg38_chr5:62357865-62357875(-) GCATAATGGTT >hg38_chr5:62359391-62359401(+) ttacaaaggaa >hg38_chr5:62371992-62372002(+) aaacaatggat >hg38_chr5:62372655-62372665(-) TGACAATGAAA >hg38_chr5:62387800-62387810(-) GAACAAAGGCA >hg38_chr5:62395426-62395436(+) tgacaatgagt >hg38_chr5:62395497-62395507(-) atacaatataa >hg38_chr5:62397465-62397475(-) aaacaatagcc >hg38_chr5:62425788-62425798(+) ACACAATATAT >hg38_chr5:62462419-62462429(-) ACACAATGCAA >hg38_chr5:62465689-62465699(-) GTACAATGACT >hg38_chr5:62468378-62468388(+) agacaatggat >hg38_chr5:62473062-62473072(-) gaacaatgaaa >hg38_chr5:62476017-62476027(-) CAACAATGTTT >hg38_chr5:62478914-62478924(+) acacaatgtct >hg38_chr5:62482598-62482608(-) aaataatggat >hg38_chr5:62482620-62482630(+) acacaatgatg >hg38_chr5:62483217-62483227(-) GGACAATGAAT >hg38_chr5:62497125-62497135(-) GGACAACGGTA >hg38_chr5:62524820-62524830(-) TTACAATGCCA >hg38_chr5:62569703-62569713(-) TAACAATGCAA >hg38_chr5:62570556-62570566(-) GTACAATGTGC >hg38_chr5:62600469-62600479(-) TGACTATGGAT >hg38_chr5:62621033-62621043(+) gaataatggca >hg38_chr5:62626567-62626577(-) TAACAATGACA >hg38_chr5:62630350-62630360(-) gtataatggca >hg38_chr5:62700891-62700901(-) CAACAATGAGG >hg38_chr5:62725668-62725678(+) GAACAAAGGCA >hg38_chr5:62747627-62747637(+) TTACAATGGGA >hg38_chr5:62872197-62872207(-) TCACAAAGGAC >hg38_chr5:62918635-62918645(+) AAACAATAGAC >hg38_chr5:62957905-62957915(+) agacaaaggga >hg38_chr5:63070440-63070450(-) ATACAATGGTC >hg38_chr5:63070454-63070464(+) GGACAAAGGTT >hg38_chr5:63126010-63126020(-) TTACAAAGGAA >hg38_chr5:63127384-63127394(-) CTACAATGTAC >hg38_chr5:63140404-63140414(+) taacaataaca >hg38_chr5:63140410-63140420(+) taacaataggt >hg38_chr5:63206837-63206847(-) AGACAATGCTC >hg38_chr5:63233667-63233677(-) CCACAATGAAA >hg38_chr5:63234027-63234037(-) GCACAATGATA >hg38_chr5:63247779-63247789(-) tgacaatagca >hg38_chr5:63314143-63314153(+) AGACAAAGGGA >hg38_chr5:63388378-63388388(+) TGACAATGGAG >hg38_chr5:63401756-63401766(+) GAACAATGTCA >hg38_chr5:63439104-63439114(-) agacaaaggga >hg38_chr5:63638321-63638331(-) GTATAATAGTA >hg38_chr5:63686914-63686924(-) ctacaatgggc >hg38_chr5:63706202-63706212(-) GTACAATATGA >hg38_chr5:63831320-63831330(-) ATACAATAGAC >hg38_chr5:63831944-63831954(+) TGACAATGGGC >hg38_chr5:63831961-63831971(-) GTACCATGGAA >hg38_chr5:63907521-63907531(-) AAACAATGGCC >hg38_chr5:64124112-64124122(+) GGACAATGAAG >hg38_chr5:64144988-64144998(-) CTACAATGTGG >hg38_chr5:64145890-64145900(-) CAATAATGGAC >hg38_chr5:64145893-64145903(-) ATACAATAATG >hg38_chr5:64146340-64146350(-) CAACAATAGTG >hg38_chr5:64187270-64187280(+) GTACAATGACA >hg38_chr5:64299380-64299390(-) TAACAATAAcc >hg38_chr5:64327616-64327626(-) tcacaatggaa >hg38_chr5:64360772-64360782(+) agacaaaggat >hg38_chr5:64389033-64389043(+) taacaatatcc >hg38_chr5:64399574-64399584(-) ggacaatggaa >hg38_chr5:64428269-64428279(+) aaacaataaca >hg38_chr5:64428672-64428682(+) taacaataaat >hg38_chr5:64429070-64429080(+) taataatagta >hg38_chr5:64433754-64433764(+) aaacaatggtg >hg38_chr5:64433770-64433780(+) agactatggga >hg38_chr5:64500332-64500342(+) TCACAATGGCC >hg38_chr5:64506134-64506144(+) CGACAGTGGAG >hg38_chr5:64538918-64538928(-) caacaatgtgg >hg38_chr5:64552977-64552987(+) TAATAATGGCT >hg38_chr5:64612647-64612657(+) TAACAATGATC >hg38_chr5:64617077-64617087(+) taacaatgatg >hg38_chr5:64636569-64636579(-) TCACAATGATG >hg38_chr5:64685773-64685783(+) agacaataggt >hg38_chr5:64689656-64689666(-) TTACGATGGGA >hg38_chr5:64689697-64689707(-) AAACAATGCTT >hg38_chr5:64703422-64703432(+) CTACAATGTAG >hg38_chr5:64724934-64724944(+) ATACAATAACT >hg38_chr5:64733469-64733479(+) caacaatgggc >hg38_chr5:64760366-64760376(+) agacaataaca >hg38_chr5:64760395-64760405(+) gcacaaaggga >hg38_chr5:64768830-64768840(-) TTACAATATTA >hg38_chr5:64770968-64770978(+) agacaatggaa >hg38_chr5:64777168-64777178(-) ACACAATGTAT >hg38_chr5:64784969-64784979(+) AGACAATGTGT >hg38_chr5:64789699-64789709(-) AAACAATGGCA >hg38_chr5:64792637-64792647(-) GTACAATGCAA >hg38_chr5:64823236-64823246(-) TATCAATGGTA >hg38_chr5:64836292-64836302(+) AGACAATGGAC >hg38_chr5:64851198-64851208(+) gcacaacggaa >hg38_chr5:64869769-64869779(-) ATACAATAGAC >hg38_chr5:64875746-64875756(+) AAACAATGGAA >hg38_chr5:64885830-64885840(-) GAACAATAGTT >hg38_chr5:64889826-64889836(-) TTACAATGGGA >hg38_chr5:64961998-64962008(-) GTACACTGGAT >hg38_chr5:64985813-64985823(-) gaacaaaggaa >hg38_chr5:64991061-64991071(-) TTACAATGATA >hg38_chr5:65010658-65010668(+) GGACAATATAT >hg38_chr5:65011031-65011041(+) gtacaaagGCC >hg38_chr5:65011047-65011057(+) GTACAAAGGCG >hg38_chr5:65032395-65032405(+) TTACAACGGGT >hg38_chr5:65035369-65035379(-) TCACTATGGCG >hg38_chr5:65039136-65039146(-) aaacaatgttt >hg38_chr5:65040869-65040879(-) TCACAATGCTT >hg38_chr5:65041051-65041061(-) AAACTATGGAT >hg38_chr5:65072219-65072229(+) GAACAATAACA >hg38_chr5:65126304-65126314(+) GAACAATAGGT >hg38_chr5:65127858-65127868(+) AAACAATGACA >hg38_chr5:65141831-65141841(+) taacaatagcc >hg38_chr5:65184861-65184871(+) CAACAATGGAA >hg38_chr5:65189999-65190009(-) GCACAATGGAG >hg38_chr5:65204614-65204624(-) AGACAATGGTC >hg38_chr5:65204698-65204708(-) GAACAAAGGTT >hg38_chr5:65221616-65221626(-) gtacaatgctg >hg38_chr5:65231398-65231408(+) atacaatgaga >hg38_chr5:65231455-65231465(+) caacaatggat >hg38_chr5:65292520-65292530(+) TAACAATGACT >hg38_chr5:65296333-65296343(+) TAACAATGTAC >hg38_chr5:65312922-65312932(-) CTACAATGGCC >hg38_chr5:65325925-65325935(+) atacaatagta >hg38_chr5:65352973-65352983(+) GCACAATGGCC >hg38_chr5:65357020-65357030(+) GTATAATGGGG >hg38_chr5:65357034-65357044(+) AGACAAAGGAG >hg38_chr5:65359659-65359669(+) acacaatgaaa >hg38_chr5:65363355-65363365(+) TAACAATAGCT >hg38_chr5:65420823-65420833(-) AAACAATGTTC >hg38_chr5:65420853-65420863(+) TGACAATAATA >hg38_chr5:65451419-65451429(+) TTACAATAGTG >hg38_chr5:65469325-65469335(+) CCACAATGGAA >hg38_chr5:65482004-65482014(+) GTACAATCGGG >hg38_chr5:65482046-65482056(+) AGACAATGTCA >hg38_chr5:65497557-65497567(-) gaacaaaggga >hg38_chr5:65530418-65530428(+) agacaaaggaa >hg38_chr5:65530481-65530491(+) gtacaaaggcc >hg38_chr5:65560525-65560535(+) ttACAATAAAA >hg38_chr5:65561965-65561975(+) agacaatataa >hg38_chr5:65569911-65569921(-) GAACAATGCTC >hg38_chr5:65617752-65617762(-) GAACAATGTTT >hg38_chr5:65624773-65624783(-) TAACAATACGC >hg38_chr5:65625847-65625857(+) TAACAATGACT >hg38_chr5:65646750-65646760(-) atacaatcggg >hg38_chr5:65648031-65648041(-) ggacaatgggc >hg38_chr5:65662332-65662342(-) atacaatAGGC >hg38_chr5:65721505-65721515(+) AAACAGTGGCG >hg38_chr5:65728418-65728428(-) ggacaatagga >hg38_chr5:65729967-65729977(-) tcacaatgcta >hg38_chr5:65792271-65792281(-) AAACAATAACC >hg38_chr5:65796306-65796316(-) GAACAATGGAA >hg38_chr5:65796315-65796325(-) AAATAATGGGA >hg38_chr5:65801661-65801671(-) CAACAATGTCT >hg38_chr5:65817545-65817555(-) TCACAATGTCT >hg38_chr5:65817568-65817578(-) TAACAATATAA >hg38_chr5:65818050-65818060(+) GGACAAAGGAA >hg38_chr5:65914440-65914450(-) ATACAAAGGAG >hg38_chr5:65931211-65931221(-) TAATAATGGCC >hg38_chr5:65981787-65981797(-) ATACAATGCCA >hg38_chr5:65993267-65993277(-) TAACAATACAC >hg38_chr5:65994611-65994621(+) GAACAATGAGG >hg38_chr5:66009414-66009424(+) GTACAAAGGTA >hg38_chr5:66009422-66009432(+) GTACAATGTGC >hg38_chr5:66013312-66013322(-) TAATAATGTAA >hg38_chr5:66017054-66017064(-) acacaatggaa >hg38_chr5:66017125-66017135(-) tcacaatagcc >hg38_chr5:66040069-66040079(+) TAACAATGCAA >hg38_chr5:66040103-66040113(+) acacaatgcta >hg38_chr5:66040144-66040154(+) ttacaataatc >hg38_chr5:66043110-66043120(-) CCACAATGAAG >hg38_chr5:66047333-66047343(-) acacaatgtag >hg38_chr5:66047350-66047360(-) gaacaaagggt >hg38_chr5:66065146-66065156(+) ACACAATACGA >hg38_chr5:66075186-66075196(-) GAACAATGGGG >hg38_chr5:66080731-66080741(+) GAACAATGGAT >hg38_chr5:66080758-66080768(-) AGACAATGAAG >hg38_chr5:66122896-66122906(+) GGACAATGAAC >hg38_chr5:66157331-66157341(+) CTACAATGTCT >hg38_chr5:66171505-66171515(-) TTACTATGGCA >hg38_chr5:66171521-66171531(+) GTACAATGAGA >hg38_chr5:66176032-66176042(-) gaacaatggga >hg38_chr5:66177143-66177153(-) aaacaaaggaa >hg38_chr5:66193348-66193358(-) gtacaatgaaa >hg38_chr5:66233032-66233042(+) AAACAATACGT >hg38_chr5:66264836-66264846(-) acataatggct >hg38_chr5:66267810-66267820(-) atacaatagca >hg38_chr5:66268298-66268308(-) taacaatggtg >hg38_chr5:66268331-66268341(-) atacaatgtaa >hg38_chr5:66268359-66268369(-) atataatggga >hg38_chr5:66307789-66307799(-) AAACAATGAAT >hg38_chr5:66337253-66337263(-) AGACAATGTAG >hg38_chr5:66376693-66376703(-) AGACAATATAC >hg38_chr5:66410280-66410290(+) GGACAATGAAA >hg38_chr5:66521015-66521025(-) agacaatgaaa >hg38_chr5:66584328-66584338(-) ATACAATGGGG >hg38_chr5:66584346-66584356(-) AGACAATAAAT >hg38_chr5:66594738-66594748(+) TCACAATGAAA >hg38_chr5:66621357-66621367(-) ATACAATAGCA >hg38_chr5:66655626-66655636(+) ATACAAAGACG >hg38_chr5:66680387-66680397(-) GGACAAAGGGA >hg38_chr5:66724945-66724955(-) AAACAAAGGGA >hg38_chr5:66829925-66829935(-) GAACAATAGAT >hg38_chr5:66829978-66829988(-) AAACAATGACC >hg38_chr5:66842083-66842093(-) GTACAATAAAC >hg38_chr5:66882748-66882758(+) taACAATATCC >hg38_chr5:66923164-66923174(-) CCACAATGGGG >hg38_chr5:67000959-67000969(+) TAACAATGTCA >hg38_chr5:67001009-67001019(-) CAACAATGGAC >hg38_chr5:67001036-67001046(+) ACACAATAGAA >hg38_chr5:67015215-67015225(-) GAACAATAGGT >hg38_chr5:67033842-67033852(-) TCACAATGCCA >hg38_chr5:67039095-67039105(-) CAACAATGCAG >hg38_chr5:67058641-67058651(-) taacaatgtat >hg38_chr5:67093362-67093372(-) TAACAATGTTG >hg38_chr5:67166352-67166362(-) GCACAAAGGAA >hg38_chr5:67169361-67169371(-) ACACAATGAGG >hg38_chr5:67191135-67191145(-) TGACAATGAAG >hg38_chr5:67246815-67246825(-) TAACAATGGTA >hg38_chr5:67300315-67300325(-) ATACAAAGGGT >hg38_chr5:67307144-67307154(-) GCACAATGACC >hg38_chr5:67307173-67307183(-) AAACACTGGAA >hg38_chr5:67307208-67307218(-) TCACAATGGCC >hg38_chr5:67346093-67346103(+) tcacaaaggaa >hg38_chr5:67346122-67346132(+) gaacaaaggat >hg38_chr5:67346983-67346993(+) cgacaatgaaa >hg38_chr5:67353479-67353489(-) GTACAATGGTT >hg38_chr5:67390137-67390147(+) gaacaatatta >hg38_chr5:67394426-67394436(-) ACACAATGACA >hg38_chr5:67394958-67394968(-) TAACAATAGCC >hg38_chr5:67416746-67416756(-) taacactgggt >hg38_chr5:67416780-67416790(-) agacaaagggt >hg38_chr5:67418138-67418148(+) TAACAATGCTA >hg38_chr5:67432820-67432830(-) CCACAatggtg >hg38_chr5:67432856-67432866(+) TGACAATGAAT >hg38_chr5:67452611-67452621(-) GGACAGTGGAC >hg38_chr5:67454332-67454342(+) ctacaatgaaa >hg38_chr5:67454786-67454796(-) tgacaatgaaa >hg38_chr5:67527913-67527923(-) AAACAATGATC >hg38_chr5:67544843-67544853(+) TAACAGTGACG >hg38_chr5:67572532-67572542(-) tcacaaaggat >hg38_chr5:67578319-67578329(-) AAACAAAGGCC >hg38_chr5:67611462-67611472(-) GAACAATGAAT >hg38_chr5:67679385-67679395(+) GAACAATGATG >hg38_chr5:67680227-67680237(-) AAACAATGTAT >hg38_chr5:67680633-67680643(+) TAACACTGGTC >hg38_chr5:67694793-67694803(+) CAACAATGGCA >hg38_chr5:67694842-67694852(-) Gtacaatacga >hg38_chr5:67702260-67702270(+) aaacaaaggaa >hg38_chr5:67702278-67702288(+) tgacaatagac >hg38_chr5:67729595-67729605(-) aaacaaagggt >hg38_chr5:67731095-67731105(+) gaacaatgggt >hg38_chr5:67731999-67732009(-) GAACAATGCTT >hg38_chr5:67773634-67773644(+) ACACAAAGGTC >hg38_chr5:67775039-67775049(+) GCACAAAGGGA >hg38_chr5:67775066-67775076(+) GAACAATGGTA >hg38_chr5:67792991-67793001(+) GTATAATGAAA >hg38_chr5:67793941-67793951(+) TTACAATGATA >hg38_chr5:67806213-67806223(+) AGACAAAGGAC >hg38_chr5:67812225-67812235(-) aaacaatgcct >hg38_chr5:67847862-67847872(-) AAACAATAAAT >hg38_chr5:67847874-67847884(-) AAACAATGACC >hg38_chr5:67850227-67850237(+) ccacaatgtgc >hg38_chr5:67862435-67862445(+) atacactggat >hg38_chr5:67926589-67926599(-) agacaataaaa >hg38_chr5:67953575-67953585(-) aaacaatataa >hg38_chr5:67961030-67961040(-) GGACAATGGTG >hg38_chr5:67986992-67987002(+) atacaatgaat >hg38_chr5:67987004-67987014(-) TCACAATGAAC >hg38_chr5:68015642-68015652(-) AAATAATGGGA >hg38_chr5:68024765-68024775(-) GAATAATGGGG >hg38_chr5:68042104-68042114(+) TCACAATAGGA >hg38_chr5:68068656-68068666(+) GAACAATGCCC >hg38_chr5:68087221-68087231(-) TAACAATGACT >hg38_chr5:68088663-68088673(-) AGACAatgatt >hg38_chr5:68088708-68088718(+) TTACAAAGGTG >hg38_chr5:68169773-68169783(-) CAACAATGGAG >hg38_chr5:68171192-68171202(-) AGACAAAGGAA >hg38_chr5:68176110-68176120(+) ttataatggag >hg38_chr5:68176170-68176180(+) gtacaatgcac >hg38_chr5:68187930-68187940(-) TAACAATCGCC >hg38_chr5:68193962-68193972(+) TCACAATGATG >hg38_chr5:68204118-68204128(+) GAACAAAGGCT >hg38_chr5:68209265-68209275(-) AAACAATAGAA >hg38_chr5:68218527-68218537(-) ACACAATAAAA >hg38_chr5:68224443-68224453(-) AAACACTGGAA >hg38_chr5:68224696-68224706(-) AAACAATAGCC >hg38_chr5:68240311-68240321(-) AAACAATGAAG >hg38_chr5:68240343-68240353(-) TTACAATATAA >hg38_chr5:68245450-68245460(-) GAACAATGAAT >hg38_chr5:68245508-68245518(+) GAACAATGCCC >hg38_chr5:68249660-68249670(+) TTACTATGGTA >hg38_chr5:68254263-68254273(-) AAACAATGAAA >hg38_chr5:68263785-68263795(+) GTACAATGTTT >hg38_chr5:68283192-68283202(-) CAACAATGTTA >hg38_chr5:68343476-68343486(-) GCACAATGAAC >hg38_chr5:68344493-68344503(+) GAACAATGAAT >hg38_chr5:68345021-68345031(-) GAACAATAGTA >hg38_chr5:68361139-68361149(+) ttacaatgaag >hg38_chr5:68361314-68361324(-) ggacaatgtaa >hg38_chr5:68409132-68409142(+) ggacaatgccc >hg38_chr5:68485120-68485130(-) CAACAATGTCA >hg38_chr5:68490774-68490784(-) TTATAATGGAT >hg38_chr5:68503913-68503923(-) TTACAATGTGT >hg38_chr5:68512010-68512020(-) AAATAATGGAA >hg38_chr5:68515675-68515685(-) GAACAATGAAC >hg38_chr5:68521596-68521606(+) AGATAATGGCT >hg38_chr5:68533681-68533691(+) AAACAATGCCA >hg38_chr5:68545077-68545087(-) TAACCATGGAC >hg38_chr5:68546210-68546220(-) acacaatggtg >hg38_chr5:68548540-68548550(+) aaacaatgagt >hg38_chr5:68608162-68608172(+) acacaatcgta >hg38_chr5:68615271-68615281(+) aaacaataaac >hg38_chr5:68615278-68615288(+) aaacaatggaa >hg38_chr5:68620357-68620367(-) GCACAATGATT >hg38_chr5:68637833-68637843(-) agacaatgtta >hg38_chr5:68700805-68700815(-) taacaatgggg >hg38_chr5:68741560-68741570(+) TAACAATAATC >hg38_chr5:68814985-68814995(-) taacaaaggcc >hg38_chr5:68815018-68815028(+) tcacaatgagt >hg38_chr5:68832920-68832930(-) ATACAATGGTG >hg38_chr5:68842706-68842716(+) TAACaatgaat >hg38_chr5:68893447-68893457(-) caacaatggga >hg38_chr5:68900018-68900028(+) CAACAATGTAT >hg38_chr5:68900074-68900084(-) GTACAATGAAT >hg38_chr5:68943755-68943765(+) TAACAATGTTT >hg38_chr5:69113190-69113200(+) TGACAATGATG >hg38_chr5:69114086-69114096(-) CGACAAAGGTA >hg38_chr5:69216308-69216318(+) caacaatgtga >hg38_chr5:71499996-71500006(-) TCACAATGCAT >hg38_chr5:71630016-71630026(+) TTACAATGTCC >hg38_chr5:71682163-71682173(+) ttacaatgcac >hg38_chr5:71699391-71699401(+) AGACAATGTCT >hg38_chr5:71699457-71699467(+) TAACAATAGGC >hg38_chr5:71782875-71782885(+) AAACAAAGGAA >hg38_chr5:71806626-71806636(+) caacaatgaaa >hg38_chr5:71830617-71830627(+) GAACAATGCAT >hg38_chr5:71830677-71830687(-) AAACAATGTTA >hg38_chr5:71845106-71845116(+) gaacagtggaa >hg38_chr5:71860252-71860262(+) GAACAAAGGAG >hg38_chr5:71860918-71860928(+) GAACAATGTGC >hg38_chr5:71861244-71861254(-) CAACAATAGAA >hg38_chr5:71922806-71922816(+) ACACAATAGGG >hg38_chr5:71933191-71933201(-) TAACAATGGCT >hg38_chr5:71938399-71938409(+) tcacaatgctg >hg38_chr5:71938426-71938436(-) TAACAATGcag >hg38_chr5:71957000-71957010(-) GAACAATAATT >hg38_chr5:71969597-71969607(-) ATACAATGGTT >hg38_chr5:72005828-72005838(+) GGATAATGGCT >hg38_chr5:72032912-72032922(-) ACACAATAGGG >hg38_chr5:72040761-72040771(+) GGACAAAGGGA >hg38_chr5:72101187-72101197(+) ctacaatagga >hg38_chr5:72107122-72107132(-) GGACAATGGTC >hg38_chr5:72109800-72109810(-) ATACAAAGGCA >hg38_chr5:72117442-72117452(-) ACACAATGAGA >hg38_chr5:72124076-72124086(+) TCACAATGACA >hg38_chr5:72126231-72126241(-) CAACAATGCTC >hg38_chr5:72130429-72130439(+) TGACAATGAAT >hg38_chr5:72130999-72131009(-) aaacaatatat >hg38_chr5:72132682-72132692(-) gaacaataaaa >hg38_chr5:72133814-72133824(-) TTATAATGGTA >hg38_chr5:72145400-72145410(-) TGACAATGGAA >hg38_chr5:72157362-72157372(-) CAACAATGACA >hg38_chr5:72161378-72161388(-) CCACAATagcc >hg38_chr5:72170321-72170331(+) AAACAATGGTA >hg38_chr5:72179037-72179047(-) AGACAATGAGA >hg38_chr5:72179353-72179363(+) CTACAATGGTG >hg38_chr5:72182901-72182911(-) GGACAATGGGA >hg38_chr5:72208977-72208987(+) GAACAATACAC >hg38_chr5:72210594-72210604(+) TCACAATGGCA >hg38_chr5:72215505-72215515(+) caacaatggct >hg38_chr5:72242976-72242986(+) CCACAATGTTT >hg38_chr5:72246343-72246353(-) CCACAATGACC >hg38_chr5:72247157-72247167(+) agacaataggT >hg38_chr5:72247202-72247212(-) GTATAATGAAA >hg38_chr5:72248783-72248793(-) AAACAATAGCA >hg38_chr5:72248793-72248803(-) TCACAATAGCA >hg38_chr5:72263253-72263263(-) GCACAATAGTG >hg38_chr5:72268767-72268777(+) tgaCAATGCAC >hg38_chr5:72286461-72286471(+) caacaaaggta >hg38_chr5:72286469-72286479(+) gtacaaaggca >hg38_chr5:72301114-72301124(-) CAACAATAGGT >hg38_chr5:72302385-72302395(+) aaacaatagcc >hg38_chr5:72322382-72322392(-) TAACAATAAAT >hg38_chr5:72339093-72339103(-) CTACAATGGGC >hg38_chr5:72340551-72340561(-) atacaaaggac >hg38_chr5:72366545-72366555(+) TTACAATAGAC >hg38_chr5:72389275-72389285(+) GAACAATGTCT >hg38_chr5:72398758-72398768(+) AGACAATAGAA >hg38_chr5:72434359-72434369(+) TGACAATGCAG >hg38_chr5:72478328-72478338(+) GAATAATGGAA >hg38_chr5:72478346-72478356(-) atacaatgcTC >hg38_chr5:72485302-72485312(-) gcacaatagtg >hg38_chr5:72485345-72485355(+) GAACAAAGGAA >hg38_chr5:72485633-72485643(+) acacaatagtc >hg38_chr5:72498048-72498058(-) ttacaataaaa >hg38_chr5:72531607-72531617(-) GAACAATGTAA >hg38_chr5:72533595-72533605(+) atacaataaaa >hg38_chr5:72534642-72534652(+) ctacaaaggaa >hg38_chr5:72534655-72534665(+) aaacaatagag >hg38_chr5:72534665-72534675(+) gtacaatgggg >hg38_chr5:72547119-72547129(-) agacaaaggag >hg38_chr5:72585720-72585730(+) CTACAATGTCT >hg38_chr5:72611267-72611277(+) CGACACTGGGC >hg38_chr5:72624528-72624538(-) CTACAATGATC >hg38_chr5:72649596-72649606(+) CCACAATGACT >hg38_chr5:72663106-72663116(-) gaacaatagga >hg38_chr5:72698306-72698316(+) ACACAATGTTC >hg38_chr5:72785487-72785497(-) ATACAATAAAC >hg38_chr5:72799017-72799027(-) AAATAATGGCA >hg38_chr5:72818982-72818992(+) TCACAATGGAA >hg38_chr5:72839100-72839110(+) TAACAATAGGC >hg38_chr5:72846796-72846806(+) gtacaatgttg >hg38_chr5:72846810-72846820(-) atacaatatag >hg38_chr5:72867744-72867754(-) TTACAATGCTA >hg38_chr5:72873577-72873587(+) ctactatggaa >hg38_chr5:72886382-72886392(+) TAATAATGTAT >hg38_chr5:72908984-72908994(-) CCACAATGTAC >hg38_chr5:72958463-72958473(-) tgacaatggta >hg38_chr5:72970220-72970230(-) GAACAATAAGA >hg38_chr5:73006089-73006099(-) AGACAATATAC >hg38_chr5:73031217-73031227(-) TAACAATGGAA >hg38_chr5:73033034-73033044(-) TTACAATGAGA >hg38_chr5:73045661-73045671(-) taacaaagggg >hg38_chr5:73067431-73067441(-) GGACAATAGGT >hg38_chr5:73111240-73111250(-) acacaatgtgt >hg38_chr5:73200769-73200779(-) GAACAATAGCA >hg38_chr5:73202117-73202127(+) GGACAAAGGAG >hg38_chr5:73229887-73229897(-) GCACAATGTGT >hg38_chr5:73246627-73246637(-) GGACAAAGGAC >hg38_chr5:73267587-73267597(-) AGACAATAACA >hg38_chr5:73281550-73281560(+) cgacaataatc >hg38_chr5:73289617-73289627(-) ATACAATAAAC >hg38_chr5:73299394-73299404(+) TAACAAAGACG >hg38_chr5:73332394-73332404(-) gaacaacggag >hg38_chr5:73336091-73336101(+) atacaatgatg >hg38_chr5:73365663-73365673(+) AAACAATGGCC >hg38_chr5:73413909-73413919(+) GGACAATAGAG >hg38_chr5:73441492-73441502(+) CAACAATGCCa >hg38_chr5:73454517-73454527(-) AGACAATGAGG >hg38_chr5:73540344-73540354(-) CCACAATGAGT >hg38_chr5:73541781-73541791(-) GCACAATCGTC >hg38_chr5:73564247-73564257(+) GGACAATGTGA >hg38_chr5:73567050-73567060(-) ACACAAAGGTT >hg38_chr5:73592348-73592358(-) tcacaatagca >hg38_chr5:73593775-73593785(+) atacaatgata >hg38_chr5:73625020-73625030(+) ACACGATGGTC >hg38_chr5:73654182-73654192(+) GGACAATGGGA >hg38_chr5:73654215-73654225(+) TCACAATGGGG >hg38_chr5:73663584-73663594(-) GCACAATGCTC >hg38_chr5:73673171-73673181(-) GCACAATGGAA >hg38_chr5:73692555-73692565(-) CCACAATGAGC >hg38_chr5:73693744-73693754(-) TGACAATGGCA >hg38_chr5:73695135-73695145(-) tcacaatgcaa >hg38_chr5:73728865-73728875(+) AAACAAAGGAA >hg38_chr5:73745162-73745172(-) TAACAATGCTA >hg38_chr5:73745197-73745207(-) AAACAATGCAT >hg38_chr5:73745206-73745216(+) TTATAATGGGA >hg38_chr5:73765468-73765478(-) acacaatgcac >hg38_chr5:73784399-73784409(+) GAATAATGGCA >hg38_chr5:73811253-73811263(-) AGACAATGATG >hg38_chr5:73811273-73811283(+) GAACAATGGGT >hg38_chr5:73811462-73811472(-) TTACAATAGTC >hg38_chr5:73828322-73828332(-) AGACAATAGGA >hg38_chr5:73881470-73881480(-) atacaatgcca >hg38_chr5:73998667-73998677(+) GCACAAAGGAA >hg38_chr5:74021543-74021553(-) GAACAATGCCA >hg38_chr5:74027392-74027402(-) ttaccatggcg >hg38_chr5:74027420-74027430(-) agactatggaa >hg38_chr5:74033198-74033208(+) ACACAATGGGG >hg38_chr5:74033990-74034000(+) aaacaatgtag >hg38_chr5:74054339-74054349(+) TTACAATGTGG >hg38_chr5:74063889-74063899(+) atacaatatgt >hg38_chr5:74063963-74063973(+) ccacaatgaca >hg38_chr5:74065532-74065542(+) caacaatagcg >hg38_chr5:74065549-74065559(+) gaactatggag >hg38_chr5:74067930-74067940(-) gcacaatgaag >hg38_chr5:74071070-74071080(-) GAACAATAGAT >hg38_chr5:74071087-74071097(-) AAACAATAGAA >hg38_chr5:74076436-74076446(+) AAACAATGGGT >hg38_chr5:74076835-74076845(-) gaacaatgcat >hg38_chr5:74081378-74081388(+) GAACAATGGCC >hg38_chr5:74144436-74144446(+) AGACAAAGGCA >hg38_chr5:74150035-74150045(-) TCACAATAGGC >hg38_chr5:74186817-74186827(-) GAACAAAGGCC >hg38_chr5:74187951-74187961(-) ccacaatgtgc >hg38_chr5:74205296-74205306(-) gcacaatagct >hg38_chr5:74206893-74206903(-) TCACAATAGCT >hg38_chr5:74208193-74208203(+) tcacaatgaat >hg38_chr5:74237039-74237049(+) TGACAATAGAC >hg38_chr5:74266394-74266404(-) ccacaatgTGT >hg38_chr5:74272136-74272146(+) GGACAATGAGC >hg38_chr5:74286015-74286025(+) AAACAATGAGC >hg38_chr5:74309889-74309899(-) tcACAATGAGC >hg38_chr5:74311233-74311243(+) GTACAAAGGAA >hg38_chr5:74322400-74322410(-) TTACAAAGGGG >hg38_chr5:74353749-74353759(-) gcacaatgcct >hg38_chr5:74389388-74389398(+) tgacaatagac >hg38_chr5:74389439-74389449(-) GAACAATAAAG >hg38_chr5:74412306-74412316(+) ACACAATGAAT >hg38_chr5:74415631-74415641(+) AAACAATAGGC >hg38_chr5:74433408-74433418(-) TCACAATAGAG >hg38_chr5:74433455-74433465(-) GAACAATGAGG >hg38_chr5:74470839-74470849(+) ATACAATGGCA >hg38_chr5:74500652-74500662(-) GCACAATGATG >hg38_chr5:74543967-74543977(-) ACACAATAGAT >hg38_chr5:74562302-74562312(+) aaataatggca >hg38_chr5:74562339-74562349(-) gaataatggtc >hg38_chr5:74563899-74563909(-) AAACAATGCAC >hg38_chr5:74574040-74574050(+) gtacaatgtgt >hg38_chr5:74574054-74574064(+) ggacaataata >hg38_chr5:74590819-74590829(+) gaacaaaggca >hg38_chr5:74619645-74619655(-) GAACAATGGCC >hg38_chr5:74623956-74623966(-) ccacaatgaaa >hg38_chr5:74625869-74625879(-) gaacaaaggga >hg38_chr5:74627680-74627690(-) TAACAAAGGGC >hg38_chr5:74628065-74628075(+) CTACAATATAA >hg38_chr5:74629451-74629461(-) GAACAATGGGG >hg38_chr5:74630848-74630858(+) AGACAAAGGGA >hg38_chr5:74632159-74632169(-) TGACAATGGGC >hg38_chr5:74642215-74642225(-) TAACAAAGGAC >hg38_chr5:74647227-74647237(-) AAACAACGGTC >hg38_chr5:74648989-74648999(+) CTACAATGAAA >hg38_chr5:74732597-74732607(-) TTACAATGTAA >hg38_chr5:74745907-74745917(+) CTACAATGATG >hg38_chr5:74762965-74762975(-) GTAcaatagtt >hg38_chr5:74765397-74765407(+) atacaatacat >hg38_chr5:74777569-74777579(-) AAACAATGTGG >hg38_chr5:74778802-74778812(+) TCACAAAGGAC >hg38_chr5:74806322-74806332(+) aaataatggtg >hg38_chr5:74817092-74817102(+) tgataatggtg >hg38_chr5:74819595-74819605(-) gaacaattgta >hg38_chr5:74819610-74819620(-) gaacaatgctg >hg38_chr5:74819628-74819638(+) caacaatgaca >hg38_chr5:74849546-74849556(+) TCACAATGGTC >hg38_chr5:74942811-74942821(+) TAACAATAGAG >hg38_chr5:74942862-74942872(+) ACACAATGAAA >hg38_chr5:75037374-75037384(-) GAACAATAAGA >hg38_chr5:75055827-75055837(-) GCACAATGAGC >hg38_chr5:75093709-75093719(+) aaacaatggag >hg38_chr5:75142767-75142777(-) aaacaatggca >hg38_chr5:75169662-75169672(+) GGACAATGGTA >hg38_chr5:75209967-75209977(-) gcacaatagtg >hg38_chr5:75242967-75242977(-) AAACAATGAAT >hg38_chr5:75322259-75322269(-) ccacaataggg >hg38_chr5:75322294-75322304(+) ccacaataggg >hg38_chr5:75339943-75339953(+) TTACAATGCCA >hg38_chr5:75342536-75342546(+) ATACAATGAGC >hg38_chr5:75398853-75398863(+) TTATAATGGAA >hg38_chr5:75399424-75399434(+) GAACAAAGGCA >hg38_chr5:75406124-75406134(-) AGACAATAggt >hg38_chr5:75406431-75406441(-) GAACAAAGGCT >hg38_chr5:75406440-75406450(-) GCATAATGGGA >hg38_chr5:75412034-75412044(+) TAACAAAGGTT >hg38_chr5:75412069-75412079(+) CAACAATGGGG >hg38_chr5:75414930-75414940(-) GTACAATGATA >hg38_chr5:75416394-75416404(+) AAACAAAGGTG >hg38_chr5:75437989-75437999(-) ACACAATGACA >hg38_chr5:75443759-75443769(-) ctacaataata >hg38_chr5:75476145-75476155(+) AAACAAAGGTG >hg38_chr5:75487694-75487704(+) atacactgggc >hg38_chr5:75487761-75487771(+) ccacaatgaga >hg38_chr5:75504210-75504220(-) TATCAATGGAC >hg38_chr5:75514502-75514512(-) aaacaatgttt >hg38_chr5:75517234-75517244(-) cgacaatacta >hg38_chr5:75517248-75517258(+) taacaatattc >hg38_chr5:75524788-75524798(-) gaataatgggg >hg38_chr5:75569387-75569397(-) GCACTATGGTA >hg38_chr5:75570429-75570439(-) caacaatagta >hg38_chr5:75611630-75611640(+) AGACTATGGAC >hg38_chr5:75616477-75616487(+) AAACAATGCCC >hg38_chr5:75622884-75622894(+) GAACAATGGCT >hg38_chr5:75627502-75627512(-) ttacaatgtgc >hg38_chr5:75640493-75640503(-) Taataatggat >hg38_chr5:75663852-75663862(-) acacaaaggag >hg38_chr5:75717469-75717479(-) AAACAAAGGAC >hg38_chr5:75724628-75724638(-) aaacaatagat >hg38_chr5:75736548-75736558(-) gaacaatgtcc >hg38_chr5:75757105-75757115(-) TGACAATGTGC >hg38_chr5:75757423-75757433(-) GAACAATGAAA >hg38_chr5:75757455-75757465(+) CAACAATGGCA >hg38_chr5:75812442-75812452(-) acacaatatta >hg38_chr5:75854162-75854172(+) gcacaatgttt >hg38_chr5:76003577-76003587(+) TAACAATAATG >hg38_chr5:76016795-76016805(-) GGACAATGTTT >hg38_chr5:76016815-76016825(-) CTATAATGGCA >hg38_chr5:76050416-76050426(-) TGACAATGAAG >hg38_chr5:76083690-76083700(-) CAACAAAGGCG >hg38_chr5:76111577-76111587(+) AGACAAAGGCA >hg38_chr5:76157468-76157478(+) aaacaatgagc >hg38_chr5:76169733-76169743(+) CAACAATAGCA >hg38_chr5:76238355-76238365(-) aaacaatgaaa >hg38_chr5:76301371-76301381(-) CAACAATGCAG >hg38_chr5:76338052-76338062(-) GAACAAAGGGA >hg38_chr5:76353677-76353687(-) aaacaaaggcc >hg38_chr5:76426575-76426585(-) GAACAATGGCA >hg38_chr5:76490670-76490680(-) TAACAATGACA >hg38_chr5:76497508-76497518(-) TGACAATGAAT >hg38_chr5:76498538-76498548(-) tcacaatgctg >hg38_chr5:76502496-76502506(+) GGACAATAAAA >hg38_chr5:76521464-76521474(+) AAACAATAGAA >hg38_chr5:76661119-76661129(+) TAACAATGAGT >hg38_chr5:76661184-76661194(+) GAACAATGCTA >hg38_chr5:76716303-76716313(+) GGACAATGGGG >hg38_chr5:76716701-76716711(-) ACACAATGACA >hg38_chr5:76717703-76717713(-) ACATAATGGTA >hg38_chr5:76717719-76717729(+) GCACAATGTAG >hg38_chr5:76718449-76718459(-) GGACAATAAAC >hg38_chr5:76745050-76745060(-) atacaataaaA >hg38_chr5:76779379-76779389(+) TAACAATGAAT >hg38_chr5:76785642-76785652(+) GAACAATGACA >hg38_chr5:76811432-76811442(-) CCACAATAGCA >hg38_chr5:76831291-76831301(-) CAACAATGGCA >hg38_chr5:76854779-76854789(+) agacaatggga >hg38_chr5:76861234-76861244(-) ggacaaaggac >hg38_chr5:76946855-76946865(-) AAACAAAGGCC >hg38_chr5:77029946-77029956(-) ggacaaaggga >hg38_chr5:77030403-77030413(-) AAACAACGGCG >hg38_chr5:77068398-77068408(+) CTACAATAGGT >hg38_chr5:77161366-77161376(-) atacaacgata >hg38_chr5:77161381-77161391(-) atacaatggaa >hg38_chr5:77233259-77233269(+) ACACAATGCAA >hg38_chr5:77254128-77254138(-) CCACAATGGAT >hg38_chr5:77401624-77401634(+) gcacaatgcct >hg38_chr5:77452474-77452484(-) atacaatggaa >hg38_chr5:77452791-77452801(+) AAACAAAGGGA >hg38_chr5:77494703-77494713(+) caacaatggta >hg38_chr5:77494787-77494797(+) acacaataaac >hg38_chr5:77508460-77508470(-) tgacaatgtct >hg38_chr5:77508521-77508531(+) ttataatggct >hg38_chr5:77508967-77508977(+) tgacaatgtcc >hg38_chr5:77513438-77513448(+) TCACAATAGTC >hg38_chr5:77517964-77517974(+) TAACAATATTC >hg38_chr5:77569508-77569518(+) AAACAATGACA >hg38_chr5:77569801-77569811(+) AAACAAAGGGG >hg38_chr5:77619493-77619503(-) AGATAATGGGG >hg38_chr5:77691907-77691917(+) TCACAATGACA >hg38_chr5:77726459-77726469(+) GAACAATAAAA >hg38_chr5:77791592-77791602(-) ACACAATGTGT >hg38_chr5:77796919-77796929(-) agacaatagat >hg38_chr5:77797277-77797287(+) ACACAATGTCA >hg38_chr5:77809315-77809325(+) agacaatgtag >hg38_chr5:77846920-77846930(+) GAACAATGCTG >hg38_chr5:77846946-77846956(+) TTACAATGTAC >hg38_chr5:77848161-77848171(+) CAACAATAGCT >hg38_chr5:77887105-77887115(+) AGACAATAGTG >hg38_chr5:77989807-77989817(-) CAATAATGGAT >hg38_chr5:77992219-77992229(-) TAACAATAAAT >hg38_chr5:77994000-77994010(+) AAACAATGGGA >hg38_chr5:78003314-78003324(+) AAACAATAGAT >hg38_chr5:78003344-78003354(+) TTACAATATAT >hg38_chr5:78005220-78005230(+) AAACAATAGTC >hg38_chr5:78017332-78017342(-) TAACAATAAAA >hg38_chr5:78033134-78033144(-) GGACAATGTGA >hg38_chr5:78037674-78037684(+) AAACAATGAGT >hg38_chr5:78050939-78050949(+) TAACAATGAGA >hg38_chr5:78052802-78052812(-) AAACAATATAG >hg38_chr5:78091772-78091782(+) TGACAATGAAT >hg38_chr5:78091814-78091824(+) GTACAATGCAC >hg38_chr5:78102700-78102710(+) gcacaatgggt >hg38_chr5:78102726-78102736(+) CTACAATGGTG >hg38_chr5:78127558-78127568(+) ACACAATGCTC >hg38_chr5:78176099-78176109(-) GTACAATGTTA >hg38_chr5:78176415-78176425(+) ACACAAAGGAA >hg38_chr5:78227348-78227358(-) TAACAATATAC >hg38_chr5:78227357-78227367(+) TAACAATGCAC >hg38_chr5:78235475-78235485(+) TAACAATATTA >hg38_chr5:78255385-78255395(+) aaacaaaggta >hg38_chr5:78288166-78288176(+) AAACAAAGGCT >hg38_chr5:78329069-78329079(-) CCACAATAGGG >hg38_chr5:78329080-78329090(+) TGACAATAGCT >hg38_chr5:78334267-78334277(-) caacaatgcag >hg38_chr5:78341661-78341671(+) CTAcaatagca >hg38_chr5:78342354-78342364(-) ggacaataaaa >hg38_chr5:78361378-78361388(+) AAACAATAGTG >hg38_chr5:78362381-78362391(-) AGACAATGTTT >hg38_chr5:78399175-78399185(-) agacaatagta >hg38_chr5:78405721-78405731(-) ctacaatgggc >hg38_chr5:78407378-78407388(-) caacaatgcaa >hg38_chr5:78478599-78478609(-) CCACAATGAAA >hg38_chr5:78478633-78478643(-) ATACAATACTA >hg38_chr5:78486212-78486222(-) AAACAATGTTG >hg38_chr5:78491245-78491255(-) AAACAATGAGC >hg38_chr5:78495399-78495409(-) GAACAAAGGCC >hg38_chr5:78511314-78511324(-) AAACAATGGGC >hg38_chr5:78514876-78514886(+) agacaatgatc >hg38_chr5:78533571-78533581(+) GAACAATGTCA >hg38_chr5:78540765-78540775(+) AGACAATGGCT >hg38_chr5:78568395-78568405(+) AAACAATAGCT >hg38_chr5:78586529-78586539(-) AGACAAAGGGC >hg38_chr5:78592110-78592120(+) GTACAATAGTC >hg38_chr5:78592141-78592151(+) CTACAATGAGG >hg38_chr5:78611355-78611365(-) TGACAATGGAC >hg38_chr5:78613884-78613894(+) caacaaaggac >hg38_chr5:78613907-78613917(+) ggataatgGGG >hg38_chr5:78638551-78638561(+) gcacaatgtta >hg38_chr5:78640064-78640074(+) GAACAATAGAA >hg38_chr5:78640456-78640466(+) CAACAATGAGT >hg38_chr5:78640502-78640512(+) TTACAAAGGAT >hg38_chr5:78656457-78656467(+) ttacaatgtat >hg38_chr5:78656481-78656491(+) ctacaatgcct >hg38_chr5:78657303-78657313(+) ccacaatgagg >hg38_chr5:78671110-78671120(-) tgacaatagca >hg38_chr5:78724296-78724306(-) TTACAAAGGGT >hg38_chr5:78732043-78732053(+) GAACAATAGCA >hg38_chr5:78783907-78783917(+) aaacaaaggga >hg38_chr5:78904409-78904419(-) tcataatggaa >hg38_chr5:78926345-78926355(+) TAACAATAGGT >hg38_chr5:78927808-78927818(+) AAACAAAGGAA >hg38_chr5:78953429-78953439(-) tgataatggat >hg38_chr5:78984085-78984095(+) GCACAAcggac >hg38_chr5:79002506-79002516(-) agacaatggac >hg38_chr5:79021001-79021011(+) AAACAAAGGCT >hg38_chr5:79021552-79021562(-) GAACAATGGAG >hg38_chr5:79055228-79055238(+) tgacaaaggtc >hg38_chr5:79138562-79138572(-) tgacaatgcca >hg38_chr5:79138574-79138584(+) taataatggca >hg38_chr5:79138599-79138609(+) tcacaatggga >hg38_chr5:79235215-79235225(-) GCACAATGGAA >hg38_chr5:79235227-79235237(+) TTACTATGGTG >hg38_chr5:79256729-79256739(-) GCACAATGGGA >hg38_chr5:79308492-79308502(-) tgacaaaggaa >hg38_chr5:79309176-79309186(+) gtataatggtg >hg38_chr5:79326788-79326798(-) gcacaatgtgc >hg38_chr5:79382791-79382801(+) tcacaatgacg >hg38_chr5:79382801-79382811(+) gaacaatagga >hg38_chr5:79386584-79386594(-) acacaatatat >hg38_chr5:79480913-79480923(+) ttacaatGTGT >hg38_chr5:79480983-79480993(+) TCACAATGCTT >hg38_chr5:79484904-79484914(+) CTACAATGTCA >hg38_chr5:79485984-79485994(-) atacaatgtag >hg38_chr5:79486491-79486501(-) CAACAATGCCT >hg38_chr5:79513601-79513611(+) GCACAATGGAG >hg38_chr5:79545345-79545355(-) TAACAATGTAG >hg38_chr5:79553569-79553579(-) TAACAATATCT >hg38_chr5:79553607-79553617(-) GCACAATGCCC >hg38_chr5:79557793-79557803(-) ggacaatgctg >hg38_chr5:79562898-79562908(-) TTACAATGATA >hg38_chr5:79562906-79562916(+) TAACAATATAT >hg38_chr5:79613367-79613377(+) AAACAAAGGGA >hg38_chr5:79636702-79636712(+) tgacaatagtc >hg38_chr5:79651530-79651540(+) gaataatggac >hg38_chr5:79653862-79653872(-) gtacaataact >hg38_chr5:79717270-79717280(+) aaacaaaggtg >hg38_chr5:79717697-79717707(-) TCACAATGATC >hg38_chr5:79717732-79717742(+) CCACAATGATG >hg38_chr5:79750773-79750783(-) TTACAATGGTT >hg38_chr5:79772057-79772067(+) CAACAATGCAT >hg38_chr5:79773016-79773026(-) ATATAATGGAC >hg38_chr5:79788061-79788071(-) GTACAATAGCC >hg38_chr5:79788069-79788079(-) ATACAATAGTA >hg38_chr5:79788801-79788811(+) GGACAATGGCC >hg38_chr5:79845742-79845752(+) AAACTATGGTT >hg38_chr5:79852071-79852081(+) AGACAATAGTG >hg38_chr5:79925540-79925550(-) gaacaatgacg >hg38_chr5:79955379-79955389(+) GGACAATGGGA >hg38_chr5:79966721-79966731(+) AGACTATGACG >hg38_chr5:79989457-79989467(+) ttaCAATATTA >hg38_chr5:80038953-80038963(+) aaacaatacgt >hg38_chr5:80038961-80038971(-) aaacaataacg >hg38_chr5:80097762-80097772(-) gaacaatgcag >hg38_chr5:80135115-80135125(+) gcacaatggca >hg38_chr5:80136428-80136438(+) caacaatggga >hg38_chr5:80141544-80141554(+) AAACAATGAAA >hg38_chr5:80153685-80153695(+) Agacaatgctt >hg38_chr5:80153693-80153703(-) gtacaataaag >hg38_chr5:80161271-80161281(-) aaacagtggga >hg38_chr5:80193341-80193351(+) TGACAATGCTC >hg38_chr5:80229499-80229509(-) AAACAATGAAT >hg38_chr5:80246120-80246130(-) AAACAATGGCA >hg38_chr5:80267441-80267451(+) gtacaaaggaa >hg38_chr5:80370358-80370368(+) gaacaaaggaa >hg38_chr5:80372925-80372935(-) TTACAATAGAC >hg38_chr5:80445057-80445067(-) GTACAAAGGGA >hg38_chr5:80453909-80453919(-) ttacaaaggga >hg38_chr5:80479836-80479846(+) acacaataggc >hg38_chr5:80481515-80481525(+) taacaaaggca >hg38_chr5:80541286-80541296(-) GCACAATGGGA >hg38_chr5:80563228-80563238(+) gaacaatgccg >hg38_chr5:80607517-80607527(+) GAACAAAGGTT >hg38_chr5:80615728-80615738(+) CGACAATAGCG >hg38_chr5:80615737-80615747(+) CGACAATAGCG >hg38_chr5:80661967-80661977(-) atataatggtg >hg38_chr5:80713770-80713780(-) GAACAATACCG >hg38_chr5:80721527-80721537(-) taacaatcgga >hg38_chr5:80721592-80721602(-) gaacaataaga >hg38_chr5:80722127-80722137(-) AAACAATAGTG >hg38_chr5:80722148-80722158(-) TTACAATGCCT >hg38_chr5:80745797-80745807(-) agacaatgctc >hg38_chr5:80748100-80748110(+) AAATAATGGAT >hg38_chr5:80748160-80748170(-) aaacaatggga >hg38_chr5:80750690-80750700(-) aaacaatgaac >hg38_chr5:80755804-80755814(-) ttactatggta >hg38_chr5:80799010-80799020(+) tcacaatggta >hg38_chr5:80810555-80810565(-) agacaaaggac >hg38_chr5:80826292-80826302(-) TTACAATAACA >hg38_chr5:80895323-80895333(+) ttacaatggat >hg38_chr5:80926035-80926045(+) ACACAATGATA >hg38_chr5:80957198-80957208(-) ACACAAAGGAT >hg38_chr5:80978954-80978964(+) gtacaataaac >hg38_chr5:80979017-80979027(-) tcacaatagca >hg38_chr5:81043915-81043925(-) ACACAATGCAA >hg38_chr5:81062805-81062815(-) agacaataaaa >hg38_chr5:81109001-81109011(+) GGACAATATAC >hg38_chr5:81146295-81146305(-) AGACAATAGCT >hg38_chr5:81167790-81167800(+) GAACAATGACG >hg38_chr5:81285017-81285027(+) ctacaatggca >hg38_chr5:81298056-81298066(+) CAACAATGCAA >hg38_chr5:81298100-81298110(-) acacaatgcta >hg38_chr5:81313609-81313619(-) GTACAATGGTA >hg38_chr5:81313621-81313631(+) GTATAATGGAA >hg38_chr5:81367234-81367244(+) acacaatacgc >hg38_chr5:81367404-81367414(+) tgacaataacg >hg38_chr5:81396220-81396230(+) TTACAATGAAA >hg38_chr5:81420403-81420413(-) AAACAAAGGAA >hg38_chr5:81424871-81424881(-) GTACAATAGAA >hg38_chr5:81428707-81428717(-) CAACAATGCCT >hg38_chr5:81459459-81459469(+) ctacaatggca >hg38_chr5:81459492-81459502(+) TGACAATGAGG >hg38_chr5:81508089-81508099(+) ATACAATGAAA >hg38_chr5:81508536-81508546(+) GAACAATGTCA >hg38_chr5:81526736-81526746(-) gcacaatgtca >hg38_chr5:81526753-81526763(-) gaacaaaggtc >hg38_chr5:81526799-81526809(+) agacaatgaca >hg38_chr5:81528062-81528072(-) CCACAATAGAA >hg38_chr5:81545649-81545659(+) ATACAATGAAC >hg38_chr5:81546081-81546091(+) GAACAATGgct >hg38_chr5:81546120-81546130(-) gtacaatgcct >hg38_chr5:81553091-81553101(-) GGACAATGGAC >hg38_chr5:81573716-81573726(+) acacaataaaa >hg38_chr5:81575834-81575844(+) agacaaaggag >hg38_chr5:81578842-81578852(+) GTACGATGGGA >hg38_chr5:81579731-81579741(+) TCACAATAGGA >hg38_chr5:81607308-81607318(+) GTACAATGTTC >hg38_chr5:81626621-81626631(-) TAACAATGTGC >hg38_chr5:81639054-81639064(-) taataatggtg >hg38_chr5:81642280-81642290(-) GGATAATGGAA >hg38_chr5:81642646-81642656(-) aaacaatgctt >hg38_chr5:81645584-81645594(-) AGATAATGGCT >hg38_chr5:81645590-81645600(-) ATACAAAGATA >hg38_chr5:81682644-81682654(-) gtacaatgcca >hg38_chr5:81682670-81682680(+) acacaataaaa >hg38_chr5:81683370-81683380(+) atacaatggag >hg38_chr5:81684243-81684253(+) agacaatgaaa >hg38_chr5:81709090-81709100(-) AAACAAAGGAT >hg38_chr5:81716278-81716288(-) cgacagtggct >hg38_chr5:81726484-81726494(-) taacagtggca >hg38_chr5:81744779-81744789(+) ttacaatggct >hg38_chr5:81787988-81787998(+) CTACAATGGGA >hg38_chr5:81807351-81807361(+) ttacaatagct >hg38_chr5:81835994-81836004(-) CAACAATGTGT >hg38_chr5:81836026-81836036(+) GAACAATAATT >hg38_chr5:81838120-81838130(-) gtacaatgggc >hg38_chr5:81848534-81848544(+) GTACAAAGGAC >hg38_chr5:81881938-81881948(-) CAACAATGACC >hg38_chr5:81892132-81892142(+) AGACAAAGGGA >hg38_chr5:81898035-81898045(+) tcacaaaggac >hg38_chr5:81915628-81915638(-) gaacaatagtt >hg38_chr5:81924628-81924638(-) GCACAAAGGAC >hg38_chr5:81969283-81969293(+) GTATAATGGAC >hg38_chr5:81971778-81971788(-) GCACAAAGGCG >hg38_chr5:81993037-81993047(-) AAACAATGGGT >hg38_chr5:82040985-82040995(-) aaacaaaggca >hg38_chr5:82090407-82090417(-) gaataatggaa >hg38_chr5:82099524-82099534(+) TGACAATGAAG >hg38_chr5:82099550-82099560(-) ACACAATGCCA >hg38_chr5:82102516-82102526(-) aaacaatagat >hg38_chr5:82105023-82105033(+) TAACAATGTCA >hg38_chr5:82105059-82105069(+) ACATAATGACG >hg38_chr5:82105700-82105710(-) GGACAATGGCA >hg38_chr5:82106510-82106520(-) GAACAATGGTA >hg38_chr5:82107438-82107448(-) GAACAATAACA >hg38_chr5:82114420-82114430(+) ATACTATGCGT >hg38_chr5:82114462-82114472(+) CCACAATAgca >hg38_chr5:82163956-82163966(+) ACACAATGAAT >hg38_chr5:82163980-82163990(+) GTACAATAGAA >hg38_chr5:82168238-82168248(+) TTACAAAGGTG >hg38_chr5:82168268-82168278(+) ACATAATGGCC >hg38_chr5:82177681-82177691(+) GTATAATGTAA >hg38_chr5:82177714-82177724(-) AAACAATGTAT >hg38_chr5:82225694-82225704(+) CCACAATGGCA >hg38_chr5:82274699-82274709(+) TCACAAAGGAT >hg38_chr5:82294348-82294358(+) taacaataggc >hg38_chr5:82296133-82296143(-) ACACAATGAGT >hg38_chr5:82304467-82304477(-) gtacaatacaa >hg38_chr5:82308006-82308016(-) ACACAAAGGAG >hg38_chr5:82362306-82362316(-) GGACAATGAGC >hg38_chr5:82362334-82362344(-) GGACAATGACC >hg38_chr5:82396906-82396916(+) CAACAATAGGA >hg38_chr5:82396963-82396973(-) CTACAATAACG >hg38_chr5:82406397-82406407(+) GCACAATGACA >hg38_chr5:82475325-82475335(+) aaacaatgatc >hg38_chr5:82486917-82486927(-) GCACAAAGGAC >hg38_chr5:82486937-82486947(+) TAACACTGGAC >hg38_chr5:82538605-82538615(+) CCACAATAGCT >hg38_chr5:82544161-82544171(+) agacaatggga >hg38_chr5:82590652-82590662(-) acacaaaggga >hg38_chr5:82591348-82591358(-) taacaataaga >hg38_chr5:82641611-82641621(-) ACACAATGGAT >hg38_chr5:82644938-82644948(-) GAACAATAGAA >hg38_chr5:82680371-82680381(+) ggacaatggtg >hg38_chr5:82692450-82692460(-) gcacaataata >hg38_chr5:82734671-82734681(-) AAACTATGGCT >hg38_chr5:82735269-82735279(-) TAACAAAGGTC >hg38_chr5:82735667-82735677(-) TAACAAAGGAT >hg38_chr5:82749640-82749650(+) TTACAATGCAT >hg38_chr5:82769050-82769060(+) agacaatggag >hg38_chr5:82780819-82780829(-) ttacaatagta >hg38_chr5:82789061-82789071(+) caacaatgtaa >hg38_chr5:82794877-82794887(+) tgataatggtt >hg38_chr5:82797208-82797218(+) ttacaatagga >hg38_chr5:82860076-82860086(-) TTACTATGGTG >hg38_chr5:82862326-82862336(+) GAACAATACGC >hg38_chr5:82863449-82863459(-) AGACAAAGGGC >hg38_chr5:82873605-82873615(-) AGACAAAGGAG >hg38_chr5:82885318-82885328(+) AAACAATACGT >hg38_chr5:82903079-82903089(-) gaacaatgggt >hg38_chr5:82904301-82904311(-) gcacaatggta >hg38_chr5:82952254-82952264(-) AAACAATGAAG >hg38_chr5:82952287-82952297(-) TAACTATGGGA >hg38_chr5:82967261-82967271(-) AAACAATAAAC >hg38_chr5:82982486-82982496(+) gaacaatagtc >hg38_chr5:83004981-83004991(-) AGACAATGTAT >hg38_chr5:83019416-83019426(+) AAACAATGGAA >hg38_chr5:83022104-83022114(-) tgacagtggcg >hg38_chr5:83022133-83022143(-) ggacaatgaaa >hg38_chr5:83057886-83057896(-) TCACAATGACA >hg38_chr5:83059298-83059308(+) GAACAAAGGGG >hg38_chr5:83059453-83059463(-) ACATAATGGAA >hg38_chr5:83071006-83071016(+) AAACAATGAGA >hg38_chr5:83078557-83078567(+) ACACAATGATT >hg38_chr5:83078596-83078606(-) GAACAATGTAA >hg38_chr5:83078615-83078625(+) ACACAAAGGTT >hg38_chr5:83100577-83100587(+) TAACAATAGCC >hg38_chr5:83123824-83123834(-) ccacaatagca >hg38_chr5:83124714-83124724(-) agacaatatat >hg38_chr5:83124740-83124750(+) ctacaatggca >hg38_chr5:83150226-83150236(+) TTACAATGAGA >hg38_chr5:83150436-83150446(-) AAACAATATAT >hg38_chr5:83157319-83157329(-) CGACAATAGGC >hg38_chr5:83172791-83172801(+) CAACAATGGAA >hg38_chr5:83193820-83193830(-) TTACAATAGCA >hg38_chr5:83193830-83193840(-) AGACAATAGGT >hg38_chr5:83224745-83224755(-) caacaatgaag >hg38_chr5:83237281-83237291(+) tcacaatagcc >hg38_chr5:83246301-83246311(-) GTACAAAGGAG >hg38_chr5:83281852-83281862(+) TAACAAAGGTA >hg38_chr5:83293656-83293666(-) tgataatggag >hg38_chr5:83306610-83306620(-) CAACAATGGCT >hg38_chr5:83313788-83313798(-) ttacaatatta >hg38_chr5:83337245-83337255(-) GGACAATGATT >hg38_chr5:83337271-83337281(+) TTACAATATAA >hg38_chr5:83340755-83340765(-) gaacaatgcca >hg38_chr5:83355125-83355135(-) atacaatggtt >hg38_chr5:83373471-83373481(+) GAACAATAGAA >hg38_chr5:83379011-83379021(-) TGATAATGGCT >hg38_chr5:83400922-83400932(+) TAACAATACAa >hg38_chr5:83400967-83400977(-) aaacaatgtct >hg38_chr5:83408119-83408129(+) ttacaaaggct >hg38_chr5:83448543-83448553(+) GTACAAAGGGA >hg38_chr5:83450586-83450596(-) tcacaatgaca >hg38_chr5:83469323-83469333(-) GCACAATGTTG >hg38_chr5:83469367-83469377(-) GGACAAAGGCA >hg38_chr5:83470718-83470728(+) ACACAATGCAG >hg38_chr5:83476113-83476123(-) GAACAATGGGT >hg38_chr5:83476453-83476463(-) CAACAATGAGT >hg38_chr5:83518153-83518163(+) AAACAATAACT >hg38_chr5:83518378-83518388(-) TTATAATGGCT >hg38_chr5:83529259-83529269(-) TAACAATGTTG >hg38_chr5:83531867-83531877(+) TAACCATGGTA >hg38_chr5:83534317-83534327(+) ATACAATATTA >hg38_chr5:83552499-83552509(+) GAACAATGCCA >hg38_chr5:83559017-83559027(-) TAACAATAGTC >hg38_chr5:83559032-83559042(-) GAACAATCGTC >hg38_chr5:83561813-83561823(-) TAATAATGATA >hg38_chr5:83561835-83561845(+) ACACAAAGGTT >hg38_chr5:83564322-83564332(-) ATACAATACGA >hg38_chr5:83568294-83568304(+) GCACAATGGAA >hg38_chr5:83605141-83605151(+) GAATAATGGGA >hg38_chr5:83605161-83605171(-) GAACAAAGGCC >hg38_chr5:83616738-83616748(-) GAACAATGCAC >hg38_chr5:83627688-83627698(+) AAACCATGGTA >hg38_chr5:83656913-83656923(+) AAACAATAGGA >hg38_chr5:83666439-83666449(+) GCACAAAGGAT >hg38_chr5:83666460-83666470(+) AAACAATGTCT >hg38_chr5:83683136-83683146(-) GGACAATAGTG >hg38_chr5:83691977-83691987(-) agacaatgtca >hg38_chr5:83719103-83719113(+) ATATAATGAAT >hg38_chr5:83722538-83722548(-) AGACAATAGCG >hg38_chr5:83725685-83725695(-) AGATAATGGAT >hg38_chr5:83725704-83725714(-) GAACAATAAAT >hg38_chr5:83742298-83742308(-) acactATGGTT >hg38_chr5:83780949-83780959(+) AGACAATGTGT >hg38_chr5:83805196-83805206(-) GTACAATATGA >hg38_chr5:83817010-83817020(+) AAAcaatgatt >hg38_chr5:83817062-83817072(+) ggacaatgtct >hg38_chr5:83941143-83941153(+) TTACAATAGTT >hg38_chr5:83941210-83941220(+) GAACAATAGGT >hg38_chr5:83947313-83947323(+) GGACAAAGGTA >hg38_chr5:83959023-83959033(+) AAACAATACTA >hg38_chr5:83992121-83992131(+) GAACAATAGTG >hg38_chr5:83992141-83992151(-) TGACAATAGCT >hg38_chr5:83992178-83992188(+) CCACAATGGAC >hg38_chr5:83994111-83994121(-) AAACAATGGAG >hg38_chr5:84006432-84006442(-) gcataatggcc >hg38_chr5:84040095-84040105(-) tgacaatgggc >hg38_chr5:84130307-84130317(-) caacaatggta >hg38_chr5:84160964-84160974(-) acataatgggt >hg38_chr5:84229128-84229138(-) ATATAATGGGA >hg38_chr5:84232798-84232808(+) TAACAAAGGCA >hg38_chr5:84261324-84261334(+) CAACAATAGCC >hg38_chr5:84290236-84290246(+) agacaatgtgg >hg38_chr5:84384802-84384812(+) GAACAATGAag >hg38_chr5:84481087-84481097(+) aaacaatagca >hg38_chr5:84481405-84481415(+) ATACAATGAAG >hg38_chr5:84507924-84507934(-) GCACAATGGCT >hg38_chr5:84519115-84519125(+) tcacagtggta >hg38_chr5:84540466-84540476(+) AGACAATGGTG >hg38_chr5:84565996-84566006(-) ATATAATGGTT >hg38_chr5:84568260-84568270(-) GAACAATAGGG >hg38_chr5:84568268-84568278(-) ATACCATGGAA >hg38_chr5:84579088-84579098(-) ACACAATAGGT >hg38_chr5:84650541-84650551(-) TGACAAAGGAT >hg38_chr5:84693477-84693487(+) ATACAATGCAG >hg38_chr5:84695538-84695548(-) taacaaaggca >hg38_chr5:84724904-84724914(+) CAACAATGGAA >hg38_chr5:85003230-85003240(-) aaacaatagtt >hg38_chr5:85003242-85003252(+) gaacaatgtgt >hg38_chr5:85222966-85222976(-) ACACAATAGTA >hg38_chr5:85501100-85501110(-) aaacaatgaga >hg38_chr5:85651608-85651618(+) TAACAATGCAA >hg38_chr5:85896642-85896652(+) GCACAATAGAG >hg38_chr5:85896706-85896716(-) AGACAATGTTG >hg38_chr5:85907245-85907255(+) ATACAATGTTG >hg38_chr5:85985330-85985340(+) taacaatgcca >hg38_chr5:86069680-86069690(+) TCACAATAGGC >hg38_chr5:86102185-86102195(-) ttacagtggtc >hg38_chr5:86124534-86124544(-) AAACAATGAGC >hg38_chr5:86257871-86257881(+) ccacaatgaga >hg38_chr5:86331025-86331035(+) gcacaatgctc >hg38_chr5:86351627-86351637(-) CAACAATGACA >hg38_chr5:86472074-86472084(-) atataatgtaa >hg38_chr5:86543588-86543598(-) TCACAAAGGAT >hg38_chr5:86550215-86550225(-) TAACAGTGGGA >hg38_chr5:86550272-86550282(-) GCACAATGGTG >hg38_chr5:86557097-86557107(+) ACACAAAGGTT >hg38_chr5:86557353-86557363(+) GCACAATGAGT >hg38_chr5:86558076-86558086(-) caacaatgatg >hg38_chr5:86593714-86593724(-) AAACAATAGCT >hg38_chr5:86593759-86593769(+) CAACAATGGGC >hg38_chr5:86617485-86617495(-) AGATAATGGGA >hg38_chr5:86617536-86617546(-) GCACAAAGGAC >hg38_chr5:86617895-86617905(-) CGACAAAGCGG >hg38_chr5:86639793-86639803(-) TGACAGTGGTA >hg38_chr5:86641128-86641138(+) TTATAATGGCT >hg38_chr5:86641160-86641170(-) AGACAAAGGGC >hg38_chr5:86644916-86644926(+) agacaatggga >hg38_chr5:86649610-86649620(+) TTACAATAAAA >hg38_chr5:86672632-86672642(+) GAACAATAATT >hg38_chr5:86695779-86695789(-) GCACAATGGCA >hg38_chr5:86746874-86746884(+) AAACAATAGCA >hg38_chr5:86764089-86764099(-) ACATAATGGGT >hg38_chr5:86764107-86764117(-) ACACAATGTTA >hg38_chr5:86764141-86764151(-) GAACAAAGGTG >hg38_chr5:86789045-86789055(+) gaacaataaaa >hg38_chr5:86818615-86818625(+) GAACAATAAAT >hg38_chr5:86834911-86834921(+) ctacaatggct >hg38_chr5:86861749-86861759(-) TAACAAAGGAT >hg38_chr5:86881007-86881017(-) AGACTATGGGA >hg38_chr5:86895368-86895378(+) AGACAAAGGGA >hg38_chr5:86895391-86895401(+) TAACAATGTAG >hg38_chr5:86968335-86968345(-) GAACAAAGGCC >hg38_chr5:86968909-86968919(+) GTATAATGAAT >hg38_chr5:86969585-86969595(-) gtacaataatt >hg38_chr5:87004864-87004874(-) GGACAAAGGGA >hg38_chr5:87102222-87102232(+) CAACAATGGAG >hg38_chr5:87102270-87102280(+) AAACAATGTTA >hg38_chr5:87140479-87140489(+) GTACAATGGGC >hg38_chr5:87151921-87151931(-) AAACAATGTTA >hg38_chr5:87151948-87151958(-) TGACAATAGCT >hg38_chr5:87164896-87164906(+) TTACAGTGGAA >hg38_chr5:87168105-87168115(-) CAACAATGAAA >hg38_chr5:87184797-87184807(+) CAACAATGGGT >hg38_chr5:87184846-87184856(-) AAACAATGTCC >hg38_chr5:87209710-87209720(+) GAACAATGATA >hg38_chr5:87260870-87260880(+) GAACAATAAAT >hg38_chr5:87267153-87267163(+) TTATAATGGCA >hg38_chr5:87269596-87269606(+) CAACAATGTAT >hg38_chr5:87284243-87284253(-) TAACAATGGTT >hg38_chr5:87297468-87297478(-) aaacaatggtt >hg38_chr5:87301901-87301911(-) tcacaatgtaa >hg38_chr5:87308531-87308541(+) GAACAATATAG >hg38_chr5:87308618-87308628(+) GTATAATGTAC >hg38_chr5:87364063-87364073(+) GAACAATGGCC >hg38_chr5:87382661-87382671(-) GGACAATGTCA >hg38_chr5:87417709-87417719(+) GCACAATGTGC >hg38_chr5:87493198-87493208(-) acacaataata >hg38_chr5:87541265-87541275(+) CCACAATGACT >hg38_chr5:87588529-87588539(+) taacaatggtg >hg38_chr5:87615914-87615924(-) ttacaacggac >hg38_chr5:87702833-87702843(-) caacaatggta >hg38_chr5:87754132-87754142(+) ACACAATAGGG >hg38_chr5:87754179-87754189(+) GCACAGTGGTA >hg38_chr5:87873262-87873272(-) GAACAAAGGAT >hg38_chr5:87885963-87885973(+) GAACAATGTGT >hg38_chr5:87886413-87886423(+) CAACAATAGGG >hg38_chr5:87909576-87909586(-) gaacaaaggaa >hg38_chr5:87944697-87944707(+) AAACAATGATA >hg38_chr5:87951002-87951012(+) TAACAAAGGAA >hg38_chr5:87951818-87951828(-) TTACAATAGTG >hg38_chr5:87955063-87955073(+) GTACAATGCTG >hg38_chr5:88045859-88045869(+) AAACAATATTA >hg38_chr5:88046298-88046308(+) GGACAATGAAT >hg38_chr5:88092239-88092249(-) acacaatatta >hg38_chr5:88092441-88092451(+) GAACAAAGGAT >hg38_chr5:88094451-88094461(-) GAACAAAGGAG >hg38_chr5:88095319-88095329(+) GCACAATAGGA >hg38_chr5:88119749-88119759(-) CAACAATGGGC >hg38_chr5:88146337-88146347(+) AAACAATAGCG >hg38_chr5:88215204-88215214(+) TGACTATGGAA >hg38_chr5:88215223-88215233(-) GGACAATAGCT >hg38_chr5:88247925-88247935(+) ATACAATTGTA >hg38_chr5:88247963-88247973(+) ATACAATGTAA >hg38_chr5:88256882-88256892(+) AGACAATATAT >hg38_chr5:88256887-88256897(-) GCACAATATAT >hg38_chr5:88262541-88262551(+) agacaatggaa >hg38_chr5:88271881-88271891(+) CTATAATGGTA >hg38_chr5:88275467-88275477(+) taactatggtg >hg38_chr5:88284290-88284300(-) atacaatgcca >hg38_chr5:88303925-88303935(-) TTACAATGGAC >hg38_chr5:88388568-88388578(+) CAACAATGTGA >hg38_chr5:88388951-88388961(+) GAATAATGGAG >hg38_chr5:88389741-88389751(-) AAACAATAAAA >hg38_chr5:88397161-88397171(+) TAACAAAGGCT >hg38_chr5:88414199-88414209(+) TAACAATAAAA >hg38_chr5:88439297-88439307(-) TTACAATGTGA >hg38_chr5:88439313-88439323(-) GTACACTGGTC >hg38_chr5:88472900-88472910(-) aaataatggtg >hg38_chr5:88480977-88480987(-) ttataatgggt >hg38_chr5:88484536-88484546(-) GTACAATAACA >hg38_chr5:88488242-88488252(+) CCACAATGATG >hg38_chr5:88544307-88544317(+) TAACAATGGAG >hg38_chr5:88544837-88544847(+) AGACAATGAAG >hg38_chr5:88551979-88551989(+) GAACAATCGCT >hg38_chr5:88556000-88556010(-) TTACAATAGAA >hg38_chr5:88556554-88556564(-) ACACAATAACG >hg38_chr5:88604672-88604682(-) AGACAATGTGT >hg38_chr5:88656306-88656316(-) AAACAATGAGC >hg38_chr5:88662918-88662928(-) AAACAATGAGT >hg38_chr5:88664738-88664748(+) AGACAATGGTT >hg38_chr5:88671221-88671231(+) GTACAATGTAT >hg38_chr5:88673459-88673469(-) GAACAATAGGG >hg38_chr5:88679682-88679692(-) TTACAATGGGT >hg38_chr5:88702468-88702478(-) TCACAATGATG >hg38_chr5:88707446-88707456(+) ggataatggct >hg38_chr5:88707466-88707476(-) ccacaatgagt >hg38_chr5:88722305-88722315(-) TTACAATAGAT >hg38_chr5:88729652-88729662(-) AAACAATGGAA >hg38_chr5:88738391-88738401(-) gtacaatatct >hg38_chr5:88763452-88763462(-) ATACAATAGTT >hg38_chr5:88791558-88791568(+) CAACAATGATT >hg38_chr5:88800352-88800362(-) GAACAATAACC >hg38_chr5:88814493-88814503(-) GGACAATAGTC >hg38_chr5:88875479-88875489(-) ATATAATGGTT >hg38_chr5:88879778-88879788(-) AAACAATGGAA >hg38_chr5:88887998-88888008(-) ATATAATGGAT >hg38_chr5:88892775-88892785(-) TGACAATAGCA >hg38_chr5:88924949-88924959(+) ATACAATAGAT >hg38_chr5:88972836-88972846(-) TTACAATGGTC >hg38_chr5:88973064-88973074(+) AAATAATGGAT >hg38_chr5:88984482-88984492(+) TAACAATGTAA >hg38_chr5:89012418-89012428(-) GCACAATGGTG >hg38_chr5:89015481-89015491(+) TTACAATATTA >hg38_chr5:89037240-89037250(-) AGACAATGGTC >hg38_chr5:89064824-89064834(-) TCACAATGAGT >hg38_chr5:89064834-89064844(-) GGACAATGTGT >hg38_chr5:89071968-89071978(-) ACACAATGAAC >hg38_chr5:89080073-89080083(-) ACACAATGAAA >hg38_chr5:89088938-89088948(-) GAACAAAGGGC >hg38_chr5:89227360-89227370(-) aaacaatgaac >hg38_chr5:89228517-89228527(-) acacaatgact >hg38_chr5:89236391-89236401(-) taacaatatat >hg38_chr5:89236419-89236429(+) aaacaatgtgt >hg38_chr5:89243456-89243466(+) aaacaatgtaa >hg38_chr5:89313117-89313127(-) AGACAATGCCA >hg38_chr5:89342697-89342707(-) CAACAATAGAG >hg38_chr5:89353310-89353320(+) TAACAATGGGG >hg38_chr5:89353322-89353332(-) ATACAATAGAT >hg38_chr5:89372128-89372138(-) GAACAATGTGT >hg38_chr5:89412860-89412870(+) atataatggca >hg38_chr5:89415790-89415800(-) CTACAATGCAA >hg38_chr5:89420933-89420943(+) ATACAATTGTA >hg38_chr5:89420934-89420944(-) ATACAATTGTA >hg38_chr5:89458356-89458366(-) GAACAATGACT >hg38_chr5:89458395-89458405(+) GGACAATGAAG >hg38_chr5:89484125-89484135(+) GGACAATAGTG >hg38_chr5:89485306-89485316(+) TTACAATAGTA >hg38_chr5:89485334-89485344(-) TTATAATGGAC >hg38_chr5:89485345-89485355(-) TTATAATGGCA >hg38_chr5:89498885-89498895(+) CAACAATGGTC >hg38_chr5:89528216-89528226(-) gtacaatggaa >hg38_chr5:89528605-89528615(+) agacaatggaa >hg38_chr5:89560920-89560930(-) GCATAATGGAT >hg38_chr5:89561909-89561919(+) AGACAATGCTC >hg38_chr5:89564121-89564131(-) TCACAATGATA >hg38_chr5:89594391-89594401(+) GTACAATGAAA >hg38_chr5:89599499-89599509(+) agacaatgaat >hg38_chr5:89607062-89607072(-) GGACAAAGGAA >hg38_chr5:89607077-89607087(+) TCACAATGTAA >hg38_chr5:89607290-89607300(-) AAACAAAGGCA >hg38_chr5:89615389-89615399(-) GAACAATAAAG >hg38_chr5:89669083-89669093(-) CAACAATAGGG >hg38_chr5:89703995-89704005(+) TCACAATGTCA >hg38_chr5:89722802-89722812(-) TCACAATAGCA >hg38_chr5:89733868-89733878(+) AAACAATGCAA >hg38_chr5:89857608-89857618(+) GGAcaatgaga >hg38_chr5:89883940-89883950(-) TAACAATGAAA >hg38_chr5:89890524-89890534(+) tgacaatgagg >hg38_chr5:89900272-89900282(-) atacaaaggaa >hg38_chr5:89929429-89929439(+) ATATAATGTAA >hg38_chr5:89944746-89944756(+) aaacaatgcta >hg38_chr5:89983368-89983378(-) tgaCAATGGCT >hg38_chr5:89988402-89988412(+) GAACAATACAC >hg38_chr5:90114168-90114178(+) ttacaatggtg >hg38_chr5:90114228-90114238(+) aaacaatgagt >hg38_chr5:90170410-90170420(+) GTATAATGGTT >hg38_chr5:90196783-90196793(-) GAACAATAGTA >hg38_chr5:90196812-90196822(+) ATATAATGAAT >hg38_chr5:90198667-90198677(-) AAACAATGACT >hg38_chr5:90254421-90254431(-) aaacaatgcag >hg38_chr5:90286046-90286056(-) GAACAATAGTG >hg38_chr5:90294150-90294160(+) GAACAATGCGG >hg38_chr5:90297222-90297232(-) TAACAATGGAA >hg38_chr5:90297230-90297240(+) TTACAATAAGA >hg38_chr5:90304957-90304967(+) TAACAATAGAT >hg38_chr5:90356794-90356804(-) TTACAATAAAT >hg38_chr5:90367170-90367180(+) ACACAAAGGTT >hg38_chr5:90372933-90372943(-) AGACAATAGAA >hg38_chr5:90386759-90386769(-) CAACAATGAAG >hg38_chr5:90398887-90398897(-) ACACAATGAAA >hg38_chr5:90406427-90406437(+) GGACAATGAAC >hg38_chr5:90529907-90529917(-) GGACAAAGACG >hg38_chr5:90530934-90530944(+) AGACAAAGGAT >hg38_chr5:90542945-90542955(+) atacaataagg >hg38_chr5:90554580-90554590(+) TGACAATGGGG >hg38_chr5:90555738-90555748(-) CAACAATGGTA >hg38_chr5:90563528-90563538(+) atacaatagct >hg38_chr5:90563562-90563572(-) ACACAATGACT >hg38_chr5:90563898-90563908(-) ATACAGTGGCT >hg38_chr5:90568814-90568824(-) taacaattgta >hg38_chr5:90572215-90572225(+) TAACAGTGGAT >hg38_chr5:90572260-90572270(+) AAACAATGTTA >hg38_chr5:90589304-90589314(-) CAACTATGGTA >hg38_chr5:90619434-90619444(-) taacaaaggag >hg38_chr5:90632941-90632951(+) ACATAATGGAT >hg38_chr5:90636745-90636755(-) TAACAATCGTC >hg38_chr5:90641716-90641726(-) TCACAATGAAA >hg38_chr5:90675211-90675221(+) GGACAATGCCC >hg38_chr5:90682452-90682462(+) taaCAATAACT >hg38_chr5:90736023-90736033(+) tgacaatagtg >hg38_chr5:90736351-90736361(-) caacaatgcaa >hg38_chr5:90736371-90736381(-) agacaatagca >hg38_chr5:90745740-90745750(+) TAACAATAACC >hg38_chr5:90749389-90749399(-) AGACAATGCCT >hg38_chr5:90827915-90827925(+) ACATAATGGTC >hg38_chr5:90827946-90827956(-) ATATAATGTAT >hg38_chr5:90827963-90827973(+) AGACAATGTCA >hg38_chr5:90837034-90837044(-) TTACAATGACT >hg38_chr5:90882574-90882584(-) GAACAATAGCT >hg38_chr5:90882598-90882608(-) GCACAAAGGGA >hg38_chr5:90888637-90888647(-) GTACAATGATA >hg38_chr5:90888679-90888689(-) AAACAAAGGCA >hg38_chr5:90889030-90889040(+) GTACAATGTTG >hg38_chr5:90890304-90890314(-) acacaatagga >hg38_chr5:91020655-91020665(-) GAACAATGGCA >hg38_chr5:91027806-91027816(+) GTACAATAGTT >hg38_chr5:91038364-91038374(-) caacaatggag >hg38_chr5:91053996-91054006(-) ATATAATGGAC >hg38_chr5:91054008-91054018(+) GCACAATAGCC >hg38_chr5:91089101-91089111(-) AAACAATGGCA >hg38_chr5:91101928-91101938(-) CAACAATGGAT >hg38_chr5:91128486-91128496(+) taacaatgctt >hg38_chr5:91128574-91128584(-) GTACAATAAGC >hg38_chr5:91128798-91128808(-) GAACAATGATG >hg38_chr5:91129583-91129593(+) TTACGATGGAA >hg38_chr5:91146319-91146329(-) CTACAAAGGAT >hg38_chr5:91150439-91150449(-) AGATAATGGGT >hg38_chr5:91153833-91153843(+) atacaataatt >hg38_chr5:91162966-91162976(-) ACACAATGGGG >hg38_chr5:91171402-91171412(+) aaacaaaggga >hg38_chr5:91176113-91176123(+) CCACAATGTTA >hg38_chr5:91183988-91183998(-) GTACAATGTTT >hg38_chr5:91195155-91195165(+) CAACAATAGAG >hg38_chr5:91207457-91207467(+) taacaatgtct >hg38_chr5:91226374-91226384(-) gaacaatggga >hg38_chr5:91235592-91235602(-) TAACAAAGGAA >hg38_chr5:91235633-91235643(+) AAACAAAGGCT >hg38_chr5:91266592-91266602(-) CAACAATGGTA >hg38_chr5:91266676-91266686(-) ATACAATACAT >hg38_chr5:91281311-91281321(-) ACACAAAGGCA >hg38_chr5:91281625-91281635(+) AAACAATAGAG >hg38_chr5:91303082-91303092(-) ACACAATGGAT >hg38_chr5:91332312-91332322(-) AAACAATAACT >hg38_chr5:91339894-91339904(-) TAACAATGTAA >hg38_chr5:91371915-91371925(+) AAACAATGCTC >hg38_chr5:91371933-91371943(+) ATACAATGTGC >hg38_chr5:91379108-91379118(+) AGACAATGCTA >hg38_chr5:91379118-91379128(-) AGACAAAGGAT >hg38_chr5:91381951-91381961(+) CCACAATGTAA >hg38_chr5:91381968-91381978(+) AAACAATAGAT >hg38_chr5:91383537-91383547(-) CCACAATGAAG >hg38_chr5:91438506-91438516(+) AAACAATGTAC >hg38_chr5:91457107-91457117(-) taacaatgtga >hg38_chr5:91487641-91487651(-) agacaatggct >hg38_chr5:91487651-91487661(-) atacaacggaa >hg38_chr5:91487779-91487789(+) aaacaATAACC >hg38_chr5:91522400-91522410(-) GGACAATGATA >hg38_chr5:91534905-91534915(-) AAACAATGCAA >hg38_chr5:91535090-91535100(-) AAATAATGGCA >hg38_chr5:91586304-91586314(+) AAACAATGAGG >hg38_chr5:91607969-91607979(+) CCACAATGAGG >hg38_chr5:91629190-91629200(+) CTACAATGTGT >hg38_chr5:91629495-91629505(-) GCACAATAGAC >hg38_chr5:91670790-91670800(+) GTACCATGGAT >hg38_chr5:91736680-91736690(-) atacaataaca >hg38_chr5:91736698-91736708(-) acacaatagaa >hg38_chr5:91771807-91771817(-) TTACAAAGGCA >hg38_chr5:91781691-91781701(+) caacaatggct >hg38_chr5:91784619-91784629(-) AGACAATGTAG >hg38_chr5:91826662-91826672(+) AAACAATAGAG >hg38_chr5:91840603-91840613(-) ggacaatgctc >hg38_chr5:91850186-91850196(-) TTACAATGTGA >hg38_chr5:91865169-91865179(+) AGACAATGTTT >hg38_chr5:91865748-91865758(+) TGATAATGGTC >hg38_chr5:91865806-91865816(+) TTACAATGTGT >hg38_chr5:91875354-91875364(-) TAACAATAGCA >hg38_chr5:91901746-91901756(+) AGACAATGGTG >hg38_chr5:91901756-91901766(+) GTACAATGATC >hg38_chr5:91901900-91901910(-) GTACAATGAAG >hg38_chr5:91929495-91929505(+) TGACAATGAAA >hg38_chr5:91960413-91960423(+) GAACAATAGCT >hg38_chr5:91976766-91976776(-) AAACAATAACA >hg38_chr5:91976779-91976789(-) ATACAATGTAT >hg38_chr5:91978631-91978641(+) gaacaatgCTT >hg38_chr5:91978707-91978717(-) GCACAATAGAA >hg38_chr5:92050690-92050700(-) ggacaatagaa >hg38_chr5:92146409-92146419(-) TAACAATGAAA >hg38_chr5:92147469-92147479(-) AAACAATAGGG >hg38_chr5:92188608-92188618(-) GGACAATGAAC >hg38_chr5:92257601-92257611(+) atacaatgaca >hg38_chr5:92257621-92257631(+) gaacaatagac >hg38_chr5:92263154-92263164(+) TGACAATGGAA >hg38_chr5:92292749-92292759(-) caacaatgaga >hg38_chr5:92315002-92315012(+) gtacaatatag >hg38_chr5:92409565-92409575(-) ACACAATGTAT >hg38_chr5:92459963-92459973(+) GAACAATGACA >hg38_chr5:92465333-92465343(+) GAACTATGGAA >hg38_chr5:92486476-92486486(-) TAATAATGGCT >hg38_chr5:92486509-92486519(-) ATATAATGGTG >hg38_chr5:92496816-92496826(+) AGACAATAGTG >hg38_chr5:92504607-92504617(-) agacaaaggca >hg38_chr5:92534049-92534059(+) aaacaatgggc >hg38_chr5:92534095-92534105(+) tcacaatgctg >hg38_chr5:92550043-92550053(+) GAACAATGTCT >hg38_chr5:92561143-92561153(+) GCACAATGCGG >hg38_chr5:92594506-92594516(+) GTATAATGGTA >hg38_chr5:92636550-92636560(-) TTACAATGAAT >hg38_chr5:92640678-92640688(+) AGACAATGGCA >hg38_chr5:92644761-92644771(-) TAACAATGGAG >hg38_chr5:92674452-92674462(+) GGACAATGCAT >hg38_chr5:92678896-92678906(-) TTACAATGGAA >hg38_chr5:92696341-92696351(-) ATACAATACGC >hg38_chr5:92709792-92709802(+) AGACAAAGGAT >hg38_chr5:92714112-92714122(+) GCACAATAGCT >hg38_chr5:92740558-92740568(-) CAACAATGGAT >hg38_chr5:92766406-92766416(-) acacaatgttc >hg38_chr5:92777636-92777646(+) ggacaaaggac >hg38_chr5:92783610-92783620(+) taacaatgtat >hg38_chr5:92783657-92783667(-) tgacaatgctg >hg38_chr5:92818147-92818157(+) gaactatggga >hg38_chr5:92839648-92839658(-) TTACAATGGGT >hg38_chr5:92843542-92843552(-) GCACAATGCAG >hg38_chr5:92843606-92843616(-) GAACAAAGGGA >hg38_chr5:92873452-92873462(+) atataatgaac >hg38_chr5:92909723-92909733(+) CAACAATAGTC >hg38_chr5:92911614-92911624(-) AAACAATAGTT >hg38_chr5:92916384-92916394(-) AGACAATAGCA >hg38_chr5:92924055-92924065(-) TTACAATGGGT >hg38_chr5:92927415-92927425(-) TGACAATGGCA >hg38_chr5:92961010-92961020(-) AAACAAAGGTA >hg38_chr5:92962996-92963006(+) TCACAATGACA >hg38_chr5:92970134-92970144(+) ATACAATGGTG >hg38_chr5:92970630-92970640(+) GCACAATGCTT >hg38_chr5:92979688-92979698(-) GAACAATGATG >hg38_chr5:92990916-92990926(+) GGACAAAGGGA >hg38_chr5:92991925-92991935(-) GGACAATAGGC >hg38_chr5:93030052-93030062(-) AGACAATGTAA >hg38_chr5:93039104-93039114(+) ttataatggag >hg38_chr5:93039137-93039147(-) cgacaatggca >hg38_chr5:93039164-93039174(+) gcacaatgcat >hg38_chr5:93039192-93039202(+) tgataatggtg >hg38_chr5:93041879-93041889(+) GAACAATGGAG >hg38_chr5:93058921-93058931(+) aaacaatagga >hg38_chr5:93084983-93084993(-) CTACAATAGAT >hg38_chr5:93087064-93087074(-) TAATAATGTAA >hg38_chr5:93122402-93122412(+) GAACAATCGTT >hg38_chr5:93122466-93122476(+) AAACAATAGCA >hg38_chr5:93152584-93152594(-) TCACAATGCTT >hg38_chr5:93162740-93162750(-) TAATAATGGTA >hg38_chr5:93197627-93197637(-) AGACAATGGCA >hg38_chr5:93226512-93226522(-) ttacaatggtc >hg38_chr5:93246508-93246518(+) TAACAATGTTC >hg38_chr5:93249706-93249716(-) GAACAAAGGCT >hg38_chr5:93250205-93250215(+) CAACAATGAAA >hg38_chr5:93300534-93300544(+) AGACAATGGTC >hg38_chr5:93304611-93304621(+) AAACAAAGGCC >hg38_chr5:93308021-93308031(+) aaataatggga >hg38_chr5:93372128-93372138(+) GCACAATGACC >hg38_chr5:93385701-93385711(+) CAACAATGGAG >hg38_chr5:93395802-93395812(+) gaacaatagag >hg38_chr5:93402128-93402138(-) TCATAATGGGA >hg38_chr5:93407695-93407705(-) TAACAAAGGCC >hg38_chr5:93410004-93410014(-) CAACAATAGAC >hg38_chr5:93417764-93417774(+) acacaatgagt >hg38_chr5:93430136-93430146(+) CCACAATAGCA >hg38_chr5:93475995-93476005(+) TAACAATGCTA >hg38_chr5:93494948-93494958(-) atacaatatgt >hg38_chr5:93518379-93518389(-) atacaaagata >hg38_chr5:93576238-93576248(+) TAACAATAAAA >hg38_chr5:93580888-93580898(+) GTACAATGGGC >hg38_chr5:93580926-93580936(-) GAATAATGGAG >hg38_chr5:93587829-93587839(-) ACACAATGCAG >hg38_chr5:93589379-93589389(-) TTACAATAATA >hg38_chr5:93590977-93590987(+) ATACAATAAAT >hg38_chr5:93590997-93591007(+) GCACAATAATA >hg38_chr5:93601852-93601862(+) AAACAATGCTT >hg38_chr5:93606858-93606868(+) GAACAATAGAA >hg38_chr5:93606896-93606906(+) GAACAATGTGA >hg38_chr5:93608673-93608683(-) ACACAAAGGAA >hg38_chr5:93622382-93622392(+) CTACAATGGTG >hg38_chr5:93657780-93657790(-) TAACAATGACT >hg38_chr5:93658434-93658444(-) TAACAATGGCC >hg38_chr5:93677103-93677113(+) CAACAATGAAA >hg38_chr5:93685039-93685049(-) TCACAATGAGG >hg38_chr5:93686359-93686369(+) ctacaatgccc >hg38_chr5:93721902-93721912(-) AAACAATGCTC >hg38_chr5:93722208-93722218(+) GAACTATGGAT >hg38_chr5:93746125-93746135(-) ACACAAAGGAT >hg38_chr5:93784591-93784601(+) TAACAATGAAA >hg38_chr5:93797886-93797896(-) GAACAATATAG >hg38_chr5:93798044-93798054(-) TTACAATAAAC >hg38_chr5:93801488-93801498(+) AGACAATAAAA >hg38_chr5:93816561-93816571(+) aaacaatgcag >hg38_chr5:93817352-93817362(-) tgacaatgggc >hg38_chr5:93819874-93819884(+) GAACAATGGCA >hg38_chr5:93874160-93874170(+) GAACAatgttg >hg38_chr5:93882630-93882640(+) AAACAATAACC >hg38_chr5:93884468-93884478(+) GCACAATGTCA >hg38_chr5:93889419-93889429(+) CCACAATGACC >hg38_chr5:93894698-93894708(-) ACACAATGCAG >hg38_chr5:93894722-93894732(+) GGATAATGGAT >hg38_chr5:93958028-93958038(+) TCACTATGGAA >hg38_chr5:93984747-93984757(-) ctacaatgaag >hg38_chr5:93987759-93987769(-) ATACAATAAGG >hg38_chr5:93990503-93990513(-) TTACAATAGTA >hg38_chr5:94238708-94238718(+) ACACAATATAT >hg38_chr5:94241489-94241499(-) GTACAATGTCT >hg38_chr5:94279400-94279410(+) TAACAATGACT >hg38_chr5:94279428-94279438(+) ATACCATGGTA >hg38_chr5:94279429-94279439(-) TTACCATGGTA >hg38_chr5:94279461-94279471(+) TTATAATGGTA >hg38_chr5:94302748-94302758(+) TAACAATGTAT >hg38_chr5:94303018-94303028(-) AAACAATGTGT >hg38_chr5:94312480-94312490(-) CAACAATGGCT >hg38_chr5:94312490-94312500(-) ACACAATAGAC >hg38_chr5:94315858-94315868(-) GAACAATGCTA >hg38_chr5:94317491-94317501(-) TAACAATGTGT >hg38_chr5:94324100-94324110(-) AGACAATATAA >hg38_chr5:94362823-94362833(+) GAATAATGGGC >hg38_chr5:94377843-94377853(+) TTACAAAGGCT >hg38_chr5:94398754-94398764(+) ACATAATGGAA >hg38_chr5:94399232-94399242(-) GAACAATGAGA >hg38_chr5:94508494-94508504(-) AAACAATGTTT >hg38_chr5:94579542-94579552(+) GTACAATGAAG >hg38_chr5:94590707-94590717(+) TGACAAAGGAA >hg38_chr5:94590738-94590748(-) TGACAATGTGT >hg38_chr5:94597576-94597586(+) ctacaatgaca >hg38_chr5:94624214-94624224(-) AAACAAAGGTG >hg38_chr5:94692072-94692082(+) AGACAATGCTG >hg38_chr5:94692108-94692118(+) TAACTATGGCA >hg38_chr5:94712481-94712491(+) ATACAATGCCT >hg38_chr5:94738046-94738056(+) GAATAATGCGT >hg38_chr5:94738092-94738102(-) GTATAATGTAC >hg38_chr5:94740657-94740667(-) TCACAATGAAG >hg38_chr5:94757022-94757032(-) aaacaaaggcc >hg38_chr5:94775590-94775600(+) TAACAAAGGGA >hg38_chr5:94802646-94802656(+) AGACAATGAAG >hg38_chr5:94852929-94852939(-) GAACAATGCCA >hg38_chr5:94867317-94867327(+) ACACAAAGGGA >hg38_chr5:94872696-94872706(-) GCACAATGAGA >hg38_chr5:94884459-94884469(-) TAACAATGACA >hg38_chr5:94886253-94886263(+) TCACAATGTTT >hg38_chr5:94893844-94893854(+) GTACAATACAA >hg38_chr5:94941399-94941409(+) CAACAATGACT >hg38_chr5:94941425-94941435(-) GCACAATGGCT >hg38_chr5:95008210-95008220(+) tgacaatgacc >hg38_chr5:95021625-95021635(+) gtataatggac >hg38_chr5:95096270-95096280(-) ATATAATGGGG >hg38_chr5:95295174-95295184(-) ttacaaaggga >hg38_chr5:95317199-95317209(-) ggacagtggaa >hg38_chr5:95322663-95322673(+) agacaatggac >hg38_chr5:95418155-95418165(-) ggacaaaggga >hg38_chr5:95430074-95430084(-) ATACAATAACT >hg38_chr5:95436379-95436389(-) gatcaatggta >hg38_chr5:95440297-95440307(+) TGACAATGCAA >hg38_chr5:95444242-95444252(-) taacaatgcct >hg38_chr5:95452104-95452114(+) gtacaatgatt >hg38_chr5:95452939-95452949(+) CAACAATAGAT >hg38_chr5:95464303-95464313(-) GCACAATAGAA >hg38_chr5:95464331-95464341(+) AAATAATGGTT >hg38_chr5:95481985-95481995(-) GCACAATGATC >hg38_chr5:95508421-95508431(-) ctacaatggaa >hg38_chr5:95509213-95509223(+) AAACAATGATA >hg38_chr5:95524483-95524493(-) AAACAAAGGCT >hg38_chr5:95533267-95533277(+) GGACAAAGGAG >hg38_chr5:95549552-95549562(+) ctacaatggaa >hg38_chr5:95549608-95549618(+) ACACAATACGC >hg38_chr5:95566941-95566951(-) TTACAATATAG >hg38_chr5:95601367-95601377(-) gtacaatgagg >hg38_chr5:95601377-95601387(-) gaacaatgagg >hg38_chr5:95619852-95619862(+) ATACAATGGCC >hg38_chr5:95629178-95629188(-) agacaataggg >hg38_chr5:95664360-95664370(-) TAACAAAGGAA >hg38_chr5:95677620-95677630(+) TAACAATAACC >hg38_chr5:95683011-95683021(+) GTACAATAGGC >hg38_chr5:95720658-95720668(-) GTATAATGTAA >hg38_chr5:95725779-95725789(-) ttacaatgaga >hg38_chr5:95726024-95726034(+) acacaatgtag >hg38_chr5:95726486-95726496(-) agacaatgata >hg38_chr5:95726810-95726820(+) gaacaaaggag >hg38_chr5:95731705-95731715(-) AGACAATGGCA >hg38_chr5:95733060-95733070(-) TTAGAATGGCG >hg38_chr5:95743436-95743446(-) CAACAATGCAG >hg38_chr5:95813492-95813502(+) ACACAATGAAC >hg38_chr5:95856497-95856507(-) ACACAATGCTG >hg38_chr5:95859074-95859084(+) GCACAATGGAA >hg38_chr5:95864586-95864596(+) GAACAATAGGA >hg38_chr5:95871923-95871933(-) gcacaatgcct >hg38_chr5:95895375-95895385(+) GAACAAAGGAC >hg38_chr5:95901842-95901852(+) ctacaatgtaa >hg38_chr5:95901857-95901867(+) aaacaaaggga >hg38_chr5:95901896-95901906(+) ggacaatgtga >hg38_chr5:95905364-95905374(-) TGATAATGGAG >hg38_chr5:95905631-95905641(+) AGACAATGGTC >hg38_chr5:95905939-95905949(+) AAACAATGGCC >hg38_chr5:95922546-95922556(-) TAACAAAGGTT >hg38_chr5:95925530-95925540(-) tgacaatatat >hg38_chr5:95935759-95935769(+) gaataatggcc >hg38_chr5:95941010-95941020(+) AAACAATGCTG >hg38_chr5:95953637-95953647(+) TTACAATGTGT >hg38_chr5:96011697-96011707(-) tcacaatatat >hg38_chr5:96058347-96058357(-) taacactggga >hg38_chr5:96066238-96066248(+) GAACAATAGGG >hg38_chr5:96067150-96067160(-) AAACAAAGGAT >hg38_chr5:96090231-96090241(-) GGACAAAGGAA >hg38_chr5:96093443-96093453(+) ggacaatgggc >hg38_chr5:96096449-96096459(+) GGACAAAGGAA >hg38_chr5:96115719-96115729(-) TAACAATGATT >hg38_chr5:96118316-96118326(-) GAACAATGGGG >hg38_chr5:96119064-96119074(+) CTATAATGGGA >hg38_chr5:96132066-96132076(+) ACACAAAGGAA >hg38_chr5:96158116-96158126(+) TAACAATGCAA >hg38_chr5:96220887-96220897(-) CGACAAAGGGC >hg38_chr5:96220941-96220951(-) AAATAATGGCA >hg38_chr5:96229373-96229383(+) CAACAATGCCT >hg38_chr5:96229401-96229411(+) GTACAATGACT >hg38_chr5:96248169-96248179(-) GAACAATAAGA >hg38_chr5:96287320-96287330(-) GAACAATGTTT >hg38_chr5:96408299-96408309(-) GCACAATGACT >hg38_chr5:96413245-96413255(+) GGACAATGTAG >hg38_chr5:96415969-96415979(+) TTACAATGGGT >hg38_chr5:96428048-96428058(-) TGACAATGCTG >hg38_chr5:96464315-96464325(-) GAACAAAGGAG >hg38_chr5:96489744-96489754(+) AGACAATAGGC >hg38_chr5:96501896-96501906(-) acacaatacgc >hg38_chr5:96504061-96504071(-) ACACAATGGTC >hg38_chr5:96520346-96520356(+) CTACAATGTCC >hg38_chr5:96520390-96520400(+) caacaatgttc >hg38_chr5:96528021-96528031(-) atacaatgaat >hg38_chr5:96528059-96528069(-) tgacaatgaag >hg38_chr5:96540031-96540041(-) atactatggta >hg38_chr5:96578426-96578436(+) agacaatgtac >hg38_chr5:96628379-96628389(-) ACACAATGTGA >hg38_chr5:96648677-96648687(-) TCACAATGCAG >hg38_chr5:96649453-96649463(-) AAACAATGGAT >hg38_chr5:96649749-96649759(-) cgacaataaac >hg38_chr5:96655668-96655678(+) TAACAATATAT >hg38_chr5:96655706-96655716(+) gcacaatagtt >hg38_chr5:96697977-96697987(-) TAACAATAAAA >hg38_chr5:96699827-96699837(+) tgacaAAGGAA >hg38_chr5:96703619-96703629(-) gtacaatggga >hg38_chr5:96715015-96715025(-) TGACAATAGTC >hg38_chr5:96720472-96720482(+) TAATAATGGGG >hg38_chr5:96760255-96760265(-) TTATAATGGCA >hg38_chr5:96760295-96760305(-) CTACAATATAT >hg38_chr5:96762577-96762587(-) GTACAATGTTT >hg38_chr5:96762645-96762655(-) ACACAATGCAC >hg38_chr5:96764099-96764109(+) ATACAATGTAG >hg38_chr5:96767623-96767633(-) aaacaatagtc >hg38_chr5:96769157-96769167(-) AAACAATAGCA >hg38_chr5:96781300-96781310(+) TAACAAAGGTT >hg38_chr5:96789936-96789946(-) AGACAATGGCA >hg38_chr5:96789995-96790005(+) CTACAATGCAG >hg38_chr5:96791411-96791421(+) gcacaatggta >hg38_chr5:96808620-96808630(-) GTACAGTGGCC >hg38_chr5:96851520-96851530(-) GAACAATGCTT >hg38_chr5:96909786-96909796(-) GAACAAAGGGT >hg38_chr5:96934479-96934489(-) taacaaaggcc >hg38_chr5:96935038-96935048(+) AAACAATGGTG >hg38_chr5:96970027-96970037(-) acacaatggaa >hg38_chr5:96970197-96970207(-) gaacaatacta >hg38_chr5:96970478-96970488(-) tcacaatgggg >hg38_chr5:96970498-96970508(+) ttacaatgttt >hg38_chr5:97029608-97029618(-) GTACTATGGTG >hg38_chr5:97079417-97079427(+) GCACAATGGTT >hg38_chr5:97121953-97121963(+) caataatggac >hg38_chr5:97141244-97141254(-) CTACAATAGAA >hg38_chr5:97203171-97203181(+) GGACAATGAAT >hg38_chr5:97203221-97203231(-) ATACAATGGCT >hg38_chr5:97243537-97243547(-) AAACAAAGGCA >hg38_chr5:97245567-97245577(-) AGACAATGTTT >hg38_chr5:97321916-97321926(+) tgacaataata >hg38_chr5:97363866-97363876(+) GAACAATGTTC >hg38_chr5:97384534-97384544(+) TTACAATGACA >hg38_chr5:97384549-97384559(+) TAACAATAATA >hg38_chr5:97384561-97384571(+) Aaacaataact >hg38_chr5:97398394-97398404(-) gaacaatagga >hg38_chr5:97414784-97414794(+) TAACAATGAGA >hg38_chr5:97415223-97415233(-) ATACAATTGTA >hg38_chr5:97427452-97427462(-) CCACAATGGGG >hg38_chr5:97427531-97427541(+) ATATAATGGAA >hg38_chr5:97452633-97452643(-) ATACAATACAA >hg38_chr5:97452846-97452856(+) TGACAATGCTT >hg38_chr5:97454010-97454020(-) GAACAAAGGGT >hg38_chr5:97478683-97478693(-) ccacaatgtct >hg38_chr5:97478721-97478731(-) aaacaataaca >hg38_chr5:97539097-97539107(-) AGACAATAGAG >hg38_chr5:97546191-97546201(+) ACACAAAGGAC >hg38_chr5:97550373-97550383(+) AGACAAAGGAT >hg38_chr5:97620595-97620605(-) ccacaatgatc >hg38_chr5:97627431-97627441(+) GTATAATGGCT >hg38_chr5:97628864-97628874(-) TCACAATGGAT >hg38_chr5:97636504-97636514(-) TCACAATGCTT >hg38_chr5:97696870-97696880(-) agacaatgagt >hg38_chr5:97714574-97714584(+) GTATAATGAAC >hg38_chr5:97735694-97735704(+) agacaatggca >hg38_chr5:97798270-97798280(+) gaacaatgcaa >hg38_chr5:97800275-97800285(-) tgacaatgtat >hg38_chr5:97800304-97800314(+) taataatggac >hg38_chr5:97801123-97801133(-) ctacaATGACT >hg38_chr5:97801147-97801157(+) ctacaatgatg >hg38_chr5:97808257-97808267(-) aaacaaaggga >hg38_chr5:97819740-97819750(-) ccacaatggaa >hg38_chr5:97819900-97819910(-) atacaatggat >hg38_chr5:97879472-97879482(-) AGACAATGAAC >hg38_chr5:97879498-97879508(+) TTACAATAGAT >hg38_chr5:97906382-97906392(-) taacaaaggcc >hg38_chr5:97922912-97922922(-) GGACAATCGCT >hg38_chr5:97923490-97923500(-) ATACAATGTCT >hg38_chr5:97936469-97936479(+) ATACAATAGTC >hg38_chr5:97943909-97943919(-) TAACAATAGAA >hg38_chr5:97945176-97945186(-) aaataatgtcg >hg38_chr5:97999587-97999597(-) TTACAAAGGAT >hg38_chr5:98015124-98015134(-) AAACAATGAAG >hg38_chr5:98015143-98015153(-) TGACAATGAGA >hg38_chr5:98015161-98015171(+) ATACAAAGGTA >hg38_chr5:98048549-98048559(-) GCACAATGAAT >hg38_chr5:98048570-98048580(+) ATACAATATAA >hg38_chr5:98048575-98048585(+) ATATAATGGAC >hg38_chr5:98048582-98048592(+) GGACAATGTGA >hg38_chr5:98059670-98059680(+) TAACAATACAT >hg38_chr5:98069821-98069831(-) TGACAATGATG >hg38_chr5:98087377-98087387(+) ATACAATGACG >hg38_chr5:98096338-98096348(+) TAATAATGGCT >hg38_chr5:98107784-98107794(-) TTACAAAGGTT >hg38_chr5:98161467-98161477(+) tgacaatgacc >hg38_chr5:98162450-98162460(+) gaacaaaggac >hg38_chr5:98199007-98199017(-) GGACAATGGCA >hg38_chr5:98199076-98199086(+) ctacaatggac >hg38_chr5:98225233-98225243(+) GAACAATGTCC >hg38_chr5:98252032-98252042(+) caacaatagca >hg38_chr5:98252052-98252062(-) taacaatggtc >hg38_chr5:98252102-98252112(-) atacaatagac >hg38_chr5:98263085-98263095(+) GAACAATGAGA >hg38_chr5:98273203-98273213(+) taataatggga >hg38_chr5:98295137-98295147(+) tgacaaaggta >hg38_chr5:98295145-98295155(+) gtacaaaggca >hg38_chr5:98296011-98296021(+) aaacagtggta >hg38_chr5:98296027-98296037(+) ctacaatagaa >hg38_chr5:98310119-98310129(+) ACACAATAGCC >hg38_chr5:98314397-98314407(+) TCACAATGAAT >hg38_chr5:98351849-98351859(+) taacaattgta >hg38_chr5:98473694-98473704(+) CTACAATAGAG >hg38_chr5:98499844-98499854(+) tgacaaaggga >hg38_chr5:98503898-98503908(-) AGATAATGGTG >hg38_chr5:98510333-98510343(+) GAACAAAGGCT >hg38_chr5:98528017-98528027(+) GGACAATGCAG >hg38_chr5:98557893-98557903(+) gaacaataaaa >hg38_chr5:98588530-98588540(+) AAACAATGCCT >hg38_chr5:98603738-98603748(+) gtacaatgtac >hg38_chr5:98603756-98603766(+) agataatgggt >hg38_chr5:98613032-98613042(+) TGACAATAGAA >hg38_chr5:98613078-98613088(+) AAACAATGAAG >hg38_chr5:98638204-98638214(+) TTACAATGCTT >hg38_chr5:98657842-98657852(-) AAACTATGGAA >hg38_chr5:98667475-98667485(+) agacaatggtt >hg38_chr5:98680826-98680836(-) GCACAATAGTG >hg38_chr5:98680878-98680888(-) GAACAATGCAG >hg38_chr5:98698890-98698900(-) GAACAATGTAA >hg38_chr5:98712466-98712476(-) TGACAAAGGAT >hg38_chr5:98740200-98740210(-) caacaatagtg >hg38_chr5:98741162-98741172(-) TTACAATGTCC >hg38_chr5:98749235-98749245(+) AGACAATGTGG >hg38_chr5:98754268-98754278(-) ACACAATGAAA >hg38_chr5:98773305-98773315(-) TTACAAAGGTA >hg38_chr5:98784526-98784536(-) GAACAATAACT >hg38_chr5:98786580-98786590(-) CAACAATGTAG >hg38_chr5:98786590-98786600(+) GAACAATGAAA >hg38_chr5:98811580-98811590(-) GAACAATGTAA >hg38_chr5:98815420-98815430(+) atacaatggaa >hg38_chr5:98815484-98815494(-) aaacaatagtt >hg38_chr5:98827676-98827686(-) ACACAATGAAC >hg38_chr5:98827709-98827719(-) ATACAAAGGCA >hg38_chr5:98863483-98863493(-) AGACAATGTTT >hg38_chr5:98871161-98871171(+) ATATAATGTAC >hg38_chr5:98873878-98873888(+) GGACAATGTGG >hg38_chr5:98879459-98879469(+) aaactatggac >hg38_chr5:98888539-98888549(+) GAACAATGACA >hg38_chr5:98904123-98904133(+) AGACAATATTA >hg38_chr5:98907020-98907030(-) CCACAATAGCC >hg38_chr5:98909478-98909488(-) ttataatggat >hg38_chr5:98911628-98911638(+) aaacaatgtga >hg38_chr5:98916769-98916779(+) AAACAATGTAG >hg38_chr5:98919006-98919016(-) TGATAATGGAA >hg38_chr5:98921171-98921181(+) gcacaatgccc >hg38_chr5:98927314-98927324(+) AAACAAAGGAG >hg38_chr5:98930938-98930948(-) GCACAATACGA >hg38_chr5:98933219-98933229(-) ATACAATGACA >hg38_chr5:98933254-98933264(-) TCACAATGTAG >hg38_chr5:98933294-98933304(-) ATACAATGCAC >hg38_chr5:98935775-98935785(+) TAACAAAGGAG >hg38_chr5:98938711-98938721(+) GAACAATGTCG >hg38_chr5:98963052-98963062(+) ATACAATGTAA >hg38_chr5:98963126-98963136(+) acacaaaggaa >hg38_chr5:98963315-98963325(+) CCACAATAGGA >hg38_chr5:99010544-99010554(-) agacaatggaa >hg38_chr5:99020345-99020355(-) GGACAATGTCT >hg38_chr5:99036135-99036145(-) atacaatgaat >hg38_chr5:99068727-99068737(+) ATACAATAATA >hg38_chr5:99070060-99070070(+) taacaatgcat >hg38_chr5:99070116-99070126(-) gcacaatggtg >hg38_chr5:99075635-99075645(+) gtacaatgcct >hg38_chr5:99075649-99075659(+) acacaataaac >hg38_chr5:99075677-99075687(-) Taataatagta >hg38_chr5:99105517-99105527(+) AGACAATGGCC >hg38_chr5:99178043-99178053(+) TGACAATAATA >hg38_chr5:99178066-99178076(+) AAATAATGGCT >hg38_chr5:99179405-99179415(-) ATACAATGAGT >hg38_chr5:99179435-99179445(-) AGACAATGTAA >hg38_chr5:99191395-99191405(-) ACACAATGTAA >hg38_chr5:99275344-99275354(+) agacaatgggc >hg38_chr5:99279743-99279753(+) ACACAATTGcg >hg38_chr5:99328269-99328279(-) CTACAATAGAA >hg38_chr5:99399229-99399239(+) CAACAATGACT >hg38_chr5:99865535-99865545(+) TGACAATGAAC >hg38_chr5:99908190-99908200(+) gaacaatggtc >hg38_chr5:100017111-100017121(-) GGACAAAGGGA >hg38_chr5:100017983-100017993(+) TGACAATGTTG >hg38_chr5:100018011-100018021(+) ATACAATAATG >hg38_chr5:100175519-100175529(+) gcacaatgaat >hg38_chr5:100175563-100175573(-) taacaatgagt >hg38_chr5:100482555-100482565(-) TAATAATGGCA >hg38_chr5:100485973-100485983(+) TAACAATAGGC >hg38_chr5:100495078-100495088(-) atacaatagct >hg38_chr5:100495124-100495134(-) aaacaatagaa >hg38_chr5:100495136-100495146(-) aaacaaaggta >hg38_chr5:100535205-100535215(+) GAACAATGCTT >hg38_chr5:100541038-100541048(+) GGACAATGCTG >hg38_chr5:100619160-100619170(-) AAACTATGGTA >hg38_chr5:100619592-100619602(-) taacaatgtct >hg38_chr5:100632762-100632772(+) tgacaatggga >hg38_chr5:100633075-100633085(-) ATACAATAGCA >hg38_chr5:100643572-100643582(-) ACACAATAGTT >hg38_chr5:100643593-100643603(+) GGACAATGCAG >hg38_chr5:100643612-100643622(-) GGATAATGGCG >hg38_chr5:100667414-100667424(+) caataatggag >hg38_chr5:100683392-100683402(+) tgataatggat >hg38_chr5:100706827-100706837(+) GCACAATGAAA >hg38_chr5:100706849-100706859(-) TGACAATGAAC >hg38_chr5:100751442-100751452(+) tgataatggtt >hg38_chr5:100757798-100757808(+) TGACAATCGAT >hg38_chr5:100772656-100772666(-) AAACAAAGGCA >hg38_chr5:100775756-100775766(-) CCACAATGTCA >hg38_chr5:100777458-100777468(-) GGACAATACGT >hg38_chr5:100789854-100789864(+) GTACAATGACT >hg38_chr5:100790300-100790310(+) AGACAATGAAG >hg38_chr5:100790331-100790341(-) GGACAATGGAA >hg38_chr5:100790964-100790974(+) ATACAATAATG >hg38_chr5:100791175-100791185(-) GCACAATGCTG >hg38_chr5:100818644-100818654(-) ATACAAAGGGC >hg38_chr5:100824035-100824045(-) Gaacaataaca >hg38_chr5:100900566-100900576(-) GAACAATGCGA >hg38_chr5:100902696-100902706(-) TAACAAAGGCT >hg38_chr5:100906057-100906067(-) TTATAATGGCT >hg38_chr5:100976050-100976060(-) acacaATGACA >hg38_chr5:101065240-101065250(-) CAACAATGCAG >hg38_chr5:101213831-101213841(+) tgacaataata >hg38_chr5:101213855-101213865(+) taacaataatt >hg38_chr5:101341777-101341787(-) AAACAAtgttt >hg38_chr5:101341792-101341802(-) AAACAATGTTT >hg38_chr5:101343000-101343010(+) aaacaatggac >hg38_chr5:101343064-101343074(+) atacaatacat >hg38_chr5:101343069-101343079(-) taataatgtat >hg38_chr5:101415606-101415616(-) GGACAATAAAT >hg38_chr5:101424572-101424582(-) GTACAATGACT >hg38_chr5:101428258-101428268(+) ACTCAATGGCG >hg38_chr5:101428271-101428281(+) AAACAATGCAA >hg38_chr5:101440585-101440595(+) ACACAATAGGA >hg38_chr5:101543461-101543471(-) ggacaatggcc >hg38_chr5:101562346-101562356(+) tgacaaaggaa >hg38_chr5:101562374-101562384(+) gaacaatgaca >hg38_chr5:101572985-101572995(-) ACACAATAGTT >hg38_chr5:101573054-101573064(-) AAACAATAGGG >hg38_chr5:101575852-101575862(+) GAACAGTGGGA >hg38_chr5:101597253-101597263(+) aaacaaaggtc >hg38_chr5:101628340-101628350(-) AGACAAAGGAG >hg38_chr5:101686645-101686655(+) TAACAATGCAA >hg38_chr5:101687018-101687028(-) TAACAAAGGAG >hg38_chr5:101689586-101689596(+) gaacaaTGAAT >hg38_chr5:101689635-101689645(+) AGACAATAGCA >hg38_chr5:101691874-101691884(-) CAACAATGCCA >hg38_chr5:101692379-101692389(+) ACACAATAGGC >hg38_chr5:101700270-101700280(+) gtacaatgaca >hg38_chr5:101700311-101700321(-) tcacaatgggg >hg38_chr5:101701885-101701895(+) atataatggaa >hg38_chr5:101701902-101701912(+) gtataatggga >hg38_chr5:101722658-101722668(-) ATACAATGAAG >hg38_chr5:101732022-101732032(+) ACACAATGATT >hg38_chr5:101782838-101782848(+) GAACAAAGGTA >hg38_chr5:101809970-101809980(+) GAACAATGAAT >hg38_chr5:101904906-101904916(-) AAACAATGATG >hg38_chr5:101905121-101905131(+) ACACAATGGTC >hg38_chr5:101905137-101905147(-) TAACAATGGAA >hg38_chr5:101995813-101995823(+) aaacaaaggac >hg38_chr5:102059347-102059357(+) TGACAATGGAT >hg38_chr5:102181517-102181527(+) taacaataacc >hg38_chr5:102259425-102259435(+) GAACAATATCA >hg38_chr5:102259805-102259815(+) GAATAATGGGC >hg38_chr5:102418676-102418686(-) gtactatggcc >hg38_chr5:102419965-102419975(+) AAACAATGACA >hg38_chr5:102486564-102486574(-) aaacaatagac >hg38_chr5:102496801-102496811(-) GGATAATGGTT >hg38_chr5:102496828-102496838(-) TCACAATAGTG >hg38_chr5:102537404-102537414(-) tgacaatgcat >hg38_chr5:102562187-102562197(+) ccacaatgtaa >hg38_chr5:102583878-102583888(-) GAACAAAGGAC >hg38_chr5:102589886-102589896(-) ACACAATGTCT >hg38_chr5:102589917-102589927(-) TAACAATAACA >hg38_chr5:102617426-102617436(-) TGACAATGTTA >hg38_chr5:102617442-102617452(+) AAACAATGAGC >hg38_chr5:102623950-102623960(-) TTACAGTGGTA >hg38_chr5:102666309-102666319(-) CAACAATGAAC >hg38_chr5:102719012-102719022(+) TAACAATAAAA >hg38_chr5:102719053-102719063(-) AAACAATGACT >hg38_chr5:102721417-102721427(-) AAACAATAAAC >hg38_chr5:102724698-102724708(+) caacaatgaat >hg38_chr5:102747344-102747354(+) ATACAATGCAG >hg38_chr5:102761407-102761417(+) taacaaaggac >hg38_chr5:102776793-102776803(-) TAACAATGTGA >hg38_chr5:102777249-102777259(-) taacaatgaga >hg38_chr5:102790378-102790388(-) atacaatggga >hg38_chr5:102800056-102800066(-) agacaatgaaa >hg38_chr5:102800080-102800090(-) gtacaataagt >hg38_chr5:102800724-102800734(-) AAACAATAACT >hg38_chr5:102801543-102801553(-) TTACAAAGGGT >hg38_chr5:102806877-102806887(-) TTACAATAGCA >hg38_chr5:102806913-102806923(-) TAACAATAATA >hg38_chr5:102807192-102807202(+) AAACAATAGCT >hg38_chr5:102808864-102808874(-) TCACAATAATA >hg38_chr5:102851391-102851401(+) TAACAAAGGCA >hg38_chr5:102851424-102851434(+) TTACAATGTAA >hg38_chr5:102855497-102855507(+) AGACAAAGGTC >hg38_chr5:102857714-102857724(+) tgataatggta >hg38_chr5:102875920-102875930(+) GAACAATGTCT >hg38_chr5:102884983-102884993(+) TTACAAAGGGC >hg38_chr5:102886496-102886506(-) GAACAATGGCA >hg38_chr5:102891901-102891911(-) AAACAATAGGT >hg38_chr5:102959670-102959680(-) TAACAATGGAG >hg38_chr5:102959697-102959707(+) TGACAATGTGC >hg38_chr5:102965639-102965649(-) CTACAATGAGG >hg38_chr5:102991635-102991645(+) ATACATTGGTA >hg38_chr5:102991644-102991654(-) TAACAATGCTA >hg38_chr5:102997830-102997840(+) GAACAATGCCA >hg38_chr5:102998813-102998823(-) GCACAATGGCA >hg38_chr5:103006472-103006482(-) CTACAATGCAC >hg38_chr5:103009114-103009124(-) GAACAATGAAA >hg38_chr5:103023697-103023707(-) GTACTATGGCT >hg38_chr5:103024466-103024476(+) AAACAATATAA >hg38_chr5:103060558-103060568(+) TGACAATGAGA >hg38_chr5:103083195-103083205(-) aaacaatgaac >hg38_chr5:103109450-103109460(-) TGACAATAGGC >hg38_chr5:103109955-103109965(+) ttacaatgaag >hg38_chr5:103109972-103109982(+) acacaatgagg >hg38_chr5:103110344-103110354(+) taacaaaggac >hg38_chr5:103110359-103110369(-) atacactgggt >hg38_chr5:103146720-103146730(-) CGACAATGTAC >hg38_chr5:103147160-103147170(-) caacaatgttt >hg38_chr5:103147198-103147208(+) taacaatataa >hg38_chr5:103156562-103156572(+) taataatgata >hg38_chr5:103177679-103177689(+) GAACAGTGGAA >hg38_chr5:103188126-103188136(-) CAATAATGGTC >hg38_chr5:103188968-103188978(-) ACACAATATTA >hg38_chr5:103191093-103191103(+) GTACAAAGGGG >hg38_chr5:103193724-103193734(-) CTACAATGAAT >hg38_chr5:103214154-103214164(+) AAACAATGCTC >hg38_chr5:103226482-103226492(+) gaacaatacac >hg38_chr5:103250027-103250037(-) ccacaatgaga >hg38_chr5:103257432-103257442(-) acacaatagtg >hg38_chr5:103298773-103298783(-) TAACAATATTC >hg38_chr5:103369277-103369287(-) taacaatagag >hg38_chr5:103373804-103373814(+) TTACCATGGCG >hg38_chr5:103389397-103389407(+) AGACAATGACT >hg38_chr5:103400596-103400606(+) ATACAATATGT >hg38_chr5:103428649-103428659(+) GAACAAAGGGA >hg38_chr5:103433257-103433267(-) AAACAATGGAA >hg38_chr5:103444943-103444953(-) GAACAATAACA >hg38_chr5:103468797-103468807(-) TCACAATGCAT >hg38_chr5:103480148-103480158(-) TGACAATGTGA >hg38_chr5:103480168-103480178(+) TTACAATAGTT >hg38_chr5:103489216-103489226(+) TCACAATGTGA >hg38_chr5:103489273-103489283(+) AAACAAAGGTA >hg38_chr5:103491559-103491569(-) tgacaaaggaa >hg38_chr5:103491565-103491575(-) aaacaatgaca >hg38_chr5:103491829-103491839(+) AAACAAAGGTT >hg38_chr5:103491848-103491858(-) GAACAATGCTG >hg38_chr5:103493383-103493393(+) gtacaatacgc >hg38_chr5:103493391-103493401(-) caacaatagcg >hg38_chr5:103511380-103511390(-) GGACAATGACT >hg38_chr5:103511408-103511418(+) CAACAATAGGT >hg38_chr5:103511429-103511439(-) AAACAAAGGTT >hg38_chr5:103575059-103575069(-) GAACAAAGGTA >hg38_chr5:103575111-103575121(-) GCACAATGCTA >hg38_chr5:103575331-103575341(+) GGATAATGGTA >hg38_chr5:103584248-103584258(+) ATACAATAGCT >hg38_chr5:103584401-103584411(+) ATATAATGAAT >hg38_chr5:103585279-103585289(+) GTACTATGGTA >hg38_chr5:103585306-103585316(+) TGATAATGGGA >hg38_chr5:103586907-103586917(-) GTACAATATCC >hg38_chr5:103622199-103622209(+) GAACAATAGGT >hg38_chr5:103630201-103630211(+) tgataatggtt >hg38_chr5:103645966-103645976(-) aaacaatgcct >hg38_chr5:103647297-103647307(-) gaacaatgggg >hg38_chr5:103649902-103649912(-) GCACAATGCCT >hg38_chr5:103676276-103676286(+) GGACAATGCCC >hg38_chr5:103676283-103676293(-) AAACAAAGGGC >hg38_chr5:103690800-103690810(-) ATACAATAACC >hg38_chr5:103715911-103715921(+) AAACAATGTGA >hg38_chr5:103715951-103715961(+) AAACAATGCAA >hg38_chr5:103731153-103731163(-) agacaatgtaa >hg38_chr5:103753674-103753684(-) GGACAATGTTC >hg38_chr5:103774206-103774216(-) AGACAATGTCC >hg38_chr5:103826490-103826500(+) aaactatgggc >hg38_chr5:103839103-103839113(+) GCACAATGAGT >hg38_chr5:103860636-103860646(+) GCACAATGGTA >hg38_chr5:103891446-103891456(-) ATACAATGCTT >hg38_chr5:103891464-103891474(-) ATACAATGCTT >hg38_chr5:103895016-103895026(+) GGACAATGAGC >hg38_chr5:103902341-103902351(+) TAATAATGGGG >hg38_chr5:103922835-103922845(+) TGACAATGGGG >hg38_chr5:103927935-103927945(-) tatcaatggta >hg38_chr5:103983946-103983956(+) CCACAATGACA >hg38_chr5:104008391-104008401(-) CAACAATGACA >hg38_chr5:104059801-104059811(-) gtacaacggta >hg38_chr5:104110413-104110423(-) agacaatgact >hg38_chr5:104111816-104111826(+) GCATAATGGAC >hg38_chr5:104127045-104127055(-) CAACAATGAAA >hg38_chr5:104146744-104146754(+) tcacaatgcTC >hg38_chr5:104219441-104219451(+) AGACAATGTGG >hg38_chr5:104328025-104328035(-) TGACAATGCAA >hg38_chr5:104340322-104340332(-) AAACAATAGTA >hg38_chr5:104355589-104355599(-) TAACACTGGTT >hg38_chr5:104412974-104412984(-) ggacagtggaa >hg38_chr5:104484360-104484370(+) TGACAAAGGAT >hg38_chr5:104606419-104606429(+) GAACAATAACC >hg38_chr5:104606433-104606443(-) ACACAATGCTC >hg38_chr5:104627584-104627594(-) ATACAATGAGG >hg38_chr5:104627620-104627630(-) ATACAATGAGA >hg38_chr5:104650881-104650891(-) tgacaatagaa >hg38_chr5:104716460-104716470(-) TAACAATGGAC >hg38_chr5:104734462-104734472(-) atacattggta >hg38_chr5:104801999-104802009(-) ttataatggca >hg38_chr5:104843016-104843026(+) ATACAATGGTT >hg38_chr5:104872549-104872559(-) aaacaaaggtt >hg38_chr5:104914815-104914825(+) tgacaatgggg >hg38_chr5:104914872-104914882(+) gaacaatgcat >hg38_chr5:104915418-104915428(+) TAACAATGGAT >hg38_chr5:104977206-104977216(+) GAACAATGTGC >hg38_chr5:105283392-105283402(+) TGACAATGAGT >hg38_chr5:105283402-105283412(+) TCACAATATAA >hg38_chr5:105356517-105356527(+) AAACAAAGGAG >hg38_chr5:105497401-105497411(+) atacaatgcaa >hg38_chr5:105567844-105567854(+) ttacaaaggaa >hg38_chr5:105687648-105687658(-) TTACAATGAAG >hg38_chr5:105689523-105689533(+) CCACAATAGCA >hg38_chr5:105696140-105696150(+) gcacaatgact >hg38_chr5:105777958-105777968(-) tcacaatgaaa >hg38_chr5:105810659-105810669(-) TGACAATGAAT >hg38_chr5:105940164-105940174(+) TTACAATGTCA >hg38_chr5:105940193-105940203(+) CTACAATAGGA >hg38_chr5:105963900-105963910(-) ctacaatggtg >hg38_chr5:106021130-106021140(-) caacaatagat >hg38_chr5:106080778-106080788(-) acacaatagta >hg38_chr5:106089885-106089895(+) AAACAAAGGCA >hg38_chr5:106089919-106089929(+) AAACAATGAGA >hg38_chr5:106090217-106090227(+) TAATAATGGAC >hg38_chr5:106090987-106090997(-) gaacaatgtcc >hg38_chr5:106097265-106097275(+) ACACAATGTCA >hg38_chr5:106171321-106171331(+) ACACAATAGAC >hg38_chr5:106171351-106171361(-) GAACAATGCAG >hg38_chr5:106189606-106189616(+) GCACAATGGAT >hg38_chr5:106216251-106216261(+) taacaatgaga >hg38_chr5:106219850-106219860(-) CAACAATAGAA >hg38_chr5:106266385-106266395(-) gaataatgacg >hg38_chr5:106293378-106293388(+) aaacaatgaat >hg38_chr5:106295491-106295501(-) ATATAATGTAA >hg38_chr5:106303422-106303432(-) GAATAATGGCT >hg38_chr5:106306886-106306896(+) taacaaaggtt >hg38_chr5:106363719-106363729(-) gcacaatagca >hg38_chr5:106363757-106363767(-) acacaataggc >hg38_chr5:106386453-106386463(-) AAACAATGCCA >hg38_chr5:106386753-106386763(+) GGACAATGATG >hg38_chr5:106397889-106397899(+) ATACAATATCA >hg38_chr5:106438550-106438560(-) CAACAATAGAC >hg38_chr5:106443866-106443876(+) AAATAATGGAT >hg38_chr5:106444079-106444089(+) GAACAATGCTT >hg38_chr5:106454666-106454676(+) aaacaatagga >hg38_chr5:106492946-106492956(+) taacaatggga >hg38_chr5:106522472-106522482(+) ACACAAAGGAC >hg38_chr5:106534326-106534336(-) taacaatagac >hg38_chr5:106534943-106534953(+) ttacaatgagg >hg38_chr5:106595910-106595920(-) AGACaaaggaa >hg38_chr5:106595968-106595978(+) TTACAATGGTG >hg38_chr5:106600040-106600050(-) GCACAATGGTT >hg38_chr5:106600078-106600088(-) CTACAATAGAA >hg38_chr5:106606100-106606110(-) TGATAATGGTA >hg38_chr5:106611039-106611049(+) CTACAATGCTG >hg38_chr5:106649216-106649226(-) ATACAATAAGA >hg38_chr5:106649229-106649239(+) AAACAATGGTG >hg38_chr5:106649238-106649248(-) ATACAATGACA >hg38_chr5:106649245-106649255(+) GTATAATGAAA >hg38_chr5:106698251-106698261(-) TGACTATGGAA >hg38_chr5:106730941-106730951(-) caacaatggca >hg38_chr5:106737276-106737286(+) tgacaatagaa >hg38_chr5:106881669-106881679(+) TAACAATGAAT >hg38_chr5:106909807-106909817(+) GTACAATACAA >hg38_chr5:106909812-106909822(+) ATACAATGAAG >hg38_chr5:106957057-106957067(-) ATACAATGACT >hg38_chr5:107067828-107067838(-) TTACAATGGAC >hg38_chr5:107068505-107068515(+) CCACAATGGAA >hg38_chr5:107117231-107117241(-) GCATAATGGTT >hg38_chr5:107119881-107119891(-) CAACAATGAAG >hg38_chr5:107272062-107272072(+) ACACAATGGAA >hg38_chr5:107332105-107332115(-) TAACAATAGTC >hg38_chr5:107332563-107332573(+) TAATAATGGCA >hg38_chr5:107337376-107337386(+) GGATAATGGAA >hg38_chr5:107373650-107373660(-) TTACAATGGGA >hg38_chr5:107373687-107373697(-) AAACAAAGGGA >hg38_chr5:107377131-107377141(+) ACACAATGAGT >hg38_chr5:107378084-107378094(-) GAACAATAGAC >hg38_chr5:107387852-107387862(+) GAACAATAAAT >hg38_chr5:107396039-107396049(+) AAACAATGTCA >hg38_chr5:107401861-107401871(-) TAACAAAGGAG >hg38_chr5:107403711-107403721(-) TCACAATAGAA >hg38_chr5:107408918-107408928(-) GAACAATGACA >hg38_chr5:107415816-107415826(+) TTACAATAAGT >hg38_chr5:107430477-107430487(-) AGACAATGGCA >hg38_chr5:107440001-107440011(+) GAACAAAGGTA >hg38_chr5:107462815-107462825(-) ATACAATGGAT >hg38_chr5:107469496-107469506(-) TTACAATATAC >hg38_chr5:107469734-107469744(-) CCACAATGCAC >hg38_chr5:107474931-107474941(-) TGACAATGATT >hg38_chr5:107499527-107499537(-) AAACAATGACA >hg38_chr5:107518469-107518479(+) GAACAATGGAA >hg38_chr5:107524274-107524284(-) CCACAATGGCT >hg38_chr5:107524324-107524334(+) TAATAATGGCA >hg38_chr5:107540357-107540367(+) ATACAATATAA >hg38_chr5:107557212-107557222(+) gcacaatgcct >hg38_chr5:107557538-107557548(+) AAACAATGACA >hg38_chr5:107558687-107558697(+) ACACAATGGTC >hg38_chr5:107565105-107565115(+) ATACAATACTC >hg38_chr5:107565979-107565989(-) GGACAATAGCA >hg38_chr5:107568507-107568517(+) AAACAAAGGGT >hg38_chr5:107568573-107568583(+) ACACAATGACT >hg38_chr5:107572314-107572324(+) ACACAATGGGA >hg38_chr5:107572661-107572671(+) AAACAACGGGA >hg38_chr5:107583457-107583467(+) ATACAATGGGC >hg38_chr5:107589630-107589640(+) CCACAATGCGG >hg38_chr5:107617548-107617558(+) TGACAATGAAG >hg38_chr5:107633680-107633690(+) GCACAATGAAG >hg38_chr5:107651422-107651432(-) AAACAATGCTG >hg38_chr5:107652444-107652454(-) GAACAATagtg >hg38_chr5:107653482-107653492(+) GAACAATAGGA >hg38_chr5:107661576-107661586(+) AAACAATAGAA >hg38_chr5:107682520-107682530(-) agataatggaa >hg38_chr5:107682541-107682551(-) gcacaatgcta >hg38_chr5:107712442-107712452(+) CGACAATGGAA >hg38_chr5:107744704-107744714(+) caacaaaggac >hg38_chr5:107799860-107799870(+) AGACAATAACA >hg38_chr5:107806633-107806643(+) AGACAATAAAC >hg38_chr5:107809853-107809863(-) ggacaatgcct >hg38_chr5:107869235-107869245(+) GGACAATACGC >hg38_chr5:107886238-107886248(-) taacaatgaaa >hg38_chr5:107931081-107931091(+) GAACAATAAAA >hg38_chr5:107935606-107935616(+) CAACAATAGAG >hg38_chr5:107951088-107951098(-) TGACAATGGCA >hg38_chr5:107951096-107951106(+) TCATAATGGAC >hg38_chr5:107963254-107963264(-) tcacaataggc >hg38_chr5:107965111-107965121(+) GCACAATGGGT >hg38_chr5:107965419-107965429(+) TAACAATGCCT >hg38_chr5:107965439-107965449(-) AAACAATGAAT >hg38_chr5:107971885-107971895(-) atacagtggag >hg38_chr5:107976619-107976629(+) CAACAATGAAG >hg38_chr5:107982991-107983001(-) TGATAATGGAC >hg38_chr5:107997609-107997619(+) TTACAAAGGAG >hg38_chr5:108091073-108091083(+) tcacaatgtga >hg38_chr5:108101316-108101326(+) GAACAATGCCA >hg38_chr5:108109774-108109784(+) AAACAATGTAT >hg38_chr5:108110999-108111009(+) GGACAATGGCA >hg38_chr5:108119486-108119496(-) TAACAATGGGC >hg38_chr5:108127303-108127313(+) CTACAATGATG >hg38_chr5:108127330-108127340(-) GGACAATGACA >hg38_chr5:108149012-108149022(+) TAACAATAAAT >hg38_chr5:108160321-108160331(+) ATATAATGGCT >hg38_chr5:108179689-108179699(+) agacaatgaaa >hg38_chr5:108180742-108180752(-) TAACAAAGGCC >hg38_chr5:108205572-108205582(+) ctacaatgttt >hg38_chr5:108206511-108206521(-) gtacaatggag >hg38_chr5:108220436-108220446(-) ggacaatgatc >hg38_chr5:108220475-108220485(-) aaacaatggtt >hg38_chr5:108261568-108261578(+) acacaatagaa >hg38_chr5:108285758-108285768(+) AAACAGTGGAC >hg38_chr5:108285770-108285780(+) ttacaataacc >hg38_chr5:108285794-108285804(+) taataatggaa >hg38_chr5:108298202-108298212(+) ATACAATGCCC >hg38_chr5:108318241-108318251(+) GAACAATAATA >hg38_chr5:108334746-108334756(+) AAACAAAGGAC >hg38_chr5:108334760-108334770(-) TTACAATGTAT >hg38_chr5:108357485-108357495(+) atataatggac >hg38_chr5:108378864-108378874(+) gaacaaTCGCT >hg38_chr5:108407061-108407071(+) gaacaataaca >hg38_chr5:108432149-108432159(-) ttacaaaggct >hg38_chr5:108508964-108508974(+) GAACAATGAGG >hg38_chr5:108564800-108564810(-) AAACAATGGAT >hg38_chr5:108686815-108686825(+) ACACAAAGGCA >hg38_chr5:108697125-108697135(-) aaacaatgggc >hg38_chr5:108713457-108713467(-) TAATAATGGTA >hg38_chr5:108746317-108746327(+) gcacaatgact >hg38_chr5:108746381-108746391(+) GAACAATTGTA >hg38_chr5:108749089-108749099(+) CAACAATGCCT >hg38_chr5:108780701-108780711(-) aaacaataata >hg38_chr5:108788957-108788967(-) TGACAATGTCA >hg38_chr5:108788999-108789009(+) GTATAATGGCT >hg38_chr5:108801506-108801516(-) caacaatggac >hg38_chr5:108812726-108812736(-) acacaataaac >hg38_chr5:108813583-108813593(-) ccacaatgaaa >hg38_chr5:108847479-108847489(-) gaacaatagca >hg38_chr5:108847501-108847511(-) tgacaatgtag >hg38_chr5:108851125-108851135(-) atacaATGATG >hg38_chr5:108873038-108873048(-) GAACAATAGAA >hg38_chr5:108902612-108902622(-) acactatggtc >hg38_chr5:108907122-108907132(+) aaacaatatac >hg38_chr5:108946482-108946492(+) TTACAATATAC >hg38_chr5:108947008-108947018(+) atacaatgtga >hg38_chr5:108979917-108979927(+) ttacaatggga >hg38_chr5:108981135-108981145(+) ACACAATCGCT >hg38_chr5:109017855-109017865(-) CTACAATGCAT >hg38_chr5:109018539-109018549(+) ccacaatagtg >hg38_chr5:109023448-109023458(+) gaacaatgtgg >hg38_chr5:109083899-109083909(-) agacaaaggac >hg38_chr5:109085170-109085180(+) ttacaatatta >hg38_chr5:109085203-109085213(-) gaacaatgtat >hg38_chr5:109102782-109102792(-) AAACAATGTAT >hg38_chr5:109102820-109102830(-) ATACAATAGTA >hg38_chr5:109103393-109103403(-) AAACAATAAAA >hg38_chr5:109105934-109105944(+) AAACAATAGCg >hg38_chr5:109105946-109105956(-) aaacaatagta >hg38_chr5:109117266-109117276(-) ATACAATGATT >hg38_chr5:109117311-109117321(+) GAACAATAATT >hg38_chr5:109170599-109170609(-) gcacaatgcta >hg38_chr5:109191364-109191374(-) AAACAATGCTT >hg38_chr5:109193027-109193037(+) TAACAATAAAG >hg38_chr5:109302981-109302991(-) gaacaatggaa >hg38_chr5:109303048-109303058(-) gaacaataaca >hg38_chr5:109352153-109352163(+) CAACAATGTTT >hg38_chr5:109366712-109366722(+) acacaatgcct >hg38_chr5:109371482-109371492(+) GCACAATGGCT >hg38_chr5:109376041-109376051(-) GGATAATGGTT >hg38_chr5:109376122-109376132(+) AAACAATGATC >hg38_chr5:109387863-109387873(-) AGACAATGTAC >hg38_chr5:109401977-109401987(-) ttacaatgtat >hg38_chr5:109411834-109411844(-) aaacaatgact >hg38_chr5:109412124-109412134(+) ctacaatgaag >hg38_chr5:109412155-109412165(-) ATACaatacat >hg38_chr5:109413227-109413237(-) aaacaaaggca >hg38_chr5:109427750-109427760(-) TAATAATGGCC >hg38_chr5:109435821-109435831(+) gaacaaaggaa >hg38_chr5:109453881-109453891(+) TTACAAAGGTT >hg38_chr5:109455066-109455076(-) CAACAATGACA >hg38_chr5:109492133-109492143(-) TAACAATAACC >hg38_chr5:109534335-109534345(-) taacaatgtct >hg38_chr5:109547742-109547752(+) AGACAATGCAG >hg38_chr5:109555689-109555699(-) TGACAATGCAT >hg38_chr5:109598900-109598910(-) GAACAATGAAA >hg38_chr5:109612779-109612789(-) GGACAATGGCA >hg38_chr5:109655611-109655621(+) TAACAATGAAA >hg38_chr5:109655860-109655870(-) AAACAATGGAA >hg38_chr5:109719506-109719516(+) CTATAATGGGA >hg38_chr5:109740060-109740070(-) TAACAAAGGGC >hg38_chr5:109741613-109741623(-) GGACAATGAAA >hg38_chr5:109742136-109742146(-) GAACAATGAAT >hg38_chr5:109747623-109747633(+) TGACAATATAA >hg38_chr5:109749162-109749172(-) AAACAATGCAT >hg38_chr5:109791379-109791389(+) GAACAATGAAG >hg38_chr5:109853087-109853097(-) AAACAATGTCA >hg38_chr5:109857972-109857982(-) TCACAATAGGA >hg38_chr5:109857994-109858004(+) GAACAAAGGCA >hg38_chr5:109865766-109865776(-) AAACAATAGGC >hg38_chr5:109868382-109868392(+) AAATAATAGCG >hg38_chr5:109871010-109871020(+) TCACAATGCCT >hg38_chr5:109871043-109871053(+) TAACAAAGGGC >hg38_chr5:109881122-109881132(+) TCACTATGGTA >hg38_chr5:109895338-109895348(-) gaacaatggaa >hg38_chr5:109965882-109965892(-) AAACAATGATC >hg38_chr5:109987055-109987065(-) CAACAATGGAG >hg38_chr5:109996744-109996754(-) GAACAAAGGCA >hg38_chr5:109997489-109997499(+) GAACAATGAGG >hg38_chr5:110088749-110088759(-) GAACAATGACT >hg38_chr5:110088756-110088766(-) TAACAATGAAC >hg38_chr5:110229724-110229734(+) gaacaatatga >hg38_chr5:110258675-110258685(+) GTACAATAGCT >hg38_chr5:110262960-110262970(+) TCACAATAGAA >hg38_chr5:110268466-110268476(-) AGACAATGACA >hg38_chr5:110269938-110269948(-) TGACAATGTGC >hg38_chr5:110402769-110402779(+) CTACAATGAAT >hg38_chr5:110413970-110413980(+) acacaatggag >hg38_chr5:110417374-110417384(+) GAACAATAAGA >hg38_chr5:110426592-110426602(+) aaacaatggaa >hg38_chr5:110426656-110426666(-) acacaatgttt >hg38_chr5:110503973-110503983(-) ACACAAAGGGA >hg38_chr5:110590356-110590366(+) atacaatagga >hg38_chr5:110687050-110687060(-) agataatggca >hg38_chr5:110687074-110687084(+) atacaataact >hg38_chr5:110741156-110741166(-) ATACAATATTC >hg38_chr5:110899755-110899765(+) ttacaatagta >hg38_chr5:110899772-110899782(-) tcacaatgact >hg38_chr5:111020715-111020725(-) acacaatgtta >hg38_chr5:111064459-111064469(-) GAACAATCGTT >hg38_chr5:111068785-111068795(-) CCACAATAGGG >hg38_chr5:111092205-111092215(+) GAACAATGCTA >hg38_chr5:111109145-111109155(+) GGACAATGTAG >hg38_chr5:111117300-111117310(-) AAACAATGAAT >hg38_chr5:111124892-111124902(-) AAACAATAGTG >hg38_chr5:111127616-111127626(-) TTACAATGGAG >hg38_chr5:111130157-111130167(+) CGACAATACCG >hg38_chr5:111130403-111130413(+) GAACAATGAAT >hg38_chr5:111135490-111135500(+) GAACAAAGGGG >hg38_chr5:111203847-111203857(+) aaacaatgatt >hg38_chr5:111205209-111205219(-) tcacaatggaa >hg38_chr5:111205271-111205281(+) TAACAATGGTT >hg38_chr5:111205546-111205556(-) GGACAATGGCT >hg38_chr5:111208400-111208410(+) GAACAATAACT >hg38_chr5:111237119-111237129(+) gcacaatgcct >hg38_chr5:111274529-111274539(+) tgacaatgcca >hg38_chr5:111278200-111278210(+) GCACAATGCTC >hg38_chr5:111279134-111279144(-) AAATAATGGGT >hg38_chr5:111289759-111289769(-) tgataatggtg >hg38_chr5:111323583-111323593(+) agacaatggaa >hg38_chr5:111323720-111323730(-) tgacaatagat >hg38_chr5:111365188-111365198(+) GCACAATGGAC >hg38_chr5:111374796-111374806(+) CTACAATGAAG >hg38_chr5:111375325-111375335(+) GAACAATGTGA >hg38_chr5:111383469-111383479(+) agacaaagggc >hg38_chr5:111385398-111385408(-) ccacaataggc >hg38_chr5:111412534-111412544(+) ggacaatagag >hg38_chr5:111412564-111412574(-) ttacaatgcat >hg38_chr5:111457026-111457036(-) ATACAATGCAG >hg38_chr5:111461480-111461490(+) AAACAAAGGAC >hg38_chr5:111486935-111486945(-) AAACAATGAAA >hg38_chr5:111495791-111495801(+) GCACAATATAT >hg38_chr5:111496627-111496637(+) ATACAATGTTT >hg38_chr5:111508001-111508011(-) AGACAATGAGG >hg38_chr5:111551698-111551708(+) GGACAATAGCA >hg38_chr5:111551993-111552003(-) AGACAATGGGA >hg38_chr5:111601913-111601923(+) ttacaatggcc >hg38_chr5:111630552-111630562(+) AAACAATAACA >hg38_chr5:111643656-111643666(-) GAACTATGGTT >hg38_chr5:111658737-111658747(+) aaacaatacta >hg38_chr5:111739656-111739666(-) AGACAATGAAT >hg38_chr5:111743211-111743221(+) GAACAATAATA >hg38_chr5:111748395-111748405(-) ATACAATGAGT >hg38_chr5:111752645-111752655(+) GTACAATGGAG >hg38_chr5:111755669-111755679(+) GAACAATGAAG >hg38_chr5:111757471-111757481(+) GAACAATGAGC >hg38_chr5:111791999-111792009(+) gaacaaaggaa >hg38_chr5:111892264-111892274(+) ATACAATAGAG >hg38_chr5:111930895-111930905(+) tcacaatgaag >hg38_chr5:111932315-111932325(+) TCACAATATAC >hg38_chr5:111935205-111935215(+) TGACAATGGAA >hg38_chr5:111943256-111943266(-) TCACAATAGGC >hg38_chr5:111967110-111967120(+) ctacaatgcat >hg38_chr5:111967133-111967143(+) taacaataaaa >hg38_chr5:111969343-111969353(+) agacaatgaca >hg38_chr5:111983143-111983153(-) ATACAATAAAC >hg38_chr5:111984516-111984526(+) AAACAATAACA >hg38_chr5:111996975-111996985(+) AAACAAAGGAA >hg38_chr5:111996983-111996993(+) GAACAAAGGAA >hg38_chr5:112007868-112007878(-) TTATAATGGAA >hg38_chr5:112012211-112012221(+) AGACAATGTCT >hg38_chr5:112018311-112018321(+) TGACAATAGAT >hg38_chr5:112051771-112051781(+) agacaaagacg >hg38_chr5:112051785-112051795(+) atataatggta >hg38_chr5:112060595-112060605(+) AAACAATCGTT >hg38_chr5:112061503-112061513(-) CTACAGTGGTA >hg38_chr5:112080147-112080157(-) caacaatgtat >hg38_chr5:112080204-112080214(-) gtacaatagta >hg38_chr5:112083313-112083323(+) aaacaatatac >hg38_chr5:112083352-112083362(-) caacaatgttt >hg38_chr5:112114456-112114466(-) GAACAATGTAA >hg38_chr5:112114927-112114937(+) GAACAATGGCC >hg38_chr5:112146128-112146138(-) ctacaatagtg >hg38_chr5:112148615-112148625(-) TTACAATGAAT >hg38_chr5:112171717-112171727(-) CTACAATGGGA >hg38_chr5:112204397-112204407(-) TGACAATGATT >hg38_chr5:112205402-112205412(+) AAACAATGATT >hg38_chr5:112209239-112209249(-) CAACAATGAGC >hg38_chr5:112226436-112226446(-) AGACAATAGGA >hg38_chr5:112238382-112238392(+) ATACAATGACA >hg38_chr5:112238561-112238571(-) GGACAATAGTC >hg38_chr5:112250826-112250836(+) ATACAAAGGCA >hg38_chr5:112257166-112257176(-) ACATAATGGTT >hg38_chr5:112258962-112258972(+) CAACAATGATC >hg38_chr5:112277229-112277239(+) GCACAAAGGGA >hg38_chr5:112342595-112342605(-) GAACAATAGAC >hg38_chr5:112354165-112354175(+) atacaaaggtg >hg38_chr5:112398067-112398077(+) ATACAATGATG >hg38_chr5:112420423-112420433(+) GCACAATAGCT >hg38_chr5:112458736-112458746(-) atacaatgcat >hg38_chr5:112459303-112459313(-) atacaatgtcc >hg38_chr5:112459348-112459358(+) ctacaatgctc >hg38_chr5:112498227-112498237(-) ggacaatgaga >hg38_chr5:112573813-112573823(+) ACACAATCGCA >hg38_chr5:112574665-112574675(+) AAACAATGTTA >hg38_chr5:112574737-112574747(-) TCACAATGAAT >hg38_chr5:112625929-112625939(-) AAACAAAGGCG >hg38_chr5:112664222-112664232(+) caacaatgatg >hg38_chr5:112669755-112669765(+) TGACAATACGA >hg38_chr5:112735829-112735839(+) ATATAATGGTC >hg38_chr5:112736378-112736388(-) TTACAATGCCT >hg38_chr5:112751240-112751250(+) gtacaatatag >hg38_chr5:112751808-112751818(+) ATAGAATGGTA >hg38_chr5:112751837-112751847(-) aaacactggaT >hg38_chr5:112752250-112752260(+) AAACAATAAAA >hg38_chr5:112756384-112756394(+) AAACTATGGGT >hg38_chr5:112788851-112788861(-) gaacaatatga >hg38_chr5:112796225-112796235(+) TAACAATAAAG >hg38_chr5:112797660-112797670(+) GGACAATGCAG >hg38_chr5:112802345-112802355(+) GGACAAAGGCA >hg38_chr5:112826356-112826366(-) ACACAAAGGAA >hg38_chr5:112826381-112826391(-) AAACAAAGGTA >hg38_chr5:112826403-112826413(-) CAACAATAGGA >hg38_chr5:112826413-112826423(-) CCACAATGGCC >hg38_chr5:112826422-112826432(-) AAACAATGCCC >hg38_chr5:112832337-112832347(+) TCACAATGTAA >hg38_chr5:112832381-112832391(+) GGACAAAGGCA >hg38_chr5:112848320-112848330(+) TAACAAAGGAC >hg38_chr5:112856479-112856489(+) GTACAATATCT >hg38_chr5:112910647-112910657(-) gtacaatggag >hg38_chr5:112994979-112994989(+) CAACAATGTTT >hg38_chr5:112997278-112997288(-) CGACAATATCT >hg38_chr5:113004409-113004419(-) TTACAATACGC >hg38_chr5:113004428-113004438(-) CAACAATGAAA >hg38_chr5:113008440-113008450(-) TGACAATAGCA >hg38_chr5:113017649-113017659(-) TAACAATAATC >hg38_chr5:113045889-113045899(+) ggacaatagca >hg38_chr5:113069900-113069910(-) GGACAATGAAA >hg38_chr5:113069925-113069935(+) AGACAAAGGGC >hg38_chr5:113081230-113081240(+) TGAcaatggag >hg38_chr5:113133496-113133506(-) acacaatagaa >hg38_chr5:113133559-113133569(-) tcacaatggcc >hg38_chr5:113137482-113137492(-) acacaatatac >hg38_chr5:113150137-113150147(+) agacaaaggaa >hg38_chr5:113152978-113152988(-) ATACAACGGGA >hg38_chr5:113204641-113204651(+) AGACAATGCTC >hg38_chr5:113219251-113219261(-) AAAcaatagct >hg38_chr5:113245875-113245885(+) CAACAATGTAA >hg38_chr5:113259265-113259275(-) TAACAATGGGG >hg38_chr5:113271137-113271147(-) AAACAAAGGCC >hg38_chr5:113283258-113283268(+) GGACAAAGGAG >hg38_chr5:113283676-113283686(-) GGACAATACGC >hg38_chr5:113390272-113390282(-) TAACAATAACG >hg38_chr5:113390720-113390730(+) CCACAATGATA >hg38_chr5:113398158-113398168(-) taacaataacc >hg38_chr5:113423110-113423120(-) gaacaaaggca >hg38_chr5:113428046-113428056(+) GTACAATGGGG >hg38_chr5:113513713-113513723(+) TATCAATGGCG >hg38_chr5:113526620-113526630(+) CAACAATGGCA >hg38_chr5:113540375-113540385(+) GAACAATAGGT >hg38_chr5:113547426-113547436(-) atataatggca >hg38_chr5:113549800-113549810(-) agacaataaat >hg38_chr5:113555487-113555497(+) TAACAATGGTT >hg38_chr5:113555510-113555520(-) TTACAATGAAG >hg38_chr5:113579364-113579374(-) TCACAATGCAA >hg38_chr5:113579580-113579590(+) GGACAGTGGAT >hg38_chr5:113585863-113585873(+) agactatggtt >hg38_chr5:113586289-113586299(-) acacaatggct >hg38_chr5:113592459-113592469(-) ACACAATAGAA >hg38_chr5:113604414-113604424(-) AGACAATGGTG >hg38_chr5:113612178-113612188(-) GTACAATATCA >hg38_chr5:113628686-113628696(+) TGACAATGAGG >hg38_chr5:113635203-113635213(-) aaacaatgagc >hg38_chr5:113642196-113642206(+) agacaatggga >hg38_chr5:113660229-113660239(-) ATACAAAGATA >hg38_chr5:113663131-113663141(-) AGACAATGGAC >hg38_chr5:113678332-113678342(+) CAACAATGCTA >hg38_chr5:113700459-113700469(+) taacaatgtga >hg38_chr5:113757677-113757687(-) ggacaaaggac >hg38_chr5:113806630-113806640(-) CTACAATGAGC >hg38_chr5:113823719-113823729(-) tgacaatagcA >hg38_chr5:113902548-113902558(+) gtaccatggaa >hg38_chr5:113902601-113902611(+) ttacaatggga >hg38_chr5:113938237-113938247(+) TAACAGTGGAG >hg38_chr5:113958556-113958566(+) TTACAATGGGC >hg38_chr5:113989763-113989773(-) ACACAAAGGAT >hg38_chr5:113990798-113990808(-) ccacaatagcc >hg38_chr5:114017673-114017683(-) GAACAATAGAA >hg38_chr5:114018296-114018306(-) TCACAAAGGAC >hg38_chr5:114018369-114018379(+) AGACAAAGGGA >hg38_chr5:114122125-114122135(+) gcacaatgaat >hg38_chr5:114122152-114122162(+) taataatgtaa >hg38_chr5:114152479-114152489(+) GTACAATAGGT >hg38_chr5:114152542-114152552(-) gtACAATAAAA >hg38_chr5:114176593-114176603(-) TAATAATGATA >hg38_chr5:114178028-114178038(+) AGACAATGAAC >hg38_chr5:114184047-114184057(-) gaacactggac >hg38_chr5:114192101-114192111(-) GAACAATGTCC >hg38_chr5:114194944-114194954(-) aaacaatagtc >hg38_chr5:114194974-114194984(-) gcacaatggtg >hg38_chr5:114205562-114205572(+) AAACAATAGAA >hg38_chr5:114242303-114242313(+) caacaATAGCA >hg38_chr5:114263860-114263870(-) GGACAATAGCC >hg38_chr5:114265596-114265606(-) atacaaaggct >hg38_chr5:114308198-114308208(+) CAACAATGGGA >hg38_chr5:114308250-114308260(-) TGACAATGGGC >hg38_chr5:114312146-114312156(-) TTACAATGGCC >hg38_chr5:114336385-114336395(-) ATACAATACTC >hg38_chr5:114361974-114361984(-) AAACAATGGGC >hg38_chr5:114383970-114383980(-) gtataatgtac >hg38_chr5:114383979-114383989(-) agacaatgtgt >hg38_chr5:114383998-114384008(-) CTACAAtgtag >hg38_chr5:114396079-114396089(-) gtacaaagggc >hg38_chr5:114408644-114408654(+) TAACAATGTAA >hg38_chr5:114408652-114408662(+) TAACAATGAGG >hg38_chr5:114408677-114408687(+) TGACAATATAT >hg38_chr5:114427960-114427970(+) TCATAATGGGC >hg38_chr5:114429267-114429277(+) atacaatgaga >hg38_chr5:114515117-114515127(-) taacaatgggt >hg38_chr5:114630956-114630966(-) TAACTATGGCT >hg38_chr5:114710685-114710695(-) taacaataacc >hg38_chr5:114789889-114789899(-) GTACAATGGAG >hg38_chr5:114789909-114789919(+) TTACAATAAAA >hg38_chr5:114805076-114805086(-) TGACAATGGGG >hg38_chr5:114924762-114924772(-) taacaatatta >hg38_chr5:115022427-115022437(-) TAACAATAGGC >hg38_chr5:115028764-115028774(+) ACACAATGAGT >hg38_chr5:115030175-115030185(-) CTACAAAGGAC >hg38_chr5:115069440-115069450(-) AAACAAAGGGT >hg38_chr5:115071196-115071206(+) AAACAATGCCT >hg38_chr5:115071211-115071221(+) TGACAATATAT >hg38_chr5:115135203-115135213(+) GAACAATACGC >hg38_chr5:115137442-115137452(-) GAACAATGGAC >hg38_chr5:115141796-115141806(+) acacaATAGGA >hg38_chr5:115235741-115235751(-) TAACAGTGGAT >hg38_chr5:115266487-115266497(+) TAACAATGAAA >hg38_chr5:115266507-115266517(+) AAACAATGAAA >hg38_chr5:115277208-115277218(-) GAACAAAGGTA >hg38_chr5:115325509-115325519(+) ACACAACGGCT >hg38_chr5:115342335-115342345(-) agacaatagag >hg38_chr5:115349540-115349550(-) AAACAATGAGT >hg38_chr5:115372475-115372485(-) GAACAATGGCA >hg38_chr5:115381458-115381468(+) TAACAATAATA >hg38_chr5:115389930-115389940(+) GGACAATGAAC >hg38_chr5:115415282-115415292(-) ACACAATAGCA >hg38_chr5:115420104-115420114(+) taacaatgaag >hg38_chr5:115520234-115520244(+) ATACAATATTC >hg38_chr5:115520963-115520973(-) ACACAATGGAA >hg38_chr5:115521022-115521032(+) ACACAATGGGA >hg38_chr5:115542244-115542254(+) GGACAAAGGTG >hg38_chr5:115609469-115609479(+) ggacaatagaa >hg38_chr5:115626818-115626828(+) taacaataaca >hg38_chr5:115636769-115636779(+) agacaataaac >hg38_chr5:115642161-115642171(+) TCACAATAGCT >hg38_chr5:115673468-115673478(-) gcacaatgaga >hg38_chr5:115686049-115686059(+) GGATAATGGGA >hg38_chr5:115701798-115701808(+) ttacaatgttt >hg38_chr5:115732553-115732563(-) ATATAATGGTG >hg38_chr5:115737766-115737776(+) TTACAATGTAT >hg38_chr5:115817849-115817859(-) GAACAATAGGT >hg38_chr5:115832189-115832199(+) TTACAATGACT >hg38_chr5:115850092-115850102(-) GTACAATAGAG >hg38_chr5:115850863-115850873(-) taacaatggcc >hg38_chr5:115850985-115850995(-) caacaatgtta >hg38_chr5:115890372-115890382(+) taacaatgaat >hg38_chr5:115913134-115913144(-) TAACAATGTAA >hg38_chr5:115933656-115933666(+) ATACAAAGGAT >hg38_chr5:115933710-115933720(-) ATACAATAAAT >hg38_chr5:115954426-115954436(+) aaacaaaggcc >hg38_chr5:116013301-116013311(+) GGACAATGGTA >hg38_chr5:116026917-116026927(+) AAACAATGATG >hg38_chr5:116026933-116026943(+) AGACAATAGCA >hg38_chr5:116032238-116032248(+) gtacaataagg >hg38_chr5:116058714-116058724(-) CTACAAAGGAA >hg38_chr5:116068914-116068924(+) TAACAATGCCT >hg38_chr5:116088557-116088567(-) ctacaatggga >hg38_chr5:116088611-116088621(+) ttacactggat >hg38_chr5:116097298-116097308(+) ATATaatgata >hg38_chr5:116136929-116136939(-) GTACAATGCCA >hg38_chr5:116136969-116136979(+) AAACAATAGAC >hg38_chr5:116152316-116152326(-) CTACAATAGAA >hg38_chr5:116156349-116156359(+) gaacaatagta >hg38_chr5:116175396-116175406(+) gaataatgggt >hg38_chr5:116214060-116214070(+) AAACAATGGTT >hg38_chr5:116285683-116285693(-) ctacaatgcaa >hg38_chr5:116293204-116293214(-) GAATAATGGTG >hg38_chr5:116337638-116337648(+) ttacaatgaca >hg38_chr5:116361308-116361318(+) GAACAATGGCC >hg38_chr5:116365238-116365248(+) GCACAATGCAG >hg38_chr5:116377459-116377469(-) AAACAATAGCA >hg38_chr5:116444550-116444560(-) GAACAAAGGAA >hg38_chr5:116449686-116449696(+) tgacaaaggat >hg38_chr5:116488660-116488670(-) CAACAATAGAA >hg38_chr5:116495157-116495167(+) GAACAATAGAG >hg38_chr5:116536760-116536770(-) GGACAATGCAG >hg38_chr5:116536804-116536814(+) GCACAATGCAA >hg38_chr5:116553626-116553636(+) AAACAATGGAC >hg38_chr5:116561542-116561552(-) GGACAATGCAT >hg38_chr5:116561604-116561614(+) TAACAATGTGA >hg38_chr5:116575670-116575680(-) TAACAATAGCG >hg38_chr5:116582161-116582171(-) GTACAGTGGAA >hg38_chr5:116605645-116605655(+) gcacaatgtag >hg38_chr5:116613521-116613531(+) TTACAATAGGC >hg38_chr5:116621841-116621851(+) CAACAATGGCC >hg38_chr5:116652506-116652516(+) ACACAATGCGG >hg38_chr5:116653242-116653252(-) CGACAATAAGA >hg38_chr5:116653570-116653580(-) AAACAATGAGT >hg38_chr5:116704101-116704111(+) aaaCAACGGAG >hg38_chr5:116738355-116738365(+) CCACAATAGTG >hg38_chr5:116755930-116755940(+) agacaatgggg >hg38_chr5:116815267-116815277(+) GAACAATAGAA >hg38_chr5:116824993-116825003(+) tgacaatggga >hg38_chr5:116825010-116825020(+) ctacaatgcat >hg38_chr5:116825071-116825081(-) atacaatgtgg >hg38_chr5:116948254-116948264(-) gaacaaaggag >hg38_chr5:116953398-116953408(+) TGACAATGGGC >hg38_chr5:116953433-116953443(-) AAACTATGGCA >hg38_chr5:116953450-116953460(+) ATACAATGACT >hg38_chr5:116990178-116990188(-) GTACAATGCAG >hg38_chr5:116990185-116990195(+) GTACAATGCTA >hg38_chr5:116992264-116992274(-) TTACAATGCCA >hg38_chr5:117018481-117018491(-) TCACAATGAAC >hg38_chr5:117018530-117018540(+) ACACAAAGGAA >hg38_chr5:117020296-117020306(+) TAACAATGAGC >hg38_chr5:117042406-117042416(-) TAACAATGAGA >hg38_chr5:117083015-117083025(+) GAACAATAGCT >hg38_chr5:117102645-117102655(-) agacaatgttg >hg38_chr5:117104948-117104958(+) GAACAATGGTT >hg38_chr5:117171454-117171464(+) TAACAATGAAA >hg38_chr5:117171467-117171477(+) AAACAATGAGG >hg38_chr5:117246419-117246429(-) TAACAATGGGA >hg38_chr5:117249627-117249637(-) GAACAATGGAA >hg38_chr5:117249653-117249663(-) AAACAATGCAT >hg38_chr5:117273784-117273794(-) aaacaataaga >hg38_chr5:117303024-117303034(+) TAACAATGTCA >hg38_chr5:117335142-117335152(-) taacaataggc >hg38_chr5:117335159-117335169(-) gaacaatggag >hg38_chr5:117364634-117364644(+) gaacaatatat >hg38_chr5:117411596-117411606(-) TCACAAAGGAT >hg38_chr5:117415136-117415146(+) gaacaatgctt >hg38_chr5:117415153-117415163(+) gaacaatgcat >hg38_chr5:117433958-117433968(+) CTACAATGAAG >hg38_chr5:117437884-117437894(+) TAACAAAGGAT >hg38_chr5:117446475-117446485(-) gtacaaaggac >hg38_chr5:117473231-117473241(-) CGACAATAAAA >hg38_chr5:117475171-117475181(+) GTACAATGTAA >hg38_chr5:117517715-117517725(-) ATACTATGGCA >hg38_chr5:117574214-117574224(+) GAACAAAGGCA >hg38_chr5:117587305-117587315(+) ctacaatggac >hg38_chr5:117613902-117613912(-) gaacaatgtta >hg38_chr5:117613910-117613920(-) agacaatagaa >hg38_chr5:117613945-117613955(-) ATACAATAGAA >hg38_chr5:117631879-117631889(-) gaacaaaggaa >hg38_chr5:117636591-117636601(-) CAACAATGCCT >hg38_chr5:117782756-117782766(+) GAACAATGGAA >hg38_chr5:117800913-117800923(+) ttacaatggtc >hg38_chr5:117804830-117804840(+) GAACAATGCAA >hg38_chr5:117881007-117881017(+) AAACAATGGGG >hg38_chr5:117882030-117882040(-) CCACAATGGCA >hg38_chr5:117925929-117925939(-) aaacaatatta >hg38_chr5:118014424-118014434(-) atacaatgtgc >hg38_chr5:118024953-118024963(+) TAACAAAGGAA >hg38_chr5:118044374-118044384(-) AGACAATAGGG >hg38_chr5:118057946-118057956(+) aaacaataggt >hg38_chr5:118097941-118097951(+) aaacaaaggct >hg38_chr5:118137240-118137250(-) caacaaaggac >hg38_chr5:118253568-118253578(+) GAACAATGGTT >hg38_chr5:118253578-118253588(-) GGATAATGGAA >hg38_chr5:118309567-118309577(-) AAACAATGATA >hg38_chr5:118346135-118346145(+) GAACAAAGGCT >hg38_chr5:118347743-118347753(-) tgacaaaggac >hg38_chr5:118347808-118347818(+) taacaatgtct >hg38_chr5:118355014-118355024(+) AAACAATGAGA >hg38_chr5:118357591-118357601(-) ATACAAAGGCT >hg38_chr5:118451212-118451222(-) GGACAATGTCT >hg38_chr5:118461349-118461359(+) AAACAAAGGGA >hg38_chr5:118549636-118549646(+) AGACAATGTTT >hg38_chr5:118550094-118550104(+) TAATAATGGAC >hg38_chr5:118556292-118556302(-) TTACAATAGCT >hg38_chr5:118556335-118556345(+) TAACAATGCAA >hg38_chr5:118608294-118608304(-) GGACAATGTAT >hg38_chr5:118611604-118611614(+) AAACAATGACA >hg38_chr5:118701857-118701867(-) TGACAATGATA >hg38_chr5:118733522-118733532(+) agacaatgggg >hg38_chr5:118789022-118789032(-) TCACAATGGCA >hg38_chr5:118792018-118792028(-) TTACAATGAAT >hg38_chr5:118800208-118800218(-) ACACAGTGGTA >hg38_chr5:118822659-118822669(-) GAACAATAGTA >hg38_chr5:118824350-118824360(-) TGACAATGTAT >hg38_chr5:118858086-118858096(-) taacaataagc >hg38_chr5:118869715-118869725(-) TAACAAAGGCT >hg38_chr5:118882874-118882884(-) agacaatgggg >hg38_chr5:118897644-118897654(+) caacaATAGCC >hg38_chr5:118930590-118930600(+) taacaaaggcc >hg38_chr5:119019785-119019795(-) ATATAATGGCA >hg38_chr5:119074794-119074804(-) tcacaatgaag >hg38_chr5:119079746-119079756(-) gtacaaaggag >hg38_chr5:119087497-119087507(-) ctacaatggaa >hg38_chr5:119088440-119088450(-) acacaatgtaa >hg38_chr5:119093544-119093554(+) acacaatgata >hg38_chr5:119106054-119106064(-) gaacaatagac >hg38_chr5:119160590-119160600(-) caacaaaggac >hg38_chr5:119171875-119171885(-) CAATAATGGAT >hg38_chr5:119234650-119234660(-) GTACAATAGAA >hg38_chr5:119249627-119249637(+) CAACAATGGAA >hg38_chr5:119269228-119269238(-) TTACAAAGGGA >hg38_chr5:119279142-119279152(-) taacaatagta >hg38_chr5:119285735-119285745(-) gaacaatgctg >hg38_chr5:119290708-119290718(+) TAATAATGGAA >hg38_chr5:119332941-119332951(-) GGACAATGTTG >hg38_chr5:119405208-119405218(+) TGACAATAGAG >hg38_chr5:119411384-119411394(-) AGACAATAGAA >hg38_chr5:119411410-119411420(-) ACACAATGGGA >hg38_chr5:119412429-119412439(-) GAACAATGGAT >hg38_chr5:119452947-119452957(-) TAACAATCGAT >hg38_chr5:119459088-119459098(+) taataatggta >hg38_chr5:119470582-119470592(+) ccacaatggga >hg38_chr5:119471062-119471072(+) AAACAATGCTT >hg38_chr5:119476512-119476522(-) AAACAATGATA >hg38_chr5:119523466-119523476(+) GAACAATGCTT >hg38_chr5:119528761-119528771(+) ATATAATGTAT >hg38_chr5:119529467-119529477(-) GCACAATAGCC >hg38_chr5:119581497-119581507(-) TTACAATGTCA >hg38_chr5:119583256-119583266(-) gtacaatggga >hg38_chr5:119610336-119610346(+) GAACAATGCAG >hg38_chr5:119631106-119631116(+) TGATAATGGCA >hg38_chr5:119659822-119659832(-) GCACAATGCTA >hg38_chr5:119677045-119677055(-) aaacaataaaa >hg38_chr5:119743546-119743556(-) CAACAATGCCC >hg38_chr5:119743563-119743573(-) ACATAATGGAG >hg38_chr5:119817210-119817220(+) TTACAGTGGAC >hg38_chr5:119821848-119821858(+) GTACAAAGGAC >hg38_chr5:119901114-119901124(+) ttacaatgagc >hg38_chr5:119901148-119901158(-) tgacaatgtat >hg38_chr5:119901174-119901184(-) aaataatggca >hg38_chr5:119915812-119915822(-) AAACAATAAAC >hg38_chr5:119916220-119916230(+) GTACAATGCCT >hg38_chr5:119917236-119917246(+) AGACAATGCCC >hg38_chr5:119922333-119922343(-) taacaataaag >hg38_chr5:119941238-119941248(-) AGACAATAGCT >hg38_chr5:120004821-120004831(+) AGACAATGGCA >hg38_chr5:120006073-120006083(+) TAACAAAGGGT >hg38_chr5:120020069-120020079(+) ccacaatgcaa >hg38_chr5:120043870-120043880(+) AAACAATAGAA >hg38_chr5:120084359-120084369(-) aaacaatgctt >hg38_chr5:120122908-120122918(+) ATACAAAGGTG >hg38_chr5:120122947-120122957(-) TTACAATGTCA >hg38_chr5:120140495-120140505(+) AGATAATGGTC >hg38_chr5:120141208-120141218(-) TCACAATAGAT >hg38_chr5:120152394-120152404(-) acacaatgggc >hg38_chr5:120152408-120152418(-) gaacaatgcct >hg38_chr5:120152688-120152698(-) ggacaaaggaa >hg38_chr5:120152695-120152705(-) atacactggac >hg38_chr5:120153850-120153860(-) TAACTATGGCC >hg38_chr5:120168956-120168966(-) gaacaatggaa >hg38_chr5:120175217-120175227(+) gaacaatatca >hg38_chr5:120238703-120238713(-) TAACAATAGCA >hg38_chr5:120238730-120238740(-) CAACAATAGAA >hg38_chr5:120254358-120254368(-) GGACAGTGGAA >hg38_chr5:120254381-120254391(+) CAACAATGGGC >hg38_chr5:120254593-120254603(+) ACACAATGTAG >hg38_chr5:120278096-120278106(-) AAACAATGGCA >hg38_chr5:120314312-120314322(+) GGACAATGGGT >hg38_chr5:120346018-120346028(+) gtacaatgtaa >hg38_chr5:120416192-120416202(-) acacaatagtg >hg38_chr5:120418067-120418077(-) AAACAATAGTA >hg38_chr5:120419020-120419030(-) ATATAATGTAT >hg38_chr5:120419032-120419042(-) TAACAATGCAG >hg38_chr5:120445370-120445380(-) ATACAATGTGA >hg38_chr5:120445442-120445452(-) ATACAAAGGGC >hg38_chr5:120445672-120445682(+) TAAGAATGGTA >hg38_chr5:120445730-120445740(-) atacaataaaa >hg38_chr5:120446301-120446311(+) ggacaaaggga >hg38_chr5:120473579-120473589(-) atacaatgctt >hg38_chr5:120476682-120476692(-) aaacaatgatg >hg38_chr5:120503499-120503509(-) ACACAATAGGT >hg38_chr5:120508570-120508580(+) GAACAATGGTG >hg38_chr5:120512233-120512243(-) GGACAATAGGT >hg38_chr5:120518718-120518728(+) gaacaacgggt >hg38_chr5:120554520-120554530(+) TAACAATAAAA >hg38_chr5:120560175-120560185(+) gaataatggtg >hg38_chr5:120599095-120599105(-) agacaataata >hg38_chr5:120653923-120653933(+) AGACtatggca >hg38_chr5:120676686-120676696(+) GAACAATGTGG >hg38_chr5:120703499-120703509(-) AAACAATGCTG >hg38_chr5:120704051-120704061(-) TGACAATGGAA >hg38_chr5:120762628-120762638(-) aaacaatgccc >hg38_chr5:120773844-120773854(-) AAACAATGCAT >hg38_chr5:120775349-120775359(+) aaacaataata >hg38_chr5:120782065-120782075(-) GAACAATGTGC >hg38_chr5:120800861-120800871(-) CCACAATGATT >hg38_chr5:120843458-120843468(+) TAACAATGCCA >hg38_chr5:120843505-120843515(-) AGACAATAGAA >hg38_chr5:120858618-120858628(+) TTACAATGGCA >hg38_chr5:120896070-120896080(+) aaacaatagga >hg38_chr5:120913576-120913586(-) taacaatgtgg >hg38_chr5:120939348-120939358(-) ATACAAAGGAT >hg38_chr5:120942705-120942715(-) AAACAATGAGC >hg38_chr5:120988105-120988115(+) CAACAATGGAG >hg38_chr5:120989281-120989291(+) TTACAATAAAA >hg38_chr5:120989610-120989620(-) GAACAATGCAG >hg38_chr5:121020949-121020959(+) ATACATTGGTA >hg38_chr5:121032400-121032410(+) ggacaatgaca >hg38_chr5:121095925-121095935(+) taacaatagga >hg38_chr5:121097033-121097043(+) taacaatagca >hg38_chr5:121097042-121097052(+) caacaatgtgt >hg38_chr5:121097960-121097970(+) caacaatagca >hg38_chr5:121143006-121143016(+) AGACAATGCTA >hg38_chr5:121159809-121159819(-) ACACAATGGCA >hg38_chr5:121159827-121159837(+) ATACACTGGGC >hg38_chr5:121230327-121230337(+) taacaatagca >hg38_chr5:121231328-121231338(+) tgacaatagac >hg38_chr5:121252175-121252185(+) GAACAAAGGGG >hg38_chr5:121396566-121396576(+) gaacaatagca >hg38_chr5:121421644-121421654(+) tcacaatggac >hg38_chr5:121454767-121454777(-) ACACAAAGGGT >hg38_chr5:121622606-121622616(+) gaacaatggta >hg38_chr5:121622614-121622624(+) gtacaatggct >hg38_chr5:121628807-121628817(+) aaacaatggaa >hg38_chr5:121686654-121686664(-) acacaaaggga >hg38_chr5:121689103-121689113(+) AAACAATAGGA >hg38_chr5:121694306-121694316(-) aaactatggcc >hg38_chr5:121694321-121694331(+) taacaATGGTT >hg38_chr5:121702971-121702981(-) GGACAATGGCA >hg38_chr5:121719444-121719454(+) agataatggct >hg38_chr5:121719515-121719525(+) ggacaatataa >hg38_chr5:121768386-121768396(-) GTATAATGGAA >hg38_chr5:121781783-121781793(-) AGATAATGGTT >hg38_chr5:121785385-121785395(-) GGACAATGCAT >hg38_chr5:121813749-121813759(+) AGACAATGAAG >hg38_chr5:121834103-121834113(+) GAACAATGGCT >hg38_chr5:121900425-121900435(+) GAACAATGGTC >hg38_chr5:121923751-121923761(-) AAACAATAAAT >hg38_chr5:121923785-121923795(+) TTACAATGCAG >hg38_chr5:121950983-121950993(-) acacaatagta >hg38_chr5:121969238-121969248(-) ttacaatgtga >hg38_chr5:121972136-121972146(+) tgacaatgcta >hg38_chr5:121987155-121987165(+) GGATAATGGTA >hg38_chr5:121987166-121987176(+) ATACAATGGAG >hg38_chr5:122000231-122000241(-) gcacaatagaa >hg38_chr5:122000239-122000249(-) caacaatggca >hg38_chr5:122005718-122005728(-) gaacaaaggag >hg38_chr5:122022174-122022184(+) TAACACTGGAT >hg38_chr5:122022192-122022202(-) AAACAAAGGTT >hg38_chr5:122062512-122062522(+) AGACAAAGGAA >hg38_chr5:122069296-122069306(-) CAACAATGAGA >hg38_chr5:122075613-122075623(-) ATATAATGGAT >hg38_chr5:122076057-122076067(+) AAACAATGGCA >hg38_chr5:122081791-122081801(-) ACACAATGTGT >hg38_chr5:122082462-122082472(+) ACACAAAGGAG >hg38_chr5:122089098-122089108(+) GTACAATAACT >hg38_chr5:122089098-122089108(+) GTACAATAACT >hg38_chr5:122132189-122132199(-) atacaatagaa >hg38_chr5:122182199-122182209(+) AAACAATGTCT >hg38_chr5:122214572-122214582(+) acacaaaggaa >hg38_chr5:122296838-122296848(+) AGACAAAGGTA >hg38_chr5:122296865-122296875(+) GAACAATGCTG >hg38_chr5:122298621-122298631(+) GGACAATAGAC >hg38_chr5:122333467-122333477(-) GTACAAAGGAC >hg38_chr5:122347456-122347466(+) TAACAATAGTG >hg38_chr5:122392504-122392514(-) CCACAATGGCT >hg38_chr5:122401106-122401116(-) GGACAATGGCA >hg38_chr5:122415655-122415665(-) GGACAAAGGAC >hg38_chr5:122424395-122424405(-) GAACAATGTAG >hg38_chr5:122430084-122430094(-) GGATAATGGAA >hg38_chr5:122463310-122463320(-) AAACAATGAAA >hg38_chr5:122466189-122466199(-) TTACAATGGAG >hg38_chr5:122482744-122482754(+) agacaataaga >hg38_chr5:122487854-122487864(+) GTACAATAAAT >hg38_chr5:122519375-122519385(-) gaacaatagaa >hg38_chr5:122531916-122531926(+) tcacaatagag >hg38_chr5:122535067-122535077(-) atacaatgaaa >hg38_chr5:122571555-122571565(-) AAACAATGGAC >hg38_chr5:122613695-122613705(-) AGACAATGTCT >hg38_chr5:122650406-122650416(+) TATCAATGGTA >hg38_chr5:122654069-122654079(-) GAACAAAGGAA >hg38_chr5:122727412-122727422(-) TAACAATGCAC >hg38_chr5:122736921-122736931(-) TTACAATGGGA >hg38_chr5:122751721-122751731(-) caacaatggaa >hg38_chr5:122779598-122779608(-) tcacaatagct >hg38_chr5:122788647-122788657(-) gaataatgggt >hg38_chr5:122802556-122802566(+) gtataatggag >hg38_chr5:122807741-122807751(-) TTACAATGATT >hg38_chr5:122812585-122812595(-) gaacaatgggg >hg38_chr5:122832574-122832584(-) ATACAATGAAT >hg38_chr5:122832609-122832619(-) CGACAAAGGAC >hg38_chr5:122833198-122833208(+) TAACAATGAAA >hg38_chr5:122833211-122833221(+) AGATAATGGGT >hg38_chr5:122833607-122833617(+) tgataatggac >hg38_chr5:122833629-122833639(+) AGACAATGCCT >hg38_chr5:122955345-122955355(-) ggacaatgatt >hg38_chr5:123033245-123033255(+) GGACTATGGAC >hg38_chr5:123170863-123170873(-) AAACAACGGAG >hg38_chr5:123175164-123175174(+) TCACAATGGAT >hg38_chr5:123178843-123178853(-) AGACAATGGTG >hg38_chr5:123215993-123216003(+) tgacaataggc >hg38_chr5:123216067-123216077(-) acacaatgaaa >hg38_chr5:123220728-123220738(-) tgataatggcc >hg38_chr5:123244180-123244190(-) TAACAATGGTC >hg38_chr5:123282642-123282652(+) ACATAATGGCC >hg38_chr5:123282685-123282695(+) GAACAATGCAT >hg38_chr5:123298093-123298103(+) gcacaatggcc >hg38_chr5:123345042-123345052(+) ACACAATGCAA >hg38_chr5:123350549-123350559(+) ATACAATGAAC >hg38_chr5:123350565-123350575(+) ATACAATGAAC >hg38_chr5:123350857-123350867(+) acataatggat >hg38_chr5:123350920-123350930(+) aaacaatgctg >hg38_chr5:123351314-123351324(-) aaacaatgtta >hg38_chr5:123351330-123351340(-) gaacaatgagt >hg38_chr5:123351356-123351366(-) taataatgtaa >hg38_chr5:123406128-123406138(+) tgacaatagga >hg38_chr5:123411879-123411889(-) ctacaatgcat >hg38_chr5:123423397-123423407(+) GGACAACGGGT >hg38_chr5:123449700-123449710(-) gaacaaaggtt >hg38_chr5:123464610-123464620(-) gcacaatgggg >hg38_chr5:123473268-123473278(+) gcacaatgtcc >hg38_chr5:123477194-123477204(-) ATACAAAGGGG >hg38_chr5:123514058-123514068(-) ACACAATGTAT >hg38_chr5:123521509-123521519(-) ATACAATAATA >hg38_chr5:123536876-123536886(+) ccacaatgaga >hg38_chr5:123541852-123541862(-) agacagtggac >hg38_chr5:123569476-123569486(-) agacaatgcat >hg38_chr5:123579624-123579634(+) GAACAATGGTA >hg38_chr5:123589878-123589888(-) taacAAAGGAA >hg38_chr5:123599380-123599390(-) CAACAATGCCT >hg38_chr5:123599410-123599420(-) CAACAATGAAT >hg38_chr5:123617145-123617155(-) CTACAATGATT >hg38_chr5:123617192-123617202(-) GCACAATGATG >hg38_chr5:123620404-123620414(+) aaacaatgaaa >hg38_chr5:123638148-123638158(+) ccacaatgaga >hg38_chr5:123643979-123643989(+) taacaattgta >hg38_chr5:123653382-123653392(-) agacaATAGTT >hg38_chr5:123664970-123664980(+) TTACAATGATT >hg38_chr5:123673370-123673380(-) AAACAATGTGC >hg38_chr5:123700775-123700785(+) CCACAATGACC >hg38_chr5:123722771-123722781(+) AAACAATAGGA >hg38_chr5:123746656-123746666(-) AGACAATGGTT >hg38_chr5:123755055-123755065(-) TAACAATATCA >hg38_chr5:123756589-123756599(+) CAACAATCGAC >hg38_chr5:123798634-123798644(+) taacaaaggtg >hg38_chr5:123806423-123806433(+) aaacaatgtgg >hg38_chr5:123807175-123807185(+) TGACAATGAGT >hg38_chr5:123807206-123807216(-) ACACAATAAAC >hg38_chr5:123815434-123815444(-) TAACAATAAGT >hg38_chr5:123835634-123835644(+) AAACAATAGTG >hg38_chr5:123876235-123876245(+) TTACAAAGGGA >hg38_chr5:123963168-123963178(-) TAACAATGAAG >hg38_chr5:123965255-123965265(+) AAACAATGCTA >hg38_chr5:124019880-124019890(+) ACATAATGGAG >hg38_chr5:124021543-124021553(+) TGACAATATAC >hg38_chr5:124021587-124021597(+) CTACAATGTAT >hg38_chr5:124054949-124054959(+) ACACAATAGCT >hg38_chr5:124055001-124055011(-) TTACAATGGCC >hg38_chr5:124055227-124055237(+) TAATAATGGAA >hg38_chr5:124073857-124073867(-) CTACAAAGGGA >hg38_chr5:124088032-124088042(-) TGACAATAGAA >hg38_chr5:124117317-124117327(+) CAACAATGGTT >hg38_chr5:124127686-124127696(+) AGATAATGCGT >hg38_chr5:124128506-124128516(-) TAACAATAGCT >hg38_chr5:124128528-124128538(-) GCACAATGCAG >hg38_chr5:124195461-124195471(-) GTACAATAGGA >hg38_chr5:124196589-124196599(+) TTACAATGGGT >hg38_chr5:124196613-124196623(+) ATACAATAGAG >hg38_chr5:124237145-124237155(+) AAACAAAGGTC >hg38_chr5:124280436-124280446(+) AAACAAAGGAG >hg38_chr5:124310078-124310088(-) AAACAATAAAA >hg38_chr5:124395451-124395461(-) ACACAATGCCT >hg38_chr5:124397346-124397356(-) AGACAATGGAA >hg38_chr5:124401917-124401927(+) AAACAATGTGG >hg38_chr5:124438982-124438992(+) GAACAATGGGG >hg38_chr5:124439605-124439615(+) CTACAATGGAG >hg38_chr5:124442654-124442664(-) agacaatagat >hg38_chr5:124457855-124457865(+) GAACAATAGCT >hg38_chr5:124461974-124461984(+) TTACAATGAAT >hg38_chr5:124468836-124468846(-) ggacaatgccc >hg38_chr5:124471887-124471897(+) AGACAATGGGG >hg38_chr5:124537479-124537489(-) aaacagtggta >hg38_chr5:124597424-124597434(+) AAACAATAGAT >hg38_chr5:124632365-124632375(-) AAATAATGGTG >hg38_chr5:124653147-124653157(+) GTACAATGGAT >hg38_chr5:124655747-124655757(-) TAATAATGGGG >hg38_chr5:124656940-124656950(-) GAACAAAGGCT >hg38_chr5:124658730-124658740(+) AGACAAAGGTT >hg38_chr5:124661613-124661623(-) TAACAAAGGCC >hg38_chr5:124662530-124662540(-) GAACAATAGGA >hg38_chr5:124662539-124662549(-) GAATAATGCGA >hg38_chr5:124669461-124669471(+) GAACAGTGGAC >hg38_chr5:124669475-124669485(+) ATACAATACAA >hg38_chr5:124669480-124669490(+) ATACAATACTC >hg38_chr5:124671064-124671074(-) GTACAATACTC >hg38_chr5:124676240-124676250(+) AAACAATAAAC >hg38_chr5:124692869-124692879(+) gcacaatgcct >hg38_chr5:124692912-124692922(-) AGacaatagaa >hg38_chr5:124698102-124698112(-) CTACAATAGTT >hg38_chr5:124702185-124702195(-) TTACAATGGGC >hg38_chr5:124702943-124702953(+) GAACAAAGGGG >hg38_chr5:124720145-124720155(-) ATACAATGAAT >hg38_chr5:124732723-124732733(-) AGACAATGCAT >hg38_chr5:124740405-124740415(+) CAACAATGGAC >hg38_chr5:124742413-124742423(-) TCACAATGGCT >hg38_chr5:124761173-124761183(+) gaacaatggac >hg38_chr5:124771993-124772003(-) ACACAATAGGA >hg38_chr5:124773454-124773464(+) CCACAATGGCC >hg38_chr5:124791644-124791654(-) TTACAATGTGT >hg38_chr5:124806665-124806675(+) GTATAATGGGC >hg38_chr5:124839476-124839486(-) TCACAATAGAA >hg38_chr5:124906836-124906846(+) AAACAATGAAG >hg38_chr5:124906869-124906879(+) ATTCAATGGCG >hg38_chr5:124921469-124921479(-) CAACAATGCCC >hg38_chr5:124922405-124922415(-) TGACAAAGGAA >hg38_chr5:124923314-124923324(+) GTACAATGGGC >hg38_chr5:124923837-124923847(-) AAACAATAAAC >hg38_chr5:124943296-124943306(+) gaacaatagag >hg38_chr5:124949543-124949553(-) atacaaaggcg >hg38_chr5:124996160-124996170(+) AAACAAAGGCT >hg38_chr5:124996835-124996845(+) AAATAATGCGA >hg38_chr5:125003184-125003194(+) TAACAATAGAT >hg38_chr5:125027413-125027423(+) GCACAAAGGTC >hg38_chr5:125041641-125041651(+) TAACAATGGAC >hg38_chr5:125042275-125042285(-) TAACAAAGGGA >hg38_chr5:125043234-125043244(+) TAACAATAGGT >hg38_chr5:125080591-125080601(-) ttataatggaa >hg38_chr5:125080636-125080646(-) aaacaatgtcc >hg38_chr5:125134958-125134968(-) CTATAATGGCA >hg38_chr5:125137728-125137738(-) TAACCATGGAC >hg38_chr5:125141163-125141173(-) ctacaatgaat >hg38_chr5:125155243-125155253(+) TAACAATAGCC >hg38_chr5:125175998-125176008(+) GAACAAAGGAA >hg38_chr5:125189833-125189843(-) tcacaatgaca >hg38_chr5:125196011-125196021(+) TAACAATGAAT >hg38_chr5:125199556-125199566(+) ATACGATGGAA >hg38_chr5:125206253-125206263(-) TGACAATGAAG >hg38_chr5:125234128-125234138(+) ATACAAAGGCC >hg38_chr5:125237276-125237286(+) caacaatgaat >hg38_chr5:125278965-125278975(-) gtacaatggag >hg38_chr5:125462000-125462010(-) gtacaatggtg >hg38_chr5:125467357-125467367(+) GGACAATGCAT >hg38_chr5:125485765-125485775(-) GAACAATATAT >hg38_chr5:125489801-125489811(-) gtacaatatta >hg38_chr5:125496578-125496588(-) GAACAAAGGCA >hg38_chr5:125510273-125510283(+) TAACAATAAGA >hg38_chr5:125528949-125528959(-) TTACAATATTA >hg38_chr5:125530220-125530230(+) atataatgaat >hg38_chr5:125532163-125532173(-) gtacaaaggca >hg38_chr5:125532170-125532180(+) gtacaattgta >hg38_chr5:125536787-125536797(+) ccacaatggaa >hg38_chr5:125549468-125549478(-) gcacaatgaac >hg38_chr5:125549506-125549516(-) gaacaaaggag >hg38_chr5:125550550-125550560(-) TCACAATGGTT >hg38_chr5:125553699-125553709(-) ACATAATGGGA >hg38_chr5:125595347-125595357(+) TAACAATGGCT >hg38_chr5:125686056-125686066(-) caacaatgggg >hg38_chr5:125713313-125713323(+) AAACAAAGGGG >hg38_chr5:125715397-125715407(-) GGACAATGATC >hg38_chr5:125747228-125747238(+) ggacaataaaa >hg38_chr5:125752068-125752078(+) GCacaatataa >hg38_chr5:125755884-125755894(+) ttacaataaac >hg38_chr5:125778955-125778965(-) GCACAATGACC >hg38_chr5:125778998-125779008(+) AGACAATAGAT >hg38_chr5:125784848-125784858(-) caacaatgcta >hg38_chr5:125800792-125800802(+) GCACAATGCAC >hg38_chr5:125834949-125834959(+) ACACAAAGGAA >hg38_chr5:125868191-125868201(+) gaacaatgtgg >hg38_chr5:125870412-125870422(+) TCACAAAGGAC >hg38_chr5:125884292-125884302(-) caacaatagca >hg38_chr5:125914029-125914039(-) aaacaataact >hg38_chr5:125963678-125963688(+) ATACAATGCAT >hg38_chr5:125982324-125982334(-) ACACAAAGGAG >hg38_chr5:125982358-125982368(+) TTACAATGTAT >hg38_chr5:125995981-125995991(+) caacaatgtgt >hg38_chr5:126002256-126002266(-) TAACAATGAGA >hg38_chr5:126002265-126002275(-) TGACAATAATA >hg38_chr5:126002276-126002286(-) GGACAATGGAG >hg38_chr5:126009845-126009855(-) tgacaatgtgc >hg38_chr5:126015773-126015783(-) CCACAATGATT >hg38_chr5:126016911-126016921(+) AAACAATAAGA >hg38_chr5:126034041-126034051(+) AAACAATAACA >hg38_chr5:126034059-126034069(-) GAACAATGGTG >hg38_chr5:126034088-126034098(+) CCACAATGCAA >hg38_chr5:126054922-126054932(+) AGATAATGGTA >hg38_chr5:126109025-126109035(-) taacaaaggaa >hg38_chr5:126109068-126109078(-) TAACAATGGTC >hg38_chr5:126115007-126115017(-) gtacaatggga >hg38_chr5:126115036-126115046(-) tgacaatagga >hg38_chr5:126116923-126116933(-) GGATAATGGCA >hg38_chr5:126121163-126121173(+) ATACAAAGGCA >hg38_chr5:126121203-126121213(+) TCACAATGATT >hg38_chr5:126124750-126124760(+) TGACAATGGGT >hg38_chr5:126125155-126125165(+) GAACAATGTAT >hg38_chr5:126125197-126125207(+) GCACAATGTCT >hg38_chr5:126139969-126139979(-) ACATAATGGCC >hg38_chr5:126140995-126141005(-) ATACAAAGGAT >hg38_chr5:126234992-126235002(+) CTACAATGTTC >hg38_chr5:126349724-126349734(-) tgacaatgcac >hg38_chr5:126354127-126354137(+) GTACAATGTCT >hg38_chr5:126368624-126368634(-) AAACAAAGGAA >hg38_chr5:126404693-126404703(-) GAACAAAGGCG >hg38_chr5:126423010-126423020(-) AAACAATAGGT >hg38_chr5:126443631-126443641(+) GGACAATAGTT >hg38_chr5:126454241-126454251(-) TAACAATGCCT >hg38_chr5:126454271-126454281(+) ACATAATGGAG >hg38_chr5:126509145-126509155(+) ACACAATGTTC >hg38_chr5:126516397-126516407(+) TTACAATGAAC >hg38_chr5:126595373-126595383(+) GAACAATGGGA >hg38_chr5:126677204-126677214(+) tcacaatgcag >hg38_chr5:126823787-126823797(+) TAATAATGGGT >hg38_chr5:126869392-126869402(+) GCACAATGCAG >hg38_chr5:126952771-126952781(-) gaacaatggag >hg38_chr5:126955499-126955509(-) tcataatggca >hg38_chr5:126976046-126976056(-) AGACAGTGGAA >hg38_chr5:126979515-126979525(-) ACATAATGGAA >hg38_chr5:127003910-127003920(+) GAACAATGAAG >hg38_chr5:127026212-127026222(-) ATACAATGGGA >hg38_chr5:127041473-127041483(+) GAACAATGTTC >hg38_chr5:127043532-127043542(+) TCACAATGGGA >hg38_chr5:127063072-127063082(+) TAACAATAAAG >hg38_chr5:127069037-127069047(+) CAACAATGCTC >hg38_chr5:127069060-127069070(+) ACATAATGGCT >hg38_chr5:127070361-127070371(-) AAATAATGGGG >hg38_chr5:127070396-127070406(+) GAACAATGAGA >hg38_chr5:127070430-127070440(+) TAACAATGCGA >hg38_chr5:127080083-127080093(+) ttacaaaggga >hg38_chr5:127085422-127085432(-) TCACAATAGAC >hg38_chr5:127087430-127087440(+) AGACAATGGCT >hg38_chr5:127096813-127096823(+) AGACAATGAAG >hg38_chr5:127164370-127164380(+) gaataatgata >hg38_chr5:127187990-127188000(-) CTACAAAGGAC >hg38_chr5:127206451-127206461(-) AAACAATGAGG >hg38_chr5:127206777-127206787(+) GGACAAAGGGA >hg38_chr5:127252771-127252781(+) GGACAATGAAA >hg38_chr5:127257096-127257106(-) tcacaatgaag >hg38_chr5:127262983-127262993(+) TAACAATAGTA >hg38_chr5:127280980-127280990(+) ggacaatggag >hg38_chr5:127283944-127283954(-) CAACAATGGGA >hg38_chr5:127298811-127298821(-) GAACAATGGGT >hg38_chr5:127306645-127306655(-) CAACAATGATG >hg38_chr5:127320743-127320753(+) TGACAATGTGG >hg38_chr5:127332424-127332434(-) GAACAAAGGTG >hg38_chr5:127338052-127338062(-) AAACAATGGGT >hg38_chr5:127384904-127384914(+) TAACAAAGGGA >hg38_chr5:127420944-127420954(+) TAACAATGTGA >hg38_chr5:127425280-127425290(+) ACACAATGTAA >hg38_chr5:127450208-127450218(-) taacaatacaa >hg38_chr5:127450240-127450250(+) gcacaatggca >hg38_chr5:127459536-127459546(-) GAACAATGGGG >hg38_chr5:127461525-127461535(-) AAACAATGTTT >hg38_chr5:127486718-127486728(-) TAACAATGGAC >hg38_chr5:127493049-127493059(-) CCACAATGAAT >hg38_chr5:127519064-127519074(-) GTACAATGAAT >hg38_chr5:127519116-127519126(+) TTACAATAGGA >hg38_chr5:127546101-127546111(-) tgacaatgtga >hg38_chr5:127548271-127548281(-) TAACAGTGGAA >hg38_chr5:127549026-127549036(-) taactatggca >hg38_chr5:127557624-127557634(+) ccacaatgtat >hg38_chr5:127571691-127571701(-) CAACAAAGGAC >hg38_chr5:127590759-127590769(-) TAACAATAGAA >hg38_chr5:127601517-127601527(-) TTACAATGTCA >hg38_chr5:127603560-127603570(-) GAACAAAGGAT >hg38_chr5:127609544-127609554(-) agacaaagggc >hg38_chr5:127623814-127623824(+) cgacaatgaat >hg38_chr5:127623847-127623857(-) tcacaatgatg >hg38_chr5:127623872-127623882(+) gtacaaaggga >hg38_chr5:127649270-127649280(-) AGACAGTGGTA >hg38_chr5:127663401-127663411(-) acacaatgggc >hg38_chr5:127686668-127686678(+) AGACAATGGTA >hg38_chr5:127686693-127686703(-) AAACAATGTGG >hg38_chr5:127698524-127698534(+) GAACAATAGCC >hg38_chr5:127703323-127703333(-) CCACAATGGAT >hg38_chr5:127705195-127705205(-) GTACAATAATT >hg38_chr5:127705234-127705244(-) TAACCATGGTA >hg38_chr5:127758438-127758448(+) AAATAATGGGA >hg38_chr5:127771450-127771460(+) tgataatggag >hg38_chr5:127802241-127802251(-) ctacaatgcac >hg38_chr5:127810169-127810179(+) ccacaatagaa >hg38_chr5:127810207-127810217(-) ccacaatggac >hg38_chr5:127814196-127814206(+) GAACAATGTGA >hg38_chr5:127834279-127834289(-) agacaaaggga >hg38_chr5:127838961-127838971(+) GAACAATGCCA >hg38_chr5:127872720-127872730(-) gaacaatgggt >hg38_chr5:127872749-127872759(-) aaacaatgttg >hg38_chr5:127873472-127873482(-) aaacaatagat >hg38_chr5:127877740-127877750(+) ACACAATGACC >hg38_chr5:127877765-127877775(+) GGACAATGAGG >hg38_chr5:127886337-127886347(+) AAACAAAGGAA >hg38_chr5:127887477-127887487(+) AAACAATGCCT >hg38_chr5:127892893-127892903(-) AGACAATGGAG >hg38_chr5:127909884-127909894(+) CTACAATGGCT >hg38_chr5:127922967-127922977(+) AGATAATGgca >hg38_chr5:127930480-127930490(-) GAATAATGGAT >hg38_chr5:127967510-127967520(+) ctacaatgcca >hg38_chr5:128007517-128007527(+) AAACAATAACC >hg38_chr5:128009560-128009570(-) AAAcaatggct >hg38_chr5:128014661-128014671(-) TCACAATAGGG >hg38_chr5:128087291-128087301(-) CCACAATGTCA >hg38_chr5:128092236-128092246(-) atacaatgaga >hg38_chr5:128093833-128093843(-) GCACAATAGTG >hg38_chr5:128093857-128093867(-) CAACAATGATC >hg38_chr5:128093879-128093889(-) AGACAATGCAG >hg38_chr5:128112256-128112266(+) CTACAAAGGAA >hg38_chr5:128114212-128114222(-) ATACAACGTAC >hg38_chr5:128122779-128122789(-) ACACAATGGAT >hg38_chr5:128122803-128122813(-) TGATAATGGTA >hg38_chr5:128122841-128122851(-) GAACTATGGAG >hg38_chr5:128129676-128129686(+) GAACAATAGAA >hg38_chr5:128145731-128145741(-) CCACAATGCAT >hg38_chr5:128147393-128147403(-) acacaatggca >hg38_chr5:128162249-128162259(-) ccacaatgtct >hg38_chr5:128177888-128177898(-) GAACAATACAC >hg38_chr5:128179277-128179287(-) taacaatacat >hg38_chr5:128225552-128225562(+) AAACAATGTTA >hg38_chr5:128256084-128256094(+) CAACAATGGCA >hg38_chr5:128302212-128302222(+) CCACAATGGTA >hg38_chr5:128307589-128307599(+) GCACAAAGGTA >hg38_chr5:128307638-128307648(+) AAACAATGTAT >hg38_chr5:128307645-128307655(+) GTATAATGAAT >hg38_chr5:128311959-128311969(+) AAACAATAGAA >hg38_chr5:128312005-128312015(+) AGACAATGAGC >hg38_chr5:128316165-128316175(+) ggacaatataa >hg38_chr5:128358707-128358717(+) ACACAATGTAA >hg38_chr5:128378818-128378828(+) TTACAATAATA >hg38_chr5:128409389-128409399(-) gtacaatagga >hg38_chr5:128437208-128437218(+) tcacaatgaaa >hg38_chr5:128438581-128438591(-) AGACAATATAT >hg38_chr5:128444803-128444813(-) GAACAATGTAT >hg38_chr5:128491395-128491405(+) GAACAAAGGCA >hg38_chr5:128499546-128499556(+) caacaatggtt >hg38_chr5:128501558-128501568(-) ctacaATGCAG >hg38_chr5:128536402-128536412(+) GGACAATGCAC >hg38_chr5:128536788-128536798(+) GAACAAAGGGA >hg38_chr5:128544319-128544329(+) gaacaatgaag >hg38_chr5:128546801-128546811(-) gcacaatgatg >hg38_chr5:128613610-128613620(+) ACACAATATAT >hg38_chr5:128617074-128617084(-) taacaatgcac >hg38_chr5:128626183-128626193(-) AAATAATGGTG >hg38_chr5:128627769-128627779(+) ACACTATGGAA >hg38_chr5:128627786-128627796(+) TTACAATGCAC >hg38_chr5:128695916-128695926(-) caacaatgttt >hg38_chr5:128695928-128695938(-) ttacaataacc >hg38_chr5:128698653-128698663(-) ATACAATGTAG >hg38_chr5:128736463-128736473(-) CAACAATGCtt >hg38_chr5:128736626-128736636(+) ATACAAAGGAC >hg38_chr5:128799015-128799025(-) agacaatgcca >hg38_chr5:128802840-128802850(+) AAACAATGACA >hg38_chr5:128802871-128802881(+) AAACAATGTTT >hg38_chr5:128870200-128870210(-) AAACAGTGGGA >hg38_chr5:128870215-128870225(+) AGACAATGCAT >hg38_chr5:128871422-128871432(-) GGACAAAGGAC >hg38_chr5:128873668-128873678(-) aaataatggct >hg38_chr5:128976631-128976641(+) TTACAATGGCT >hg38_chr5:128976665-128976675(+) TAACAATAACT >hg38_chr5:129002438-129002448(-) GAACAATGGAG >hg38_chr5:129003352-129003362(+) gaacaaaggga >hg38_chr5:129054098-129054108(-) GTACAATATAA >hg38_chr5:129054105-129054115(+) GTACAATGTCA >hg38_chr5:129104859-129104869(+) aaacaatagga >hg38_chr5:129104908-129104918(-) GTACAATGACA >hg38_chr5:129114167-129114177(-) GTACAAAGGAT >hg38_chr5:129115661-129115671(-) ACACAATGACT >hg38_chr5:129130217-129130227(-) acacaatggaa >hg38_chr5:129259687-129259697(+) AGACAATGGCG >hg38_chr5:129384912-129384922(+) TAACAGTGGCA >hg38_chr5:129409687-129409697(+) AAACAATAGGT >hg38_chr5:129409736-129409746(+) GGATAATGGCC >hg38_chr5:129458720-129458730(+) AAACAATGGCT >hg38_chr5:129488340-129488350(-) TAACAATAAGA >hg38_chr5:129575622-129575632(+) TAACAATGAGA >hg38_chr5:129720850-129720860(+) CAACAATAGTC >hg38_chr5:129724406-129724416(-) taacAATAgaa >hg38_chr5:129771814-129771824(-) ACACAATAGAA >hg38_chr5:129838178-129838188(+) TAACAATATCA >hg38_chr5:129849342-129849352(-) agataatggca >hg38_chr5:129849363-129849373(-) agacaatgtcc >hg38_chr5:129897918-129897928(+) GCACAATGAAT >hg38_chr5:129900988-129900998(-) tgacaatgaag >hg38_chr5:129902175-129902185(-) ttacaatggtg >hg38_chr5:130011857-130011867(+) tcacaatagcc >hg38_chr5:130050876-130050886(-) CAACAATGCCA >hg38_chr5:130093499-130093509(+) Taataatgata >hg38_chr5:130137027-130137037(-) GAACAAAGGTA >hg38_chr5:130146246-130146256(+) aaacaatgtga >hg38_chr5:130151911-130151921(+) tcacaatgctt >hg38_chr5:130151940-130151950(-) TGACaatgctg >hg38_chr5:130151946-130151956(-) CTACAATGACa >hg38_chr5:130153687-130153697(-) TCACAATGGGG >hg38_chr5:130167117-130167127(-) acacaatAAAA >hg38_chr5:130208765-130208775(-) AGACAATGAAG >hg38_chr5:130229551-130229561(+) caacaatggca >hg38_chr5:130278432-130278442(+) tcacaatggga >hg38_chr5:130342526-130342536(-) agacaaaggtc >hg38_chr5:130363597-130363607(+) agacaatggag >hg38_chr5:130427731-130427741(+) caacaatgcta >hg38_chr5:130427754-130427764(+) atataatgggc >hg38_chr5:130469056-130469066(+) tgacaaaggaa >hg38_chr5:130519844-130519854(+) GAACAACGGAT >hg38_chr5:130519858-130519868(-) GAACAATGGCA >hg38_chr5:130578559-130578569(-) AAACAATGGCC >hg38_chr5:130662137-130662147(+) AAACAATGCAA >hg38_chr5:130698718-130698728(+) AAACAATGACA >hg38_chr5:130706903-130706913(-) AAACAATGCTC >hg38_chr5:130857769-130857779(+) CAACAATGGAA >hg38_chr5:130857800-130857810(-) GAACAATAACT >hg38_chr5:130858533-130858543(+) atataatggtg >hg38_chr5:130868188-130868198(-) GAACAATGCAA >hg38_chr5:130870800-130870810(+) gcacaatagga >hg38_chr5:130870837-130870847(+) agacaatgatt >hg38_chr5:130872521-130872531(-) ccacaatgaac >hg38_chr5:130949756-130949766(-) ttacaatgaat >hg38_chr5:130949780-130949790(-) tgataatggtt >hg38_chr5:130967179-130967189(+) AAACAATAGAG >hg38_chr5:130988616-130988626(-) AGACAATAGTT >hg38_chr5:130988630-130988640(+) CAACAATGACA >hg38_chr5:130988636-130988646(+) TGACAATGGAG >hg38_chr5:130988984-130988994(-) TGACAATGTCC >hg38_chr5:130992574-130992584(+) ACACAATGATC >hg38_chr5:131101790-131101800(-) acacaAtgaaa >hg38_chr5:131104559-131104569(-) TGACAATGTGA >hg38_chr5:131164603-131164613(+) GCACAATGCCT >hg38_chr5:131170154-131170164(+) GAACAATAGGA >hg38_chr5:131171952-131171962(-) agacaatatag >hg38_chr5:131177544-131177554(-) agacaatggaa >hg38_chr5:131193279-131193289(+) gaacaatgggc >hg38_chr5:131219570-131219580(-) GTACAATAGTT >hg38_chr5:131230589-131230599(-) agacaatggtg >hg38_chr5:131250009-131250019(+) gcataatgggt >hg38_chr5:131260015-131260025(+) GAACAATGAGC >hg38_chr5:131264153-131264163(+) AGACAAAGGCG >hg38_chr5:131369362-131369372(+) gcacaatgctc >hg38_chr5:131377930-131377940(-) AAACAATGTCA >hg38_chr5:131377973-131377983(-) agacaataaat >hg38_chr5:131382366-131382376(+) ACACTATGGTT >hg38_chr5:131414827-131414837(+) gaacaataaac >hg38_chr5:131414892-131414902(-) ttactatggct >hg38_chr5:131461744-131461754(+) CGACAATGAAG >hg38_chr5:131520056-131520066(-) CAACAAAGGTA >hg38_chr5:131525198-131525208(+) gaataatggaa >hg38_chr5:131531002-131531012(-) ACACAATGTAT >hg38_chr5:131534210-131534220(+) TAACAAAGGAC >hg38_chr5:131535232-131535242(+) TAACAATACTA >hg38_chr5:131535504-131535514(-) AGACAATAGGT >hg38_chr5:131539393-131539403(+) caacaatagtg >hg38_chr5:131542260-131542270(+) GAACAAAGGTT >hg38_chr5:131590742-131590752(-) AGACAATGAAG >hg38_chr5:131590922-131590932(+) TAACAATACAA >hg38_chr5:131590969-131590979(+) GAACAATGGCC >hg38_chr5:131595697-131595707(+) acacaaaggca >hg38_chr5:131622892-131622902(+) GTACAATGGTG >hg38_chr5:131630863-131630873(-) ATACAAAGGGA >hg38_chr5:131632937-131632947(+) GAACAATGCTT >hg38_chr5:131640789-131640799(+) AAACAATAGAC >hg38_chr5:131644540-131644550(+) ATACAATGCTA >hg38_chr5:131650911-131650921(+) TGACAATGAGG >hg38_chr5:131667997-131668007(+) aaacaatagct >hg38_chr5:131669580-131669590(+) cgacaatacaa >hg38_chr5:131692452-131692462(-) taacaatagag >hg38_chr5:131742774-131742784(+) GCACAATGTCC >hg38_chr5:131742811-131742821(+) AAACAATGAGT >hg38_chr5:131749931-131749941(+) taacaatgcat >hg38_chr5:131753493-131753503(+) acacaatgggg >hg38_chr5:131763329-131763339(+) CTACAATGGCc >hg38_chr5:131770508-131770518(+) ATACAATGGGC >hg38_chr5:131784059-131784069(+) agacaaaggaa >hg38_chr5:131795503-131795513(-) CGACAATAGGA >hg38_chr5:131815114-131815124(-) tgacaatgggt >hg38_chr5:131837237-131837247(+) gtacaatgctg >hg38_chr5:131847169-131847179(+) gaacaatgagc >hg38_chr5:131856537-131856547(-) caacaatgggg >hg38_chr5:131960600-131960610(+) CAACAATGCCT >hg38_chr5:132007986-132007996(+) GAACAATAGCT >hg38_chr5:132024932-132024942(-) gaacaaaggag >hg38_chr5:132173242-132173252(+) GAACAATAGCT >hg38_chr5:132173280-132173290(-) taacaatggga >hg38_chr5:132184687-132184697(+) gaacaaaggaa >hg38_chr5:132185785-132185795(+) AAATAATGGCT >hg38_chr5:132190138-132190148(+) agacaatgctg >hg38_chr5:132190188-132190198(-) gtacaatggta >hg38_chr5:132241463-132241473(-) taacaataaca >hg38_chr5:132250194-132250204(-) ggacaataggg >hg38_chr5:132268313-132268323(-) GGACAATGGGC >hg38_chr5:132290893-132290903(-) GAACAATATGA >hg38_chr5:132306048-132306058(+) ctacaatgact >hg38_chr5:132307258-132307268(-) TAATAATGGAC >hg38_chr5:132310684-132310694(-) agacaatagca >hg38_chr5:132322501-132322511(-) CCACAATGAGG >hg38_chr5:132335569-132335579(+) GGACAAAGGTC >hg38_chr5:132395110-132395120(-) aaacaataaaa >hg38_chr5:132469865-132469875(-) TAACAATAGCT >hg38_chr5:132511841-132511851(+) caataatggag >hg38_chr5:132577464-132577474(-) gaacaatggtc >hg38_chr5:132616608-132616618(-) TAACAATAAGA >hg38_chr5:132619548-132619558(-) gaacaatgcct >hg38_chr5:132634240-132634250(-) ttacaatagga >hg38_chr5:132644116-132644126(+) TAACAAAGGCA >hg38_chr5:132670688-132670698(+) agacaatgaga >hg38_chr5:132726648-132726658(+) TTACAATGCGT >hg38_chr5:132735517-132735527(-) tgacaatgACT >hg38_chr5:132735535-132735545(-) tgacaatgtca >hg38_chr5:132741014-132741024(-) taacaaaggtg >hg38_chr5:132770892-132770902(-) GGATAATGGCC >hg38_chr5:132777993-132778003(-) GCACAATGGTG >hg38_chr5:132821120-132821130(-) GAACAATGCTC >hg38_chr5:132859722-132859732(-) gtataatgaac >hg38_chr5:132859729-132859739(-) atacaatgtat >hg38_chr5:132860906-132860916(-) GAACAATATAA >hg38_chr5:132866543-132866553(+) GGACAAAGGCG >hg38_chr5:132866877-132866887(+) CCACAATGGGC >hg38_chr5:132879539-132879549(-) CTACAATAATA >hg38_chr5:132887079-132887089(-) taacactggga >hg38_chr5:132901178-132901188(+) TAACAATGGCA >hg38_chr5:132905550-132905560(-) atataatggtc >hg38_chr5:132905579-132905589(+) taacaacggtg >hg38_chr5:132906060-132906070(+) ctacaatgaga >hg38_chr5:132912783-132912793(+) tgacaataggg >hg38_chr5:132927629-132927639(+) tcacaatgaca >hg38_chr5:132927652-132927662(-) taataatggta >hg38_chr5:132947788-132947798(+) AAACAAAGGTT >hg38_chr5:133041549-133041559(-) AGACAATGCCT >hg38_chr5:133050294-133050304(-) agacaaaggaa >hg38_chr5:133050315-133050325(+) gtataatgtac >hg38_chr5:133055008-133055018(+) AGACAATAGCT >hg38_chr5:133057293-133057303(-) CAACAATAGGA >hg38_chr5:133084297-133084307(-) ctacaatggca >hg38_chr5:133103899-133103909(+) TGACAAAGGTA >hg38_chr5:133130913-133130923(+) gGACAATGGGA >hg38_chr5:133173394-133173404(+) ggacaatgcat >hg38_chr5:133180520-133180530(-) aaacaatgcaa >hg38_chr5:133291112-133291122(+) GTACAATGGGA >hg38_chr5:133296752-133296762(-) caacaatggat >hg38_chr5:133328305-133328315(-) TAACAAAGGTC >hg38_chr5:133361307-133361317(-) ATACAAAGGGG >hg38_chr5:133397989-133397999(-) CCACAATGGCA >hg38_chr5:133398032-133398042(-) GAACAAAGGAG >hg38_chr5:133412759-133412769(-) atacaaaggtg >hg38_chr5:133417547-133417557(+) atacaaaggaa >hg38_chr5:133425426-133425436(+) TAACAATGCCC >hg38_chr5:133435706-133435716(-) GCACAATCGTA >hg38_chr5:133473020-133473030(-) TCACAATAGCC >hg38_chr5:133514715-133514725(+) agacaaaggac >hg38_chr5:133514775-133514785(+) aaacaaaggaa >hg38_chr5:133516730-133516740(-) ttacaatgcac >hg38_chr5:133516755-133516765(+) aaacaatgggg >hg38_chr5:133550945-133550955(-) GTACAATGACC >hg38_chr5:133551151-133551161(+) AGACAACGGGC >hg38_chr5:133556101-133556111(+) GAACAATGAAT >hg38_chr5:133560220-133560230(-) TCACGATGGAT >hg38_chr5:133603019-133603029(+) AGACAATGGGA >hg38_chr5:133627085-133627095(-) taacaatacta >hg38_chr5:133702967-133702977(+) GCACAATGCTG >hg38_chr5:133744488-133744498(-) CTACAAAGGGA >hg38_chr5:133753858-133753868(+) aaactatggac >hg38_chr5:133807085-133807095(+) CAACAATAGCA >hg38_chr5:133872517-133872527(+) caacaatggaa >hg38_chr5:133872534-133872544(+) agacaatggaa >hg38_chr5:133872542-133872552(+) gaacaatgcct >hg38_chr5:133996785-133996795(+) TGACAATAGGA >hg38_chr5:133996801-133996811(+) TTACAATGCAA >hg38_chr5:134003473-134003483(+) TGACAATGTCC >hg38_chr5:134026805-134026815(+) GCACAAAGGTA >hg38_chr5:134047203-134047213(+) GAACAAAGGGA >hg38_chr5:134054004-134054014(+) TGACAATGGCA >hg38_chr5:134082783-134082793(-) ccacaatgagg >hg38_chr5:134156658-134156668(+) AAACAATGGCA >hg38_chr5:134161396-134161406(+) GGACAATGACT >hg38_chr5:134161428-134161438(+) CAACAATGATT >hg38_chr5:134176005-134176015(-) GAACAATACCG >hg38_chr5:134208795-134208805(+) AAACAATAGTT >hg38_chr5:134219300-134219310(+) ATACAATGAAG >hg38_chr5:134222998-134223008(+) AAATAATGGCC >hg38_chr5:134223023-134223033(+) TAACAATGACC >hg38_chr5:134223800-134223810(+) CGACAATAAGC >hg38_chr5:134245397-134245407(+) gaacaatagtg >hg38_chr5:134247642-134247652(-) TAATAATGGTC >hg38_chr5:134290491-134290501(-) gcacaatggtt >hg38_chr5:134305662-134305672(+) CCACAATGTAA >hg38_chr5:134305682-134305692(-) atacagtggaa >hg38_chr5:134364438-134364448(-) ATACAATTGAT >hg38_chr5:134390320-134390330(-) CAACAATGGCC >hg38_chr5:134390380-134390390(-) CAACAATGATG >hg38_chr5:134403256-134403266(-) GAACAATAAGT >hg38_chr5:134569158-134569168(-) CAACAATGGAG >hg38_chr5:134591437-134591447(-) CCACAATGGTG >hg38_chr5:134605891-134605901(+) CTACAATGAAA >hg38_chr5:134613471-134613481(+) GTACAATAATA >hg38_chr5:134613515-134613525(+) TGACAATATAA >hg38_chr5:134631496-134631506(-) aaacaatgaaa >hg38_chr5:134637425-134637435(-) GGACAATAGCT >hg38_chr5:134687975-134687985(-) AAACAATAAAC >hg38_chr5:134749609-134749619(-) GAACAATGAGT >hg38_chr5:134750799-134750809(-) CGACAATAGAA >hg38_chr5:134751396-134751406(-) TTACAATGTGC >hg38_chr5:134759684-134759694(+) GAACAATGAAG >hg38_chr5:134817839-134817849(+) TAACAATAGAA >hg38_chr5:134820366-134820376(-) CTACAATGCTA >hg38_chr5:134846755-134846765(+) AGACAAAGGAG >hg38_chr5:134854185-134854195(-) AAACAAAGGTT >hg38_chr5:134854459-134854469(+) ATACAATAAAA >hg38_chr5:134855796-134855806(-) GTATAATGGTT >hg38_chr5:134857442-134857452(-) ATACAATGCAA >hg38_chr5:134857816-134857826(-) TGACAATGGTG >hg38_chr5:134938249-134938259(-) CAACAATGACG >hg38_chr5:134975827-134975837(-) ttacaataaac >hg38_chr5:135022023-135022033(-) CAACAATAGCT >hg38_chr5:135047437-135047447(+) GAACAAAGGGG >hg38_chr5:135069445-135069455(-) CAACAATGGTG >hg38_chr5:135069639-135069649(-) ACACAAAGGAG >hg38_chr5:135076105-135076115(-) CCACAATAGGC >hg38_chr5:135080964-135080974(+) acacaatgttt >hg38_chr5:135080993-135081003(-) taacaataatt >hg38_chr5:135185883-135185893(+) AGACAAAGGCA >hg38_chr5:135202191-135202201(+) gcacaatgtta >hg38_chr5:135205939-135205949(+) atactatggaa >hg38_chr5:135213252-135213262(+) TAACAATAGCC >hg38_chr5:135256349-135256359(+) atacaatgtgt >hg38_chr5:135293672-135293682(-) aaacaatagag >hg38_chr5:135296193-135296203(+) TAACAatgaat >hg38_chr5:135301798-135301808(-) gtacaatatgt >hg38_chr5:135398044-135398054(-) TTACAATGACT >hg38_chr5:135398409-135398419(+) AGACAATCGGG >hg38_chr5:135399255-135399265(+) CCACAATGCAC >hg38_chr5:135403982-135403992(-) caacaatgctt >hg38_chr5:135418378-135418388(-) aaacaatgagt >hg38_chr5:135508576-135508586(+) GAACAATCGAC >hg38_chr5:135534541-135534551(-) ATACAATGAAA >hg38_chr5:135536001-135536011(+) CAACAATGGGC >hg38_chr5:135543207-135543217(-) GAACAATAAGT >hg38_chr5:135543215-135543225(-) TTACAATGGAA >hg38_chr5:135565352-135565362(-) AAATAATGGCC >hg38_chr5:135565421-135565431(+) TAACAATGGGT >hg38_chr5:135570275-135570285(-) Caacaatagag >hg38_chr5:135615764-135615774(+) agacaatggga >hg38_chr5:135666877-135666887(+) GAACAATGCCT >hg38_chr5:135667384-135667394(+) gtacaatgacc >hg38_chr5:135674774-135674784(-) tcacaatagcc >hg38_chr5:135675243-135675253(-) taacaatggac >hg38_chr5:135705783-135705793(-) GAACAAAGGCC >hg38_chr5:135712104-135712114(-) AAACAATGAGA >hg38_chr5:135723235-135723245(+) CAACAATGGGT >hg38_chr5:135774981-135774991(-) gaacaatatca >hg38_chr5:135775007-135775017(+) tgacaatgata >hg38_chr5:135817417-135817427(-) atacactggca >hg38_chr5:135817465-135817475(+) gcacaatagct >hg38_chr5:135866305-135866315(-) ACACAATGGCT >hg38_chr5:135873103-135873113(-) GGACAATGGGG >hg38_chr5:135881376-135881386(+) GGACAAAGGGA >hg38_chr5:135882019-135882029(+) GGACAAAGGAG >hg38_chr5:135882050-135882060(-) GGACAATCGTG >hg38_chr5:135891625-135891635(+) GCACAATGCAC >hg38_chr5:135926237-135926247(+) AAACAATGACT >hg38_chr5:135956864-135956874(+) ATACAATATAG >hg38_chr5:135971583-135971593(+) ggacaatgtct >hg38_chr5:136006818-136006828(-) TAACAAAGGGA >hg38_chr5:136020696-136020706(-) ATACAATGAAA >hg38_chr5:136033385-136033395(+) TAACAATGGCT >hg38_chr5:136034534-136034544(-) Taataatagta >hg38_chr5:136034619-136034629(+) TTACAATAGGG >hg38_chr5:136134465-136134475(-) CCACAATGGAT >hg38_chr5:136134781-136134791(-) CAACAATGTGG >hg38_chr5:136139472-136139482(-) taacaatgatt >hg38_chr5:136139484-136139494(-) gaacaaaggag >hg38_chr5:136144042-136144052(+) AGATAATGGGT >hg38_chr5:136145645-136145655(+) CAACAATGTTC >hg38_chr5:136146732-136146742(-) atataatggat >hg38_chr5:136149903-136149913(-) GAACAATAGGT >hg38_chr5:136159781-136159791(+) AAACAATAGAC >hg38_chr5:136159820-136159830(+) AAACACTGGAT >hg38_chr5:136168524-136168534(-) tgataatggag >hg38_chr5:136174324-136174334(-) TTACAATGAAA >hg38_chr5:136202997-136203007(+) TCACAATGAAG >hg38_chr5:136212336-136212346(-) AAACAATGCCT >hg38_chr5:136283875-136283885(+) TATCAATGGAC >hg38_chr5:136297283-136297293(-) GGACAATATAG >hg38_chr5:136297305-136297315(+) TGATAATGGGT >hg38_chr5:136376181-136376191(+) TGACAATGACT >hg38_chr5:136485718-136485728(-) gaacaatgaga >hg38_chr5:136513006-136513016(+) CTACAATAGGG >hg38_chr5:136532821-136532831(+) aaacaatgcat >hg38_chr5:136536450-136536460(-) TTACAATATAC >hg38_chr5:136536498-136536508(+) ACACAATGGGT >hg38_chr5:136536511-136536521(+) GTACAAAGATA >hg38_chr5:136598252-136598262(-) GTACAATGGTT >hg38_chr5:136606354-136606364(+) GCACAATAGGC >hg38_chr5:136606363-136606373(+) GCACAATGCCT >hg38_chr5:136613704-136613714(+) ttacaatagca >hg38_chr5:136629049-136629059(+) GAACAATGGGC >hg38_chr5:136673192-136673202(+) TTACAATGACC >hg38_chr5:136673223-136673233(-) AAACAATGGTG >hg38_chr5:136686771-136686781(+) caacaatggtc >hg38_chr5:136706692-136706702(-) taacaatgcta >hg38_chr5:136715772-136715782(-) GAACAAAGGCA >hg38_chr5:136756042-136756052(-) acacaatagaa >hg38_chr5:136776740-136776750(-) GGACAATGTTA >hg38_chr5:136800622-136800632(+) atacaatacgt >hg38_chr5:136800629-136800639(-) taacaatacgt >hg38_chr5:136808701-136808711(-) ttacaatggag >hg38_chr5:136811724-136811734(-) TGAcaatgggc >hg38_chr5:136861110-136861120(+) atacaatgatt >hg38_chr5:136876797-136876807(+) taacaatatga >hg38_chr5:136879610-136879620(+) atacaatgcaa >hg38_chr5:136879746-136879756(-) agacaatgtga >hg38_chr5:136891805-136891815(-) ACACAATGTAT >hg38_chr5:136909723-136909733(+) acacaatgggg >hg38_chr5:136924750-136924760(-) TGACAATGTGC >hg38_chr5:136924762-136924772(+) GAACAAAGGCA >hg38_chr5:136957514-136957524(+) aaacaagggcg >hg38_chr5:136968202-136968212(-) aaacaataaca >hg38_chr5:136981919-136981929(+) caacaatagac >hg38_chr5:136981938-136981948(+) tgacaatggtt >hg38_chr5:137019508-137019518(-) tgacaataggg >hg38_chr5:137019561-137019571(+) aaacaatgcgg >hg38_chr5:137036898-137036908(+) CAACAATGAGT >hg38_chr5:137146825-137146835(+) AAACAAAGGGC >hg38_chr5:137149445-137149455(+) GAACAAAGGAG >hg38_chr5:137155726-137155736(+) GGACAATCGTT >hg38_chr5:137155782-137155792(+) AAACAAAGGCT >hg38_chr5:137201127-137201137(+) AGACAATGTCT >hg38_chr5:137212846-137212856(+) GGACAAAGACG >hg38_chr5:137235348-137235358(+) AAACAATGTGG >hg38_chr5:137240810-137240820(-) cgataatgtta >hg38_chr5:137240820-137240830(+) gaacaatgatt >hg38_chr5:137292132-137292142(+) gaacaataact >hg38_chr5:137342581-137342591(+) GAACAATAGTG >hg38_chr5:137342890-137342900(+) GTACAATATCT >hg38_chr5:137365046-137365056(+) acacaataggg >hg38_chr5:137453738-137453748(+) CCACAATAGTG >hg38_chr5:137495131-137495141(-) GAACAATGCTC >hg38_chr5:137495699-137495709(-) GGACAATCGAT >hg38_chr5:137513707-137513717(-) ATATAATGTAT >hg38_chr5:137525023-137525033(+) caacaatgtta >hg38_chr5:137525032-137525042(+) taacaatgatt >hg38_chr5:137562053-137562063(+) acacaatagtg >hg38_chr5:137629753-137629763(+) CAACAATGGCT >hg38_chr5:137635993-137636003(-) AAACAATAGAG >hg38_chr5:137705157-137705167(-) GGACAATGAGA >hg38_chr5:137741965-137741975(-) taacaatgctg >hg38_chr5:137742048-137742058(-) AGACAATGAAA >hg38_chr5:137747365-137747375(+) gcacaATGGCC >hg38_chr5:137747400-137747410(+) ACACAATGCTT >hg38_chr5:137748937-137748947(+) ACACAATGCTG >hg38_chr5:137751876-137751886(-) TCACAATAGCC >hg38_chr5:137764590-137764600(-) gaataatggtc >hg38_chr5:137820578-137820588(+) agacaatatac >hg38_chr5:137824216-137824226(+) taacaatgtta >hg38_chr5:137825296-137825306(-) gaacaaaggtt >hg38_chr5:137922029-137922039(+) GGACAATGCAT >hg38_chr5:137960316-137960326(+) TTACAATGTGC >hg38_chr5:138017681-138017691(-) AAATAATGGTA >hg38_chr5:138138654-138138664(+) GAACAATGGTG >hg38_chr5:138179075-138179085(-) ATACAATATTT >hg38_chr5:138198552-138198562(+) GCACAATGCAC >hg38_chr5:138198582-138198592(-) AGACAATGAAA >hg38_chr5:138199062-138199072(+) AGACAATAGTC >hg38_chr5:138355851-138355861(+) AAACAAAGGTT >hg38_chr5:138402358-138402368(-) gtacaatggtt >hg38_chr5:138402365-138402375(+) gtacagtggtt >hg38_chr5:138408987-138408997(+) gcacaatgacc >hg38_chr5:138449100-138449110(-) TGACAATGCAG >hg38_chr5:138464431-138464441(-) AGATAATGGTC >hg38_chr5:138477189-138477199(+) aaacaatgaaa >hg38_chr5:138490927-138490937(-) ACACAATAGAT >hg38_chr5:138523580-138523590(+) tcacaataggc >hg38_chr5:138523600-138523610(+) agacaaaggta >hg38_chr5:138543354-138543364(+) CTACAATGAGC >hg38_chr5:138548150-138548160(-) GAACAAAGGAT >hg38_chr5:138576007-138576017(-) GAACAACGGGC >hg38_chr5:138635334-138635344(-) GGATAATGGAG >hg38_chr5:138664011-138664021(-) atacaatacaa >hg38_chr5:138664016-138664026(-) atacaatacaa >hg38_chr5:138770318-138770328(-) TGATAATGGAT >hg38_chr5:138770369-138770379(-) TAACAATGGAA >hg38_chr5:138770395-138770405(+) ATACGATGGAA >hg38_chr5:138808185-138808195(-) TTACAATGCAC >hg38_chr5:138831687-138831697(-) ATACAATAAGG >hg38_chr5:138834071-138834081(-) TAACAATATAT >hg38_chr5:138834446-138834456(-) gcacaatggct >hg38_chr5:138851198-138851208(-) CGACAAAGGCA >hg38_chr5:138867190-138867200(+) TGACAATAGAA >hg38_chr5:138871600-138871610(-) CAACAATGATT >hg38_chr5:138873274-138873284(-) GAACAATGGCT >hg38_chr5:138873309-138873319(-) AGACAATGCCA >hg38_chr5:138885283-138885293(+) GAACAATGGGC >hg38_chr5:138885298-138885308(-) GAACAAAGGAA >hg38_chr5:138901911-138901921(-) TAACAATGACA >hg38_chr5:138929674-138929684(-) GGACAAAGGCA >hg38_chr5:138929691-138929701(+) ATACTATGGGT >hg38_chr5:139020527-139020537(+) GAACAATAGCT >hg38_chr5:139053150-139053160(+) AGACAATAGTC >hg38_chr5:139053184-139053194(+) AGACAATAGTC >hg38_chr5:139067925-139067935(+) aaacaatgaga >hg38_chr5:139079622-139079632(+) TAACAAAGGGC >hg38_chr5:139079637-139079647(+) GGACAATGAAC >hg38_chr5:139080652-139080662(-) ggacaatgaca >hg38_chr5:139088176-139088186(+) CCACAATGATT >hg38_chr5:139092889-139092899(+) tgacaatgttg >hg38_chr5:139182419-139182429(+) acacaatgggt >hg38_chr5:139182437-139182447(+) aaataatggtg >hg38_chr5:139212957-139212967(-) atacaatgggc >hg38_chr5:139268749-139268759(-) AGACAATAGGC >hg38_chr5:139271228-139271238(-) acacaatggac >hg38_chr5:139276001-139276011(-) AGACAATAAAA >hg38_chr5:139276011-139276021(-) AAACAATAGCA >hg38_chr5:139294734-139294744(+) AGACAAAGGGT >hg38_chr5:139315250-139315260(-) GAACAATGGGC >hg38_chr5:139353217-139353227(-) AGACAATGACT >hg38_chr5:139415461-139415471(+) CTACAATGGAG >hg38_chr5:139420105-139420115(-) AAACAATAGAG >hg38_chr5:139439529-139439539(-) CTACAATGACC >hg38_chr5:139473251-139473261(-) TTACAAAGGAA >hg38_chr5:139518511-139518521(+) ttacaatgcaa >hg38_chr5:139523870-139523880(+) GGACAATGACT >hg38_chr5:139524238-139524248(-) TAACAATGGCC >hg38_chr5:139543968-139543978(+) AGACAAAGGAT >hg38_chr5:139565907-139565917(-) GTACAATGCAC >hg38_chr5:139600470-139600480(+) TAACAGTGGTT >hg38_chr5:139614968-139614978(+) TAACAGTGGGT >hg38_chr5:139708036-139708046(+) tcacaatgaca >hg38_chr5:139709564-139709574(+) AGACAAAGGCG >hg38_chr5:139943610-139943620(-) acacaatggca >hg38_chr5:139943665-139943675(-) acacaatgtac >hg38_chr5:139972082-139972092(+) gaacaaaggct >hg38_chr5:140077048-140077058(-) CCACAATGGAG >hg38_chr5:140116961-140116971(-) TTACAATATAA >hg38_chr5:140189629-140189639(-) aaacaataaat >hg38_chr5:140190693-140190703(-) ACACAATGAGA >hg38_chr5:140191075-140191085(+) GAACAATGGAT >hg38_chr5:140203327-140203337(+) atacaatgatc >hg38_chr5:140203372-140203382(+) acacaatgtca >hg38_chr5:140213697-140213707(-) aaacaatggta >hg38_chr5:140241644-140241654(-) ATACAATGGCA >hg38_chr5:140254113-140254123(-) ctacaatggca >hg38_chr5:140254137-140254147(+) agacaatgtgt >hg38_chr5:140294612-140294622(+) AAACAAAGGGC >hg38_chr5:140296981-140296991(+) GAACAAAGGAC >hg38_chr5:140297900-140297910(+) AAACAAAGGCT >hg38_chr5:140351882-140351892(+) AGAcaatggtt >hg38_chr5:140357861-140357871(-) ATACAAAGGAC >hg38_chr5:140401444-140401454(+) GATCAATGGTA >hg38_chr5:140402486-140402496(+) CCACAATAGGG >hg38_chr5:140430167-140430177(+) AGACAAAGGTT >hg38_chr5:140431087-140431097(+) GCATAATGGGA >hg38_chr5:140431645-140431655(+) acacaatgcct >hg38_chr5:140432274-140432284(-) agacaataggt >hg38_chr5:140435873-140435883(+) ATACAATGCTC >hg38_chr5:140448281-140448291(-) caacaataggc >hg38_chr5:140448640-140448650(-) aaacaatatta >hg38_chr5:140460917-140460927(-) ATACAATAACT >hg38_chr5:140462314-140462324(+) ttacaaagggg >hg38_chr5:140465294-140465304(-) TAACAATAGTA >hg38_chr5:140475328-140475338(+) acacaatgggg >hg38_chr5:140498466-140498476(-) GCACAATGATA >hg38_chr5:140522410-140522420(+) aaacaatgctC >hg38_chr5:140527993-140528003(+) TAAGAATGGCG >hg38_chr5:140578826-140578836(+) acataatggga >hg38_chr5:140702957-140702967(-) taacaatagat >hg38_chr5:140726081-140726091(-) AAACAACGGTG >hg38_chr5:140729097-140729107(-) atacaataaaa >hg38_chr5:140729115-140729125(+) ctacaatggaa >hg38_chr5:140729152-140729162(-) ggacaatgact >hg38_chr5:140794842-140794852(-) TAACAAAGGGG >hg38_chr5:140814925-140814935(-) atataatgata >hg38_chr5:140855884-140855894(+) GAACAAAGGCA >hg38_chr5:140868957-140868967(+) ATACAAAGGAA >hg38_chr5:140874568-140874578(-) CAACAATGGAG >hg38_chr5:140986821-140986831(+) AGAcaatggtt >hg38_chr5:140999375-140999385(-) taacaataACC >hg38_chr5:141035378-141035388(+) GAACAATGCTG >hg38_chr5:141041149-141041159(-) TTACAATGCCA >hg38_chr5:141041204-141041214(-) ACACAAAGGAA >hg38_chr5:141094824-141094834(+) AAACAAAGGCA >hg38_chr5:141135635-141135645(+) TTACAAAGGAA >hg38_chr5:141167585-141167595(+) ggacaatgaag >hg38_chr5:141236992-141237002(-) TAACAATGAAT >hg38_chr5:141245606-141245616(+) GAACAAAGGCA >hg38_chr5:141337417-141337427(+) atacaatgggc >hg38_chr5:141476830-141476840(+) TGACAATGCGC >hg38_chr5:141484149-141484159(+) GCACAATGCTG >hg38_chr5:141513178-141513188(-) ACACAATCGCA >hg38_chr5:141513211-141513221(-) ACACAATGGCA >hg38_chr5:141521432-141521442(-) GAACAATGGTT >hg38_chr5:141564359-141564369(+) CAACAATGACA >hg38_chr5:141576269-141576279(-) AGACAAAGGTG >hg38_chr5:141588710-141588720(-) ggacaatgcct >hg38_chr5:141597177-141597187(+) gcacaaaggac >hg38_chr5:141597209-141597219(+) atataatggtg >hg38_chr5:141612448-141612458(+) atacaatgtaa >hg38_chr5:141614084-141614094(+) AAACAAAGGTA >hg38_chr5:141619056-141619066(+) ATACAACGACG >hg38_chr5:141620508-141620518(-) gaacaaTGCTA >hg38_chr5:141635563-141635573(-) GAACAATATGA >hg38_chr5:141673311-141673321(+) ACACAATGGAC >hg38_chr5:141681066-141681076(-) AGACAATAGGT >hg38_chr5:141741070-141741080(-) TTACAATGGAC >hg38_chr5:141800848-141800858(-) gtacaatagat >hg38_chr5:141816731-141816741(-) GAACAATGCTG >hg38_chr5:141816745-141816755(+) ACACAATGGAC >hg38_chr5:141839334-141839344(+) AAACAATGAAA >hg38_chr5:141849137-141849147(+) AGACAATGCGG >hg38_chr5:141858297-141858307(+) GAACAATGGAA >hg38_chr5:141881256-141881266(-) CAACAATGGTC >hg38_chr5:141881287-141881297(-) AAACAATAGAG >hg38_chr5:141883303-141883313(-) GGACAATGGCG >hg38_chr5:141974480-141974490(-) TTACAGTGGAT >hg38_chr5:141974509-141974519(-) ACACAATGTTT >hg38_chr5:141982873-141982883(-) ACACAAAGGTT >hg38_chr5:142022915-142022925(+) TTACAATAGAA >hg38_chr5:142022950-142022960(+) GTACAATGTTT >hg38_chr5:142053519-142053529(-) TGACAATGTGT >hg38_chr5:142063817-142063827(+) TGACAATGGGC >hg38_chr5:142063851-142063861(+) TTACAAAGGGT >hg38_chr5:142064110-142064120(-) GAACAAAGGCA >hg38_chr5:142082407-142082417(+) tcataatggca >hg38_chr5:142099329-142099339(-) GAACAATAGAG >hg38_chr5:142099378-142099388(-) ATACAATTGAT >hg38_chr5:142100901-142100911(-) ggacaaaggtc >hg38_chr5:142100914-142100924(-) agacaatagcc >hg38_chr5:142146342-142146352(+) CTACAATGTGT >hg38_chr5:142226367-142226377(-) gaacaatgagg >hg38_chr5:142235640-142235650(+) GAACAATGGGA >hg38_chr5:142290821-142290831(-) ATACAATGGCA >hg38_chr5:142297291-142297301(+) TAACAATGGGC >hg38_chr5:142316328-142316338(-) AAACAATGTGC >hg38_chr5:142326143-142326153(+) TAACAACGGGG >hg38_chr5:142362968-142362978(+) TCACAAAGGAA >hg38_chr5:142402229-142402239(-) caacaatagga >hg38_chr5:142518903-142518913(-) ggacaaaggat >hg38_chr5:142529841-142529851(-) caacaatggaa >hg38_chr5:142590634-142590644(+) GAACAATGGTG >hg38_chr5:142598378-142598388(+) GTACAATGCTG >hg38_chr5:142622111-142622121(+) tcacaatggta >hg38_chr5:142632858-142632868(+) ccacaatagga >hg38_chr5:142645202-142645212(+) GCACAATGGAG >hg38_chr5:142673041-142673051(-) taacaatagct >hg38_chr5:142684616-142684626(+) AAACAAAGGAA >hg38_chr5:142684872-142684882(+) AAACAATCGCA >hg38_chr5:142783965-142783975(-) CCACAATGACA >hg38_chr5:142789056-142789066(-) TAACAATAGTT >hg38_chr5:142792113-142792123(-) AAACAATGTAT >hg38_chr5:142823463-142823473(-) GGACAATGGGA >hg38_chr5:142846133-142846143(-) AGACAATGGTG >hg38_chr5:142886512-142886522(+) TTATAATGGAC >hg38_chr5:142896463-142896473(+) caacaatagat >hg38_chr5:142901254-142901264(+) aaacaatgaaa >hg38_chr5:142911898-142911908(+) AGACAATAGGT >hg38_chr5:142912254-142912264(+) caacaatgtgg >hg38_chr5:142926626-142926636(-) ACATAATGGCC >hg38_chr5:142966496-142966506(-) aaacaatgctg >hg38_chr5:143007466-143007476(+) ACATAATGGTT >hg38_chr5:143007475-143007485(-) CAACAATGCAA >hg38_chr5:143015302-143015312(+) TAACAATAATG >hg38_chr5:143033947-143033957(-) TCACAATAGAG >hg38_chr5:143037280-143037290(-) GAACAATGCCA >hg38_chr5:143047036-143047046(-) tgacaatagac >hg38_chr5:143055893-143055903(-) TAACAATGTAG >hg38_chr5:143055899-143055909(-) AAACAATAACA >hg38_chr5:143060167-143060177(-) GAACAATAGCT >hg38_chr5:143101992-143102002(+) AAACAATAGCC >hg38_chr5:143112816-143112826(-) aaacaatatag >hg38_chr5:143118192-143118202(+) ggacaaaggca >hg38_chr5:143133608-143133618(+) GGACAAAGGTA >hg38_chr5:143158864-143158874(-) GGACAATAACA >hg38_chr5:143158889-143158899(+) aaacaaaGGCA >hg38_chr5:143173566-143173576(-) aaacaatgcct >hg38_chr5:143179947-143179957(+) caacaatggtc >hg38_chr5:143179954-143179964(-) tgacaatgacc >hg38_chr5:143188261-143188271(+) ACACAATAGGA >hg38_chr5:143188720-143188730(-) TCACAGTGGTA >hg38_chr5:143198497-143198507(+) GCACAATAGAA >hg38_chr5:143201011-143201021(-) GCATAATGGGT >hg38_chr5:143209432-143209442(-) TAACAATGGCC >hg38_chr5:143214191-143214201(+) ATACAATGGGT >hg38_chr5:143312331-143312341(+) agacaatagtt >hg38_chr5:143326608-143326618(-) TAACAATGTAC >hg38_chr5:143339125-143339135(+) gcacaatgatg >hg38_chr5:143339946-143339956(+) agacaatgaaa >hg38_chr5:143360627-143360637(+) TGACAATAGAA >hg38_chr5:143376227-143376237(+) ATACAATGGAA >hg38_chr5:143387399-143387409(+) GAACAATAATC >hg38_chr5:143397454-143397464(+) AAACAATAGAC >hg38_chr5:143401059-143401069(+) GTACAATGCAA >hg38_chr5:143407461-143407471(+) ttacaatgata >hg38_chr5:143425363-143425373(+) tcacaatgaaa >hg38_chr5:143436535-143436545(-) gcacaaaggaa >hg38_chr5:143463516-143463526(-) tgacaatgggt >hg38_chr5:143493065-143493075(-) aaacaatgctt >hg38_chr5:143506952-143506962(+) AAACAATGAGA >hg38_chr5:143506981-143506991(+) TAACAATGAGA >hg38_chr5:143508621-143508631(-) aaacaataagt >hg38_chr5:143515032-143515042(+) ggacaatgcac >hg38_chr5:143522056-143522066(+) TTACAATGGCA >hg38_chr5:143560624-143560634(+) gaacaatgcct >hg38_chr5:143595160-143595170(-) TTACAATGTGC >hg38_chr5:143596462-143596472(-) GAACAAAGGGA >hg38_chr5:143634767-143634777(+) caacaatagaa >hg38_chr5:143700855-143700865(-) TAACAAAGGCT >hg38_chr5:143708114-143708124(-) GGACAATGAGA >hg38_chr5:143739981-143739991(-) ttacaatggag >hg38_chr5:143773760-143773770(+) GGACAATGCAG >hg38_chr5:143782850-143782860(+) AGACAATGGTT >hg38_chr5:143792671-143792681(+) GAACAATCGGC >hg38_chr5:143793398-143793408(-) taacaatagtg >hg38_chr5:143829783-143829793(-) aaaCAATGGAC >hg38_chr5:143831132-143831142(-) agacaatatag >hg38_chr5:143831146-143831156(+) ttactatggTA >hg38_chr5:143841951-143841961(+) TAACAAAGGCT >hg38_chr5:143848302-143848312(-) caacaatgtga >hg38_chr5:143876035-143876045(+) gaacaatggtg >hg38_chr5:143876098-143876108(+) agataatggag >hg38_chr5:143886591-143886601(+) TCACAATGTTT >hg38_chr5:143897002-143897012(+) GTATAATGGAG >hg38_chr5:143908639-143908649(+) TTACAATAGCA >hg38_chr5:143913648-143913658(-) TGACAATGTTA >hg38_chr5:143930314-143930324(-) ttacaatgggg >hg38_chr5:143977873-143977883(+) AAATAATGGGT >hg38_chr5:144011104-144011114(-) ttacaatgagc >hg38_chr5:144017502-144017512(+) AAACAATGGTG >hg38_chr5:144019347-144019357(-) AAACAATGTTC >hg38_chr5:144025655-144025665(+) ccacaatggac >hg38_chr5:144041194-144041204(+) GCACAATGGAT >hg38_chr5:144047595-144047605(+) aaactatggac >hg38_chr5:144072601-144072611(-) AAACAATGATT >hg38_chr5:144090013-144090023(-) TTACAATAACC >hg38_chr5:144104185-144104195(-) TCACAATGCAC >hg38_chr5:144105160-144105170(+) CAACAATGTAT >hg38_chr5:144113345-144113355(+) GGACAatggct >hg38_chr5:144115671-144115681(-) atataatgcgt >hg38_chr5:144115685-144115695(+) taacaatggaa >hg38_chr5:144125452-144125462(-) agacaaaggag >hg38_chr5:144145299-144145309(+) CAACAATGAAA >hg38_chr5:144187243-144187253(-) GTACAATGCAT >hg38_chr5:144216211-144216221(+) TGACAATAGGA >hg38_chr5:144230980-144230990(+) aaacaatgact >hg38_chr5:144241377-144241387(-) CTACAATGTTT >hg38_chr5:144241670-144241680(+) GCACAATGCAG >hg38_chr5:144250541-144250551(-) GAACAAAGGCT >hg38_chr5:144258472-144258482(+) AGACAATGTTT >hg38_chr5:144269019-144269029(+) ggacaatggaa >hg38_chr5:144276202-144276212(+) AGACAATATTA >hg38_chr5:144286578-144286588(-) AAACAATGTTT >hg38_chr5:144299665-144299675(-) aaacaataaaa >hg38_chr5:144311297-144311307(+) agacaatagta >hg38_chr5:144322941-144322951(+) acacaaaggaa >hg38_chr5:144322960-144322970(+) atataatgaac >hg38_chr5:144333622-144333632(-) gaacaatatta >hg38_chr5:144386556-144386566(-) TTACAATGGAC >hg38_chr5:144463330-144463340(-) TAACAATAAAA >hg38_chr5:144477649-144477659(+) TTACAATGAGA >hg38_chr5:144503496-144503506(+) taacaatgcat >hg38_chr5:144609387-144609397(+) ACACAATGAAA >hg38_chr5:144644529-144644539(-) caacaatgaga >hg38_chr5:144690230-144690240(-) gtataatggaa >hg38_chr5:144724882-144724892(-) ggataatggga >hg38_chr5:144832042-144832052(+) GAACAATGTTT >hg38_chr5:144873903-144873913(+) aaacaataaca >hg38_chr5:144944583-144944593(+) GAACAATGATT >hg38_chr5:144997759-144997769(+) gaacaaaggca >hg38_chr5:145038705-145038715(-) acacaatggaa >hg38_chr5:145092882-145092892(+) aaacaatggaa >hg38_chr5:145131701-145131711(+) TAACAATAGCC >hg38_chr5:145136339-145136349(+) ttacaatgctt >hg38_chr5:145136354-145136364(-) gcataatggaa >hg38_chr5:145136364-145136374(+) ctataatggaa >hg38_chr5:145136393-145136403(-) gtataatggaa >hg38_chr5:145158919-145158929(+) ACACAGTGGAA >hg38_chr5:145179366-145179376(+) AGACAATGCAG >hg38_chr5:145224667-145224677(-) GGACAATGGAA >hg38_chr5:145282367-145282377(+) ATACAATAATC >hg38_chr5:145317477-145317487(-) agacaaaggat >hg38_chr5:145320602-145320612(+) TCATAATGGCA >hg38_chr5:145348163-145348173(-) TGACAATGTTT >hg38_chr5:145471885-145471895(+) CCACAATGGGA >hg38_chr5:145483400-145483410(-) ggacaatgatg >hg38_chr5:145496199-145496209(+) ggacaatatac >hg38_chr5:145507578-145507588(-) TGACAATGGGG >hg38_chr5:145510349-145510359(-) AAACAATGTCA >hg38_chr5:145553326-145553336(+) gaacaaaggca >hg38_chr5:145554628-145554638(+) ACACAATGTGT >hg38_chr5:145569776-145569786(-) gtacagtgtcg >hg38_chr5:145569839-145569849(-) TCACAATCGAC >hg38_chr5:145631665-145631675(+) acataatgggc >hg38_chr5:145632134-145632144(-) GAACAATGGAT >hg38_chr5:145684161-145684171(+) AGACAATGAGG >hg38_chr5:145716975-145716985(+) caataatggaa >hg38_chr5:145721335-145721345(-) atacaatagct >hg38_chr5:145775632-145775642(-) ACACAATGGAA >hg38_chr5:145830975-145830985(-) GCACAATGGTA >hg38_chr5:145859706-145859716(+) TAACAGTGGAC >hg38_chr5:145860716-145860726(-) agacaatagcc >hg38_chr5:145916438-145916448(-) GAACAATAAAA >hg38_chr5:145939045-145939055(+) GGATAATGGGA >hg38_chr5:145939593-145939603(-) GCACAATGGCA >hg38_chr5:145949680-145949690(+) GAACAATGGAA >hg38_chr5:146024176-146024186(-) gtacaatggtg >hg38_chr5:146026397-146026407(+) ggacaaagggt >hg38_chr5:146065314-146065324(-) ACACAATGCCT >hg38_chr5:146073545-146073555(-) TCATAATGGAC >hg38_chr5:146101782-146101792(+) ATACAAAGGCA >hg38_chr5:146105706-146105716(-) ATACAATAGAC >hg38_chr5:146120732-146120742(+) TAACAATAACT >hg38_chr5:146127475-146127485(-) AAACAGTGGTA >hg38_chr5:146127887-146127897(+) CAATAATGGTC >hg38_chr5:146128258-146128268(+) AAACAATAAAC >hg38_chr5:146160862-146160872(-) TAACAATGAAT >hg38_chr5:146166251-146166261(+) gaacaaaggaa >hg38_chr5:146210457-146210467(+) Gtactatggtc >hg38_chr5:146230128-146230138(-) GTACAATGATA >hg38_chr5:146230161-146230171(+) GTATAATGGAT >hg38_chr5:146265761-146265771(-) ctacaatgctc >hg38_chr5:146300767-146300777(-) GAACAATGTAA >hg38_chr5:146313858-146313868(+) ttacaaagggt >hg38_chr5:146368309-146368319(-) GAACAATGTGT >hg38_chr5:146389925-146389935(+) ttacaatagcc >hg38_chr5:146391150-146391160(-) GAACAATGGCT >hg38_chr5:146461503-146461513(-) AAATAATGGGA >hg38_chr5:146466312-146466322(-) ATACAATACTA >hg38_chr5:146484072-146484082(-) ACATAATGGTC >hg38_chr5:146513002-146513012(-) TAACACTGGAA >hg38_chr5:146534106-146534116(+) AAACAAAGGTA >hg38_chr5:146540500-146540510(+) GGACAAAGGGA >hg38_chr5:146540512-146540522(+) AAACAAAGGAG >hg38_chr5:146541692-146541702(-) gcacaatggga >hg38_chr5:146562462-146562472(-) taataatggct >hg38_chr5:146562480-146562490(-) tcacaatggac >hg38_chr5:146564537-146564547(-) GGACAATGAAA >hg38_chr5:146564544-146564554(-) GCACAAAGGAC >hg38_chr5:146564562-146564572(+) CAACAATGTCT >hg38_chr5:146609360-146609370(+) agacaaaggaa >hg38_chr5:146633508-146633518(+) GAACAAAGGGA >hg38_chr5:146660089-146660099(-) TGACAATGAGG >hg38_chr5:146660161-146660171(-) ACACAATGCCA >hg38_chr5:146661159-146661169(+) ccacaatagag >hg38_chr5:146667136-146667146(+) AGACAATGGCA >hg38_chr5:146671773-146671783(-) ATACAATAGAA >hg38_chr5:146744498-146744508(+) GAACAATGGGT >hg38_chr5:146778642-146778652(-) TAACAAAGGAA >hg38_chr5:146778667-146778677(+) TAACAAAGGGG >hg38_chr5:146797101-146797111(+) ATACAATAACA >hg38_chr5:146852340-146852350(-) AAACAAAGGCA >hg38_chr5:146892176-146892186(+) ACACAATAGAA >hg38_chr5:146895100-146895110(-) ggacaaaggca >hg38_chr5:146895122-146895132(-) ggacaatgtat >hg38_chr5:146941245-146941255(+) TCACAATGCAT >hg38_chr5:146959886-146959896(+) cgacaatgaca >hg38_chr5:146963898-146963908(+) GAACAATTGTA >hg38_chr5:147023188-147023198(-) gtataatgtaa >hg38_chr5:147028983-147028993(-) gaacaataggc >hg38_chr5:147056351-147056361(+) AAACAATAGGA >hg38_chr5:147084952-147084962(+) ATACAATGAAC >hg38_chr5:147092171-147092181(+) GGACTATGGAG >hg38_chr5:147092500-147092510(+) GCACAATAGCA >hg38_chr5:147092527-147092537(+) GTACAATAtat >hg38_chr5:147143872-147143882(+) ttacaatggag >hg38_chr5:147143905-147143915(-) atacaatgtca >hg38_chr5:147168641-147168651(-) aaacaatgggc >hg38_chr5:147169129-147169139(-) GAACAATGCAC >hg38_chr5:147177532-147177542(-) GAACAATAGAA >hg38_chr5:147192517-147192527(+) gcacaATAGAA >hg38_chr5:147192548-147192558(+) GAACAATAGAA >hg38_chr5:147206196-147206206(-) tcacaatggct >hg38_chr5:147208276-147208286(+) GCACAATGAGT >hg38_chr5:147233755-147233765(-) gaacaataaga >hg38_chr5:147235229-147235239(+) TAACAATAAAC >hg38_chr5:147287288-147287298(-) ACATAATGGAC >hg38_chr5:147288344-147288354(+) GTACAATATAT >hg38_chr5:147308558-147308568(+) gaacaatgttg >hg38_chr5:147308580-147308590(+) tgataatggac >hg38_chr5:147310434-147310444(-) GCACAATGTAT >hg38_chr5:147319797-147319807(+) ACACAATGAAC >hg38_chr5:147327147-147327157(-) AAACAAAGGGA >hg38_chr5:147332815-147332825(-) TCACAATGTGT >hg38_chr5:147369401-147369411(-) ACACAAAGGTA >hg38_chr5:147382902-147382912(+) caacaatggcc >hg38_chr5:147388332-147388342(+) GAACAAAGGGC >hg38_chr5:147416525-147416535(+) AAACAATGGCA >hg38_chr5:147437224-147437234(+) TTACAATGACA >hg38_chr5:147445294-147445304(+) TTACAATGACT >hg38_chr5:147468149-147468159(+) GAACAATGGCT >hg38_chr5:147468158-147468168(-) TTACAATAGAG >hg38_chr5:147474139-147474149(+) acacaatgttg >hg38_chr5:147474164-147474174(+) acacaatggtg >hg38_chr5:147507839-147507849(-) TAAGAATGGTA >hg38_chr5:147554258-147554268(+) GAACAATAACA >hg38_chr5:147562904-147562914(+) GGACAATAGCA >hg38_chr5:147564363-147564373(+) TCACAAAGGAA >hg38_chr5:147565758-147565768(-) GAACAATGCCT >hg38_chr5:147568225-147568235(-) aaacaatgaac >hg38_chr5:147590850-147590860(-) CAACAATGGAC >hg38_chr5:147591536-147591546(+) GAATAATGGCT >hg38_chr5:147597705-147597715(-) AGACAAAGGAA >hg38_chr5:147597738-147597748(+) ACACAATGAGT >hg38_chr5:147647988-147647998(+) acacaatggat >hg38_chr5:147648055-147648065(-) atacaatattt >hg38_chr5:147684994-147685004(-) gtacagtggtc >hg38_chr5:147685001-147685011(+) gtacaatgcag >hg38_chr5:147731896-147731906(-) GAACAATGCTG >hg38_chr5:147732301-147732311(+) TTACAATGCCC >hg38_chr5:147750333-147750343(-) ACACAAAGGCG >hg38_chr5:147750381-147750391(-) GAACAAAGGAA >hg38_chr5:147764322-147764332(-) TCACTATGGTA >hg38_chr5:147780831-147780841(-) TTACAATGTAA >hg38_chr5:147780855-147780865(+) TGACAATGATA >hg38_chr5:147780861-147780871(-) TAACAATATCA >hg38_chr5:147781090-147781100(+) TTACAATAGAC >hg38_chr5:147782365-147782375(-) GAACAATGACT >hg38_chr5:147797082-147797092(-) ggacaaaggga >hg38_chr5:147803647-147803657(+) GGATAATGGGA >hg38_chr5:147803658-147803668(-) TGACAATGTAG >hg38_chr5:147828575-147828585(-) CGACAATGCCT >hg38_chr5:147828641-147828651(-) ggacaATGACA >hg38_chr5:147874827-147874837(+) TAACAAAGGCA >hg38_chr5:147875175-147875185(+) gaataatggcc >hg38_chr5:147885374-147885384(-) aaacaaagggg >hg38_chr5:147900104-147900114(+) TAACAATACTA >hg38_chr5:147935221-147935231(-) CAACAATAGAG >hg38_chr5:147956586-147956596(-) ATACATTGGTA >hg38_chr5:147964063-147964073(-) GGACAATAGCT >hg38_chr5:147964071-147964081(-) GAACTATGGGA >hg38_chr5:147964431-147964441(-) gaacaatgggg >hg38_chr5:147964449-147964459(-) gaacaatgtgt >hg38_chr5:148035955-148035965(+) aaacaatagta >hg38_chr5:148077059-148077069(+) aaacaatggcc >hg38_chr5:148083591-148083601(-) TGACAATAGAC >hg38_chr5:148131760-148131770(-) ATACACTGGGC >hg38_chr5:148199610-148199620(+) GGACTATGGAT >hg38_chr5:148224814-148224824(-) atacaatgtac >hg38_chr5:148249998-148250008(+) GAACAATAGGG >hg38_chr5:148316370-148316380(-) caacaatggac >hg38_chr5:148393544-148393554(-) TAACAAAGGgg >hg38_chr5:148395266-148395276(+) GCACAATATAA >hg38_chr5:148398173-148398183(+) AAACAATGAGT >hg38_chr5:148417068-148417078(+) CAACAATGACG >hg38_chr5:148417095-148417105(+) TAACAATGCCA >hg38_chr5:148432239-148432249(+) GGACAATGACT >hg38_chr5:148449372-148449382(+) CAACAATGGTG >hg38_chr5:148483003-148483013(-) ACATAATGGCC >hg38_chr5:148535322-148535332(-) taacaatgctc >hg38_chr5:148573214-148573224(-) ATACAATTGAA >hg38_chr5:148573271-148573281(-) agacaATGGTG >hg38_chr5:148586189-148586199(+) gaacaatgcct >hg38_chr5:148590102-148590112(-) caacaatgaaa >hg38_chr5:148687596-148687606(-) TTATAATGGGC >hg38_chr5:148694758-148694768(-) caacaatgatg >hg38_chr5:148694767-148694777(-) agacaatgaca >hg38_chr5:148694800-148694810(-) caacaatgatg >hg38_chr5:148700181-148700191(-) tcacaatagtg >hg38_chr5:148702114-148702124(+) caacaatggaa >hg38_chr5:148708885-148708895(+) gaacaaaggac >hg38_chr5:148731137-148731147(-) CAACAATGTAA >hg38_chr5:148732951-148732961(-) agacaatacgt >hg38_chr5:148747329-148747339(+) agacaatagag >hg38_chr5:148757205-148757215(-) caacaatagca >hg38_chr5:148772487-148772497(-) atacaatgaaa >hg38_chr5:148790659-148790669(+) CCACAATGGCC >hg38_chr5:148825859-148825869(+) ATACACTGGGT >hg38_chr5:148864541-148864551(+) ACACAATGTCA >hg38_chr5:148868894-148868904(-) GGACAATGGCA >hg38_chr5:148913235-148913245(-) TTACAATGGCT >hg38_chr5:148928395-148928405(-) TAACAATAACA >hg38_chr5:148928408-148928418(-) ATACAAAGGGC >hg38_chr5:148930773-148930783(+) TAACAATGGCA >hg38_chr5:148964090-148964100(-) GTACAGTGGAA >hg38_chr5:148964108-148964118(-) GAACAATGTCT >hg38_chr5:148969857-148969867(-) agacaatgtgg >hg38_chr5:148969878-148969888(+) tcacaatggcc >hg38_chr5:148976985-148976995(-) AAACAAAGGAA >hg38_chr5:148982282-148982292(-) ctacaatgcgg >hg38_chr5:148986897-148986907(+) TAACAATGCAA >hg38_chr5:148986977-148986987(-) AAACAATAGCT >hg38_chr5:148987653-148987663(+) AAACAAAGGGA >hg38_chr5:148996603-148996613(-) TGACAATGGCT >hg38_chr5:148996628-148996638(+) GTACAATGTTC >hg38_chr5:149017855-149017865(+) aaactatggcc >hg38_chr5:149019393-149019403(+) GGACAATGACC >hg38_chr5:149020784-149020794(+) taacaatgccc >hg38_chr5:149021266-149021276(+) ataaaatggcg >hg38_chr5:149032190-149032200(-) TAACAATGGTG >hg38_chr5:149052597-149052607(+) AGATAATGGAA >hg38_chr5:149063154-149063164(+) GCACAATGCAG >hg38_chr5:149144853-149144863(-) GTACAAAGGGA >hg38_chr5:149162334-149162344(-) CCACAATGAAC >hg38_chr5:149210336-149210346(+) acacaatgggg >hg38_chr5:149348717-149348727(+) agacaataaat >hg38_chr5:149348752-149348762(-) GAACAATGGTC >hg38_chr5:149353274-149353284(+) TCACAATAGGA >hg38_chr5:149363492-149363502(+) acacaataggc >hg38_chr5:149363564-149363574(-) taacaatacac >hg38_chr5:149423121-149423131(+) AGACAATGCTG >hg38_chr5:149439033-149439043(+) TAACAATAGCT >hg38_chr5:149439059-149439069(-) gcacaatgtct >hg38_chr5:149453375-149453385(-) aAACAATAGCA >hg38_chr5:149498242-149498252(+) AAATAATAGCG >hg38_chr5:149498972-149498982(+) TAACAATATTC >hg38_chr5:149517884-149517894(+) AGACAATAGAG >hg38_chr5:149517929-149517939(+) CAACAATGGCC >hg38_chr5:149519263-149519273(+) AAACAAAGGTA >hg38_chr5:149531093-149531103(-) GTATAATGAAT >hg38_chr5:149561911-149561921(-) GAACAATGCAG >hg38_chr5:149563175-149563185(+) AGACAATGCTG >hg38_chr5:149594099-149594109(+) TAACAATGAGG >hg38_chr5:149611172-149611182(-) tgacaatgtgc >hg38_chr5:149619215-149619225(-) GTATAATGGCC >hg38_chr5:149632954-149632964(+) GAACAAAGGGG >hg38_chr5:149643975-149643985(-) GAACTATGGGA >hg38_chr5:149667535-149667545(-) atacaatatga >hg38_chr5:149688635-149688645(-) gcacaatgaca >hg38_chr5:149713091-149713101(+) ACACAATGACC >hg38_chr5:149714482-149714492(+) aGACAATGTTG >hg38_chr5:149731590-149731600(+) TAACAGTGGAa >hg38_chr5:149760671-149760681(-) GGACAATGTGT >hg38_chr5:149822974-149822984(-) TAACAATGGTG >hg38_chr5:149824347-149824357(-) GAACAATGGCG >hg38_chr5:149824354-149824364(-) AAACAATGAAC >hg38_chr5:149855579-149855589(-) ACACAATGCAG >hg38_chr5:149972409-149972419(-) CAACAATGTTG >hg38_chr5:149976673-149976683(-) AAACAAAGGAG >hg38_chr5:149982459-149982469(-) TTACAAAGGGT >hg38_chr5:149982755-149982765(+) TGACAATGACA >hg38_chr5:150004196-150004206(-) ATACAATAGAC >hg38_chr5:150013115-150013125(+) gtacaatgctg >hg38_chr5:150082225-150082235(+) GCACAATGACA >hg38_chr5:150082272-150082282(-) GAACAATGGAG >hg38_chr5:150090510-150090520(+) ccacaatgtct >hg38_chr5:150130909-150130919(+) taacaaaggga >hg38_chr5:150142141-150142151(-) gcacaatggag >hg38_chr5:150151142-150151152(-) TCACAATGAAA >hg38_chr5:150175681-150175691(-) CTACAATGGAT >hg38_chr5:150211656-150211666(+) TAACAAAGGGC >hg38_chr5:150242060-150242070(+) GCACAATGGGA >hg38_chr5:150255047-150255057(-) GGACAAAGGAG >hg38_chr5:150255063-150255073(+) GGACAATGTTC >hg38_chr5:150256186-150256196(-) tcacaatgggg >hg38_chr5:150308575-150308585(-) AAACaataata >hg38_chr5:150320224-150320234(-) tcacaaaggaa >hg38_chr5:150347525-150347535(+) Aaacaatagag >hg38_chr5:150347551-150347561(-) atataatgaac >hg38_chr5:150356012-150356022(-) gaacaatagcg >hg38_chr5:150357536-150357546(-) CAACAATACCG >hg38_chr5:150426325-150426335(-) GAACAATGTCT >hg38_chr5:150426338-150426348(-) CCACAATGGGA >hg38_chr5:150426366-150426376(+) GGACAATGGGC >hg38_chr5:150487035-150487045(-) CAACAATGGGC >hg38_chr5:150497762-150497772(-) ACACAATGGCC >hg38_chr5:150498665-150498675(-) AGACAATGGAG >hg38_chr5:150509043-150509053(+) GGACAATGACC >hg38_chr5:150510619-150510629(+) gtacaaaggta >hg38_chr5:150567744-150567754(-) caataatggaa >hg38_chr5:150637697-150637707(-) CGATAATGACA >hg38_chr5:150723974-150723984(-) aaataatggaa >hg38_chr5:150737525-150737535(+) caacaatgaaa >hg38_chr5:150737801-150737811(+) agacaataaaa >hg38_chr5:150749359-150749369(+) acacaatgaga >hg38_chr5:150781796-150781806(-) TAACAAAGGAG >hg38_chr5:150802111-150802121(+) taACAATAAtg >hg38_chr5:150887929-150887939(+) gcacaatgaca >hg38_chr5:150890899-150890909(-) gtacaatacac >hg38_chr5:150905962-150905972(+) agacaatgtat >hg38_chr5:150956156-150956166(-) gaacaatagag >hg38_chr5:151007968-151007978(+) ttacaaagggg >hg38_chr5:151014959-151014969(+) aaacaatgcag >hg38_chr5:151018118-151018128(-) AAACAATGAGA >hg38_chr5:151041779-151041789(+) GCACAATGCCT >hg38_chr5:151067554-151067564(-) GCATAATGGTG >hg38_chr5:151080106-151080116(+) GCACAATGAAT >hg38_chr5:151080117-151080127(+) GTACAATGCAC >hg38_chr5:151081572-151081582(-) TTACAATGTCT >hg38_chr5:151156116-151156126(+) GAACAAAGGGT >hg38_chr5:151159718-151159728(-) aaacaatgcac >hg38_chr5:151186247-151186257(+) TGACAATGCAA >hg38_chr5:151191405-151191415(+) caacaatagca >hg38_chr5:151194309-151194319(+) aaacaatggaa >hg38_chr5:151194327-151194337(+) ccacaatgaaa >hg38_chr5:151225672-151225682(-) caacaaaggcg >hg38_chr5:151283685-151283695(+) TAACAATGata >hg38_chr5:151322298-151322308(+) GGACAATGACT >hg38_chr5:151330712-151330722(-) caacaatgtta >hg38_chr5:151340871-151340881(-) agacaatgggt >hg38_chr5:151340895-151340905(-) ctacaatgtgt >hg38_chr5:151345541-151345551(+) GAACAATCGAG >hg38_chr5:151357329-151357339(-) GAACAATGATG >hg38_chr5:151368843-151368853(+) gaacaaaggca >hg38_chr5:151368867-151368877(+) caacaaaggac >hg38_chr5:151409666-151409676(-) ttacaatgcag >hg38_chr5:151441126-151441136(+) GCACAATGGTT >hg38_chr5:151446842-151446852(-) caacaatggat >hg38_chr5:151446874-151446884(-) acacaatagta >hg38_chr5:151471592-151471602(-) TTACAATGGGA >hg38_chr5:151534505-151534515(-) TGACAATGCCC >hg38_chr5:151583342-151583352(+) atataatggaa >hg38_chr5:151583374-151583384(-) gaacaatagtt >hg38_chr5:151583389-151583399(+) tgacaatagag >hg38_chr5:151619018-151619028(-) gaacaatagcc >hg38_chr5:151628470-151628480(+) TTACAAAGGCT >hg38_chr5:151629659-151629669(+) agacaatacgt >hg38_chr5:151633146-151633156(+) AGACAATGAAA >hg38_chr5:151633154-151633164(+) AAACAATGTGC >hg38_chr5:151647763-151647773(+) GAACAATGTAT >hg38_chr5:151647783-151647793(+) GCACAATggcc >hg38_chr5:151672178-151672188(+) GGACAATAGAG >hg38_chr5:151676850-151676860(+) GGACAATGAGA >hg38_chr5:151676879-151676889(+) GAACAATAGTC >hg38_chr5:151694271-151694281(-) AGACAATGGGA >hg38_chr5:151694296-151694306(-) TGACAATGGGT >hg38_chr5:151742984-151742994(+) GAACAAAGGTG >hg38_chr5:151763330-151763340(-) GAACAAAGGAG >hg38_chr5:151771705-151771715(-) GTACAATAGAA >hg38_chr5:151775928-151775938(-) ggataatgggt >hg38_chr5:151775935-151775945(-) taacagtggat >hg38_chr5:151807240-151807250(+) gcacaatagat >hg38_chr5:151821141-151821151(+) AGACAATGGTG >hg38_chr5:151821150-151821160(-) AAATAATGGCA >hg38_chr5:151845469-151845479(+) aaacaatgctc >hg38_chr5:151845515-151845525(+) ccacaatgcaa >hg38_chr5:151847234-151847244(-) CAACAATAGAC >hg38_chr5:151847269-151847279(+) AAACAATAATA >hg38_chr5:151877306-151877316(-) caacaatgatt >hg38_chr5:151915512-151915522(+) aaacaatggga >hg38_chr5:151918104-151918114(-) TTACAAAGGAT >hg38_chr5:151945779-151945789(+) GTATAATGGGC >hg38_chr5:151947584-151947594(-) GGACAATGAGT >hg38_chr5:151953166-151953176(-) gtacaatactc >hg38_chr5:152007813-152007823(-) GAACAATGCAC >hg38_chr5:152014464-152014474(-) gaacaatagca >hg38_chr5:152053745-152053755(+) tcacaatgtat >hg38_chr5:152053885-152053895(-) AAACAATAGAG >hg38_chr5:152089495-152089505(-) AGACAATAGGA >hg38_chr5:152124621-152124631(+) TAACAAAGGAC >hg38_chr5:152149809-152149819(-) TTACAAAGGTT >hg38_chr5:152152243-152152253(-) ccacaatggtg >hg38_chr5:152162508-152162518(-) TGACAATGGAT >hg38_chr5:152171642-152171652(-) agacaatatag >hg38_chr5:152181676-152181686(-) GGACAATAAAA >hg38_chr5:152224080-152224090(+) tcacaatagag >hg38_chr5:152305877-152305887(+) AAACAATATAT >hg38_chr5:152323009-152323019(+) aaacaatgctg >hg38_chr5:152352854-152352864(+) TAACAGTGGAT >hg38_chr5:152424623-152424633(-) AAACAAAGGCA >hg38_chr5:152424641-152424651(-) GTATAATGAAC >hg38_chr5:152438564-152438574(-) gaacaaagggc >hg38_chr5:152490157-152490167(-) gaacaaaggat >hg38_chr5:152495427-152495437(+) taacaatagtc >hg38_chr5:152499958-152499968(+) CCACAATGGGA >hg38_chr5:152511673-152511683(+) GAACAAAGGAA >hg38_chr5:152530282-152530292(-) ggacaataggc >hg38_chr5:152532257-152532267(-) TAACAATGCTA >hg38_chr5:152532294-152532304(-) TAACAATAGTA >hg38_chr5:152568292-152568302(-) CCACAATAGCC >hg38_chr5:152688556-152688566(+) GTACAATGAAC >hg38_chr5:152701446-152701456(-) GAACAAAGGAA >hg38_chr5:152809247-152809257(+) AAACAAAGGAA >hg38_chr5:152809261-152809271(+) TCACAATGAAG >hg38_chr5:152809305-152809315(-) CAATAATGGTA >hg38_chr5:152812361-152812371(-) aaacaaaggag >hg38_chr5:152835034-152835044(-) caacaatagaa >hg38_chr5:152854248-152854258(+) TAACAATAGGA >hg38_chr5:152927849-152927859(-) GAACAATAGAA >hg38_chr5:153096185-153096195(+) TTACAATGACC >hg38_chr5:153157300-153157310(+) tgacaatgatt >hg38_chr5:153160580-153160590(-) ACACAAAGGAc >hg38_chr5:153171347-153171357(+) AGACAAAGGTA >hg38_chr5:153171356-153171366(+) TAATAATGGCA >hg38_chr5:153211221-153211231(-) AAACAATGGCC >hg38_chr5:153211483-153211493(+) GAACAATGCTA >hg38_chr5:153238282-153238292(-) TAACAAAGGGT >hg38_chr5:153238335-153238345(-) AAATAATGGAA >hg38_chr5:153256902-153256912(+) GAACAATAGGC >hg38_chr5:153256915-153256925(+) GAACAATGCTG >hg38_chr5:153261252-153261262(-) ccacaatggcc >hg38_chr5:153350366-153350376(+) TTACAATGTTA >hg38_chr5:153350378-153350388(+) TAACAATAGGC >hg38_chr5:153359645-153359655(+) AGACAATATAG >hg38_chr5:153361090-153361100(-) ATACAATggaa >hg38_chr5:153361151-153361161(+) CAACAATGAAC >hg38_chr5:153389674-153389684(-) gtacaaaggtg >hg38_chr5:153389681-153389691(-) atacaatgtac >hg38_chr5:153402773-153402783(+) TCACAAAGGAA >hg38_chr5:153405032-153405042(-) ACACAATGTGC >hg38_chr5:153407777-153407787(+) GAACAAAGGGG >hg38_chr5:153418106-153418116(+) tgacaatagcc >hg38_chr5:153428912-153428922(+) TTACAATGCTC >hg38_chr5:153456565-153456575(-) tgacaatgtca >hg38_chr5:153496937-153496947(-) GGACAATGAAT >hg38_chr5:153509830-153509840(-) AAACaataata >hg38_chr5:153515684-153515694(+) ccacaatgtat >hg38_chr5:153520372-153520382(+) GGACAAAGGCA >hg38_chr5:153520648-153520658(-) gaactatggag >hg38_chr5:153529016-153529026(+) GAACAATGGaa >hg38_chr5:153537466-153537476(-) GCACAATAGCT >hg38_chr5:153550510-153550520(-) GTACAATAATA >hg38_chr5:153550534-153550544(-) TAACAATTGTA >hg38_chr5:153551868-153551878(-) TTACAATGTAT >hg38_chr5:153558543-153558553(-) caacaatgtga >hg38_chr5:153558595-153558605(-) atacaatgaaa >hg38_chr5:153584722-153584732(-) GTACAATGGCA >hg38_chr5:153584766-153584776(+) CTACAATGTGG >hg38_chr5:153585052-153585062(+) GAACAATGAAG >hg38_chr5:153591367-153591377(-) GCACAATGCTG >hg38_chr5:153601927-153601937(+) GAACAATAGGA >hg38_chr5:153601976-153601986(-) AGACAATGGAG >hg38_chr5:153607656-153607666(-) ttacaataaca >hg38_chr5:153614342-153614352(+) TGACAATAGTT >hg38_chr5:153619552-153619562(+) ACATAATGGAA >hg38_chr5:153627882-153627892(-) gaacaaaggct >hg38_chr5:153628446-153628456(-) tcacaatgcca >hg38_chr5:153641212-153641222(+) TTACAATGAGG >hg38_chr5:153654600-153654610(-) ACACAATGAAT >hg38_chr5:153679083-153679093(+) GAACAATGACC >hg38_chr5:153702769-153702779(-) GGAcaatggct >hg38_chr5:153722233-153722243(-) taacaatatat >hg38_chr5:153722242-153722252(-) agacaatatta >hg38_chr5:153750364-153750374(+) GGACAAAGGGA >hg38_chr5:153757980-153757990(+) taacaataact >hg38_chr5:153757989-153757999(+) ctacaataata >hg38_chr5:153758024-153758034(-) ttacaataaac >hg38_chr5:153778147-153778157(+) agacaaaggaa >hg38_chr5:153781293-153781303(+) GCACAATGAAC >hg38_chr5:153791549-153791559(+) GCACAATGGTT >hg38_chr5:153827132-153827142(+) tgacaatgctt >hg38_chr5:153827188-153827198(+) gcacaatgcct >hg38_chr5:153849620-153849630(-) tcacaatagat >hg38_chr5:153849628-153849638(+) tgacaatcgcg >hg38_chr5:153854299-153854309(-) caacaatagag >hg38_chr5:153858864-153858874(+) ACACAACGGCA >hg38_chr5:153885199-153885209(-) GAACAATGTGA >hg38_chr5:153899012-153899022(+) caacaatgcag >hg38_chr5:153932640-153932650(-) AGACAATGTGC >hg38_chr5:153964510-153964520(-) AGACAAAGGAT >hg38_chr5:153991300-153991310(-) GTACAATGGCA >hg38_chr5:154040358-154040368(-) taacaatgtaa >hg38_chr5:154048396-154048406(-) TTACAATACGG >hg38_chr5:154059243-154059253(+) gaataatgggc >hg38_chr5:154072451-154072461(+) AGACAATAGTA >hg38_chr5:154077499-154077509(+) TTACAATGCTG >hg38_chr5:154087434-154087444(+) AAACAATGCCA >hg38_chr5:154156236-154156246(-) atacaatgtac >hg38_chr5:154156254-154156264(+) gtacaatggtg >hg38_chr5:154161166-154161176(-) aaacaataGAG >hg38_chr5:154167608-154167618(+) gtacaaagggt >hg38_chr5:154180300-154180310(+) GAACAATAGAG >hg38_chr5:154181356-154181366(-) gaacaaaggtt >hg38_chr5:154198331-154198341(+) acacaatgctt >hg38_chr5:154202985-154202995(+) GAACAATGGGG >hg38_chr5:154222106-154222116(-) taacaaagggg >hg38_chr5:154232341-154232351(+) TGATAATGGTC >hg38_chr5:154243833-154243843(-) AAACAATGGCT >hg38_chr5:154258294-154258304(-) AGACAATAGAC >hg38_chr5:154260331-154260341(-) TAATAATGTAA >hg38_chr5:154286616-154286626(+) AAACAAAGGAA >hg38_chr5:154286677-154286687(+) AAACAATGGCT >hg38_chr5:154307803-154307813(-) GAATAATGACG >hg38_chr5:154311256-154311266(-) GCACAATGCCC >hg38_chr5:154348406-154348416(-) AAACAATAGTT >hg38_chr5:154366585-154366595(+) AGACAATAGGC >hg38_chr5:154368524-154368534(-) GCATAATGGAC >hg38_chr5:154421984-154421994(+) TGACAATGAAC >hg38_chr5:154430861-154430871(-) GAACAAAGGCT >hg38_chr5:154485322-154485332(-) AGACAAAGGAG >hg38_chr5:154485352-154485362(-) TAACAAAGGAC >hg38_chr5:154664206-154664216(-) agacaatggga >hg38_chr5:154696986-154696996(+) aaacaataggg >hg38_chr5:154714513-154714523(+) atacaatggtt >hg38_chr5:154722316-154722326(+) AGACAATAGTG >hg38_chr5:154748302-154748312(-) GAACAAAGGAA >hg38_chr5:154759204-154759214(-) taacaatgaat >hg38_chr5:154759248-154759258(-) TAACAATGTct >hg38_chr5:154775989-154775999(-) Taataatggcc >hg38_chr5:154775998-154776008(-) TAATAATAGTa >hg38_chr5:154794776-154794786(-) gaacaaaggtt >hg38_chr5:154794799-154794809(-) AGacaatatat >hg38_chr5:154867222-154867232(+) TTACAATGGAT >hg38_chr5:154867511-154867521(-) AAACAATGAAT >hg38_chr5:154896927-154896937(+) TAACAATATCT >hg38_chr5:154902978-154902988(-) TTATAATGGTT >hg38_chr5:154904786-154904796(-) GAACAATGCCC >hg38_chr5:154917031-154917041(-) CAACAATGCAG >hg38_chr5:154919678-154919688(-) CAACAATGATT >hg38_chr5:154955485-154955495(-) ATACAATGTCT >hg38_chr5:154958401-154958411(-) TTACAAAGGAA >hg38_chr5:154971143-154971153(+) gaacaatagta >hg38_chr5:154972075-154972085(-) GAACAATGTGA >hg38_chr5:154995825-154995835(-) AAACAATGTGA >hg38_chr5:154995884-154995894(+) AGACAATGAGA >hg38_chr5:155049456-155049466(+) TCACAATGGAC >hg38_chr5:155129656-155129666(+) gcacaatgttg >hg38_chr5:155146674-155146684(-) ACACAATGCAT >hg38_chr5:155189945-155189955(+) GGACAATGGCA >hg38_chr5:155211966-155211976(-) GAACAATGTCA >hg38_chr5:155216538-155216548(+) GTACAATGAAT >hg38_chr5:155264038-155264048(+) GAACAATGGGA >hg38_chr5:155266213-155266223(-) AGACAAAGGGA >hg38_chr5:155266245-155266255(-) GGACAATGAGA >hg38_chr5:155275429-155275439(-) ACACAATGGAG >hg38_chr5:155285940-155285950(-) TAACAATGGAA >hg38_chr5:155438012-155438022(-) GTATAATGGCC >hg38_chr5:155483228-155483238(-) ATACAAAGGAA >hg38_chr5:155483250-155483260(-) GAACAATGGGG >hg38_chr5:155512570-155512580(+) AAACAATGAGA >hg38_chr5:155540297-155540307(-) ATACAATAATT >hg38_chr5:155614341-155614351(+) GGACAATGTTT >hg38_chr5:155614393-155614403(-) GCACAATGGCA >hg38_chr5:155638624-155638634(+) agacaatcgga >hg38_chr5:155654560-155654570(+) acacaaaggga >hg38_chr5:155661776-155661786(+) Taacaatggtt >hg38_chr5:155729807-155729817(-) ACACAATGAGG >hg38_chr5:155924298-155924308(+) GGACAAAGGCA >hg38_chr5:155927689-155927699(+) gcacaatgacc >hg38_chr5:155981719-155981729(+) CTACAATGAAT >hg38_chr5:155999547-155999557(+) atataatgata >hg38_chr5:156042955-156042965(+) ttacaaaggca >hg38_chr5:156042983-156042993(-) tgacaatagcc >hg38_chr5:156121017-156121027(+) TTACAATGTTC >hg38_chr5:156203376-156203386(-) GAACAATGCCT >hg38_chr5:156224768-156224778(-) AGACAAAGGCA >hg38_chr5:156225079-156225089(-) caacaatgcca >hg38_chr5:156246111-156246121(-) AGACAATAGAG >hg38_chr5:156260937-156260947(-) atacaatgaag >hg38_chr5:156293685-156293695(-) tgataatggca >hg38_chr5:156293693-156293703(-) aaacaatgtga >hg38_chr5:156300852-156300862(-) atataatgata >hg38_chr5:156328237-156328247(+) GTACAATGTCA >hg38_chr5:156331294-156331304(+) ATACAAAGGAA >hg38_chr5:156366683-156366693(+) atacaatgaag >hg38_chr5:156380523-156380533(-) TCACAATGCCT >hg38_chr5:156388998-156389008(+) GTACAATACAA >hg38_chr5:156437585-156437595(+) GAACAATGCAA >hg38_chr5:156462207-156462217(+) TAACAATAAAA >hg38_chr5:156473400-156473410(+) tgacaaaggga >hg38_chr5:156473432-156473442(-) tcacaatgtcc >hg38_chr5:156488229-156488239(+) ggacaatggca >hg38_chr5:156560074-156560084(-) TAACAATGCTA >hg38_chr5:156590084-156590094(-) TAACAATGCCA >hg38_chr5:156591129-156591139(+) ACACAGTGGAA >hg38_chr5:156591748-156591758(+) GAACAATGAGT >hg38_chr5:156623584-156623594(-) GAACAATGCAC >hg38_chr5:156640535-156640545(-) GCACAATGCTG >hg38_chr5:156694388-156694398(+) GAACAATAGCC >hg38_chr5:156706871-156706881(-) AAACAATGGGT >hg38_chr5:156729968-156729978(+) AAACAATGGCC >hg38_chr5:156730001-156730011(-) GCACAATGAAA >hg38_chr5:156794495-156794505(+) tgacaatgctg >hg38_chr5:156798331-156798341(+) agacaaaggga >hg38_chr5:156802328-156802338(+) ATACAAAGGAG >hg38_chr5:156937950-156937960(+) TAACAATGGCA >hg38_chr5:157027186-157027196(+) taacaaaggtg >hg38_chr5:157074489-157074499(-) gtacaatgctt >hg38_chr5:157146487-157146497(-) atacaatggaa >hg38_chr5:157172172-157172182(-) ATATAATGGTA >hg38_chr5:157194605-157194615(+) TGACAATGATC >hg38_chr5:157233616-157233626(+) ttacaatgcaa >hg38_chr5:157245698-157245708(-) AAACAAAGGCG >hg38_chr5:157249804-157249814(+) aaacaatAGAG >hg38_chr5:157251779-157251789(-) taacaaaggaa >hg38_chr5:157253658-157253668(-) ACACAATGCTG >hg38_chr5:157268687-157268697(+) TAACAATGAGT >hg38_chr5:157270200-157270210(-) AAATAATGACG >hg38_chr5:157274332-157274342(+) atacaatacgg >hg38_chr5:157284145-157284155(-) TTACAAAGGCA >hg38_chr5:157390783-157390793(+) GTACAAAGATA >hg38_chr5:157432799-157432809(+) acacaatgtac >hg38_chr5:157433497-157433507(+) ccacaatgata >hg38_chr5:157512001-157512011(+) AAACAATAGAT >hg38_chr5:157568894-157568904(+) atacaatgacc >hg38_chr5:157568917-157568927(+) agacaatggtt >hg38_chr5:157578717-157578727(-) aaacaaaggaa >hg38_chr5:157590027-157590037(+) ctataatggtg >hg38_chr5:157647955-157647965(+) GAACAGTGGAA >hg38_chr5:157647963-157647973(+) GAACTATGGCA >hg38_chr5:157709454-157709464(-) GCACAATGCCT >hg38_chr5:157786550-157786560(-) TGACAAAGGAA >hg38_chr5:157819756-157819766(-) AAACAATGCAA >hg38_chr5:157824533-157824543(+) atacaatgcaa >hg38_chr5:157843446-157843456(+) ACACAATAGTG >hg38_chr5:157852473-157852483(+) TGACAATGATA >hg38_chr5:157855560-157855570(+) CCACAATGTTC >hg38_chr5:157861742-157861752(-) TTACTATGGAA >hg38_chr5:157908748-157908758(-) ttacaatgccc >hg38_chr5:157948916-157948926(+) GCACAATGCTC >hg38_chr5:157971790-157971800(-) gaacaatagaa >hg38_chr5:158011524-158011534(+) TGACAATGACA >hg38_chr5:158013470-158013480(+) tgacaatgatg >hg38_chr5:158046013-158046023(+) ATACAATAGGc >hg38_chr5:158081360-158081370(+) atacaatggta >hg38_chr5:158081376-158081386(-) gtacaatagcc >hg38_chr5:158115956-158115966(-) ACACAATAGAA >hg38_chr5:158127416-158127426(+) ACACAATGGGC >hg38_chr5:158135889-158135899(+) AAACAATGCCC >hg38_chr5:158238786-158238796(+) CAACaatgagg >hg38_chr5:158257056-158257066(-) acacaatgtag >hg38_chr5:158272586-158272596(+) TAACACTGGTT >hg38_chr5:158273086-158273096(+) tcacaatgcat >hg38_chr5:158308498-158308508(-) AAACAAAGGAA >hg38_chr5:158354576-158354586(-) agacaatggag >hg38_chr5:158354629-158354639(-) gaacaaaggta >hg38_chr5:158358902-158358912(+) GGACAATGAAA >hg38_chr5:158358924-158358934(+) TGACAATGTCT >hg38_chr5:158364481-158364491(-) CAACAATGGCC >hg38_chr5:158407091-158407101(-) CAACAATAgca >hg38_chr5:158411189-158411199(-) TTATAATGGTT >hg38_chr5:158431275-158431285(-) acataatggat >hg38_chr5:158431289-158431299(-) acataatggtt >hg38_chr5:158451486-158451496(-) GGACAATAAGA >hg38_chr5:158453461-158453471(+) gaacaatagat >hg38_chr5:158471996-158472006(-) GAACAATAGCT >hg38_chr5:158519828-158519838(+) TTACAATGTGA >hg38_chr5:158524023-158524033(-) GAACAATGGCA >hg38_chr5:158529616-158529626(+) TCACAATATAT >hg38_chr5:158546800-158546810(-) CGACAATAATT >hg38_chr5:158560511-158560521(+) AGACAATGGGC >hg38_chr5:158609909-158609919(-) TAACAATGTCA >hg38_chr5:158635955-158635965(+) agacaatggca >hg38_chr5:158689103-158689113(-) GAACAAAGGAT >hg38_chr5:158691803-158691813(+) aaacaatgaag >hg38_chr5:158696134-158696144(+) GTACAATGTGA >hg38_chr5:158696845-158696855(-) AGACAATGTAA >hg38_chr5:158727852-158727862(+) atacaaaggca >hg38_chr5:158758129-158758139(-) caataatggag >hg38_chr5:158769580-158769590(+) GTACAATGGTT >hg38_chr5:158804964-158804974(+) CAACAATAGTA >hg38_chr5:158804994-158805004(+) ATACAATAAGT >hg38_chr5:158812715-158812725(+) ACACAATGTCA >hg38_chr5:158824433-158824443(+) AGACAATGGCA >hg38_chr5:158858094-158858104(+) ACACAATGCCT >hg38_chr5:158869309-158869319(+) TAACAATGTGC >hg38_chr5:158872829-158872839(+) atacaatgggt >hg38_chr5:158925266-158925276(-) agacaataaga >hg38_chr5:158932292-158932302(+) atacaatatta >hg38_chr5:158933939-158933949(+) TCACAATGCTT >hg38_chr5:158951289-158951299(+) GAACAATGGCT >hg38_chr5:158951806-158951816(+) AGACAATGAGG >hg38_chr5:158958229-158958239(+) TTACAATAGAA >hg38_chr5:158967919-158967929(+) GAACAATGGCT >hg38_chr5:158976170-158976180(-) ACACAATGAAT >hg38_chr5:158978971-158978981(+) AGACAATGCAA >hg38_chr5:158992565-158992575(-) TGACAATGCCC >hg38_chr5:159002425-159002435(-) TGACAATGAGC >hg38_chr5:159007076-159007086(-) TCACAATGAGG >hg38_chr5:159007100-159007110(-) TGACAATGATA >hg38_chr5:159011659-159011669(+) AAACAATGTCT >hg38_chr5:159045659-159045669(+) AGACAATGACA >hg38_chr5:159045684-159045694(+) GGACAATGAAG >hg38_chr5:159055936-159055946(-) AAACAAAGGAC >hg38_chr5:159071512-159071522(+) CAACAATAGAA >hg38_chr5:159082658-159082668(+) ACACAATAGAT >hg38_chr5:159099974-159099984(+) AAACAATAGAC >hg38_chr5:159125808-159125818(-) gaacaaaggaa >hg38_chr5:159131766-159131776(+) TTATAATGGTT >hg38_chr5:159176237-159176247(+) TTACAATAGCT >hg38_chr5:159176258-159176268(-) AGACAATGTTC >hg38_chr5:159197721-159197731(+) tgacaatggca >hg38_chr5:159207472-159207482(-) AGATAATGGTG >hg38_chr5:159207834-159207844(+) ATACAAAGGCC >hg38_chr5:159242425-159242435(+) gcacaatagcc >hg38_chr5:159253645-159253655(-) GAACAATAAAA >hg38_chr5:159286667-159286677(-) TGACAATGTTC >hg38_chr5:159301448-159301458(+) ttacaaaggaa >hg38_chr5:159403699-159403709(-) CCACAATGCAC >hg38_chr5:159412761-159412771(+) TAACAATGTAA >hg38_chr5:159473902-159473912(+) tcacaatgaga >hg38_chr5:159475301-159475311(-) aaacaatgaac >hg38_chr5:159522722-159522732(+) aaacaaaggct >hg38_chr5:159555276-159555286(+) gcacaataata >hg38_chr5:159555338-159555348(+) ttacaatgagg >hg38_chr5:159578408-159578418(+) gtacaatggaa >hg38_chr5:159582062-159582072(-) ATACAATGGGA >hg38_chr5:159595253-159595263(+) GGACAATAGAA >hg38_chr5:159602665-159602675(-) taacaatgtct >hg38_chr5:159636047-159636057(+) agacaatggga >hg38_chr5:159636470-159636480(+) gtacaaaggag >hg38_chr5:159636543-159636553(+) taacaatgtag >hg38_chr5:159697139-159697149(+) GAACAATGTAG >hg38_chr5:159774703-159774713(+) AGACAATAGCC >hg38_chr5:159779505-159779515(-) AAACaatacta >hg38_chr5:159783916-159783926(+) AGACAGTGGAA >hg38_chr5:159810216-159810226(-) ggacagtggat >hg38_chr5:159821668-159821678(+) GCACAAAGGGA >hg38_chr5:159905557-159905567(+) agacaatgcac >hg38_chr5:159956500-159956510(-) CAACAATGGGA >hg38_chr5:159963747-159963757(-) GAACAGTGGAG >hg38_chr5:159963754-159963764(-) GAACAATGAAC >hg38_chr5:159978576-159978586(+) AGACAATAGCT >hg38_chr5:160009018-160009028(+) GTACAATGACG >hg38_chr5:160011380-160011390(+) ctacaatgtgc >hg38_chr5:160067661-160067671(-) AGACAATGCAC >hg38_chr5:160119794-160119804(-) TGATAATGGGC >hg38_chr5:160147057-160147067(-) GAACAATGAGC >hg38_chr5:160160230-160160240(-) AAACAAAGGAC >hg38_chr5:160226766-160226776(-) taacaatGGCa >hg38_chr5:160226785-160226795(-) caacaatgact >hg38_chr5:160237676-160237686(-) TAACAAAGGGC >hg38_chr5:160269249-160269259(-) GCACAAAGGAA >hg38_chr5:160278444-160278454(+) CCACAATGGCC >hg38_chr5:160370257-160370267(-) TTACAATAGTA >hg38_chr5:160376412-160376422(+) gaacaatgtat >hg38_chr5:160379673-160379683(-) tgacaatgaca >hg38_chr5:160383082-160383092(+) agacaaaggga >hg38_chr5:160383731-160383741(+) acacaatggaa >hg38_chr5:160424540-160424550(-) gaacaatgtct >hg38_chr5:160439273-160439283(+) GGACAAAGGTG >hg38_chr5:160444662-160444672(-) agacaataaga >hg38_chr5:160524600-160524610(-) TGACAATGCTT >hg38_chr5:160529721-160529731(+) gaacaatggtg >hg38_chr5:160567181-160567191(+) ccacaatgggc >hg38_chr5:160570816-160570826(-) gaacaatgaaa >hg38_chr5:160570900-160570910(-) agacagtggaa >hg38_chr5:160591240-160591250(+) ATACAATGCCT >hg38_chr5:160627286-160627296(-) ggacaatgctc >hg38_chr5:160627294-160627304(-) tgactatggga >hg38_chr5:160688004-160688014(+) GGACAATGGGG >hg38_chr5:160727409-160727419(+) AAACAAAGGTA >hg38_chr5:161114365-161114375(-) TCACAAAGGAA >hg38_chr5:161120318-161120328(-) gaacaatcggt >hg38_chr5:161184624-161184634(+) ctacaatggca >hg38_chr5:161184641-161184651(-) tcacaatgtct >hg38_chr5:161277209-161277219(-) TAACAATGAAA >hg38_chr5:161277217-161277227(+) TTACTATGGTT >hg38_chr5:161326729-161326739(+) ACACAATGGAT >hg38_chr5:161327935-161327945(+) ACACAAAGGAC >hg38_chr5:161330906-161330916(-) CAACAATGAGA >hg38_chr5:161333613-161333623(-) ttacaaaggga >hg38_chr5:161432093-161432103(+) TAACAATGTGA >hg38_chr5:161455283-161455293(+) GGATAATGGAT >hg38_chr5:161517113-161517123(+) ACACAATGAAG >hg38_chr5:161544715-161544725(+) AAACAATGGAG >hg38_chr5:161600417-161600427(+) GAACAATGAAG >hg38_chr5:161676296-161676306(+) AGACAAtgctc >hg38_chr5:161687069-161687079(+) TGATAATGGGC >hg38_chr5:161758364-161758374(+) GAACAAAGGAC >hg38_chr5:161820758-161820768(-) aCACAATAGAC >hg38_chr5:161840113-161840123(+) TAACAATAGCT >hg38_chr5:161960572-161960582(+) tcataatggag >hg38_chr5:162110465-162110475(+) GAACAATAGCT >hg38_chr5:162114971-162114981(-) AGACAATGGCa >hg38_chr5:162114997-162115007(-) TGACAATGCAA >hg38_chr5:162128462-162128472(+) GAACAATGCTG >hg38_chr5:162249994-162250004(+) ATATAATGATA >hg38_chr5:162250002-162250012(+) ATACAATGTGA >hg38_chr5:162591885-162591895(+) gtacaatggaa >hg38_chr5:162591929-162591939(+) atacaatggaa >hg38_chr5:162639114-162639124(+) aaacaataggt >hg38_chr5:162655162-162655172(+) TAACAATGGtt >hg38_chr5:162784349-162784359(+) TAACAAAGGCT >hg38_chr5:162865751-162865761(-) caacaatgcaa >hg38_chr5:162865816-162865826(+) agacaatgtct >hg38_chr5:163099356-163099366(+) gcacaatgtcc >hg38_chr5:163253045-163253055(-) AAACAATAACA >hg38_chr5:163311910-163311920(+) gaacaaaggat >hg38_chr5:163363341-163363351(+) AGACAATGGGA >hg38_chr5:163373692-163373702(+) tcacaatagcc >hg38_chr5:163379808-163379818(+) GAACAATGAAT >hg38_chr5:163386709-163386719(-) atacaatacaa >hg38_chr5:163431648-163431658(-) CTACAATGGAA >hg38_chr5:163433543-163433553(+) GGACAATGACC >hg38_chr5:163434791-163434801(-) GAATAATGGCC >hg38_chr5:163435594-163435604(-) caacaatgaga >hg38_chr5:163438387-163438397(-) taacaatatct >hg38_chr5:163441338-163441348(-) AAACAAAGGTC >hg38_chr5:163442055-163442065(-) AGACAATGCCA >hg38_chr5:163460275-163460285(+) GAACAATGGGA >hg38_chr5:163462041-163462051(+) tcacaaaggaa >hg38_chr5:163489455-163489465(+) cgacaatatcc >hg38_chr5:163489493-163489503(+) ggacaatggca >hg38_chr5:163496651-163496661(+) gaacaaaggtt >hg38_chr5:163622934-163622944(+) GTACAGTGGAG >hg38_chr5:163623718-163623728(-) TGACAATGTTT >hg38_chr5:163688970-163688980(+) atacaatatga >hg38_chr5:163696558-163696568(-) AAACAATGACT >hg38_chr5:163725051-163725061(-) ccacaatgaga >hg38_chr5:163730340-163730350(+) TCACAATGTGA >hg38_chr5:163730358-163730368(+) ACACAGTGGTA >hg38_chr5:163759513-163759523(+) GTATAATGGCC >hg38_chr5:163772348-163772358(+) ATACAAAGGAC >hg38_chr5:163772355-163772365(+) GGACAATGCCA >hg38_chr5:163819629-163819639(-) aaacaaaggga >hg38_chr5:163846349-163846359(-) AGACAATGAGT >hg38_chr5:163846363-163846373(-) GTACAATACGT >hg38_chr5:163868760-163868770(+) taacaatgttt >hg38_chr5:163868802-163868812(+) gcacaatgctg >hg38_chr5:163868956-163868966(+) GCACAATGTCA >hg38_chr5:163869313-163869323(+) GGACAATGTCT >hg38_chr5:163870864-163870874(+) GAACAAAGGCA >hg38_chr5:163921814-163921824(-) GAATAATGGAA >hg38_chr5:164013364-164013374(-) AAACAATGCTA >hg38_chr5:164013546-164013556(+) agacaatggag >hg38_chr5:164083045-164083055(+) TTACAATGAGG >hg38_chr5:164083106-164083116(-) CAACAATAGTT >hg38_chr5:164091601-164091611(-) CAACAATGGGC >hg38_chr5:164091617-164091627(-) AGACAATGACA >hg38_chr5:164091645-164091655(-) CAACAATAGCC >hg38_chr5:164189442-164189452(-) TAACAATGGGC >hg38_chr5:164190521-164190531(+) GAACAATGAAC >hg38_chr5:164190542-164190552(+) AGACAATAGCA >hg38_chr5:164190559-164190569(+) TTACAATAAAC >hg38_chr5:164191513-164191523(+) TTACAATGCCT >hg38_chr5:164254179-164254189(-) TGACAATGACA >hg38_chr5:164254200-164254210(+) GCACAATGGAG >hg38_chr5:164255791-164255801(-) AGACAAAGGCA >hg38_chr5:164360719-164360729(+) ATACAATGGCA >hg38_chr5:164364909-164364919(+) ATACAATGCTA >hg38_chr5:164475486-164475496(+) GCACAATGCAG >hg38_chr5:164545703-164545713(+) acacaatggat >hg38_chr5:164597525-164597535(-) atataatggtt >hg38_chr5:164597535-164597545(-) atacaatggta >hg38_chr5:164687631-164687641(+) TAACAATGCAT >hg38_chr5:164778889-164778899(+) taacaatgaga >hg38_chr5:164832774-164832784(-) TGACAATGCTG >hg38_chr5:164835436-164835446(-) AAATAATGGAA >hg38_chr5:165055545-165055555(+) tgataatgggt >hg38_chr5:165055595-165055605(-) tcacaatgaat >hg38_chr5:165089313-165089323(+) caacaatgctc >hg38_chr5:165128165-165128175(+) TTACAATAAAC >hg38_chr5:165138290-165138300(-) TAACAATGCAA >hg38_chr5:165148833-165148843(-) TAACAATACTA >hg38_chr5:165149650-165149660(+) GGACAATGAGC >hg38_chr5:165152205-165152215(+) ACACAATAGAG >hg38_chr5:165266126-165266136(+) TGACAATGCCC >hg38_chr5:165266159-165266169(+) AGACAATAAAC >hg38_chr5:165328799-165328809(-) agacaaaggat >hg38_chr5:165457991-165458001(+) TGACAATGGGC >hg38_chr5:165760664-165760674(+) aaacaatggcg >hg38_chr5:165760688-165760698(+) tgacaatagcg >hg38_chr5:166075430-166075440(-) taataatgtaa >hg38_chr5:166088643-166088653(+) gaacaaaggag >hg38_chr5:166111320-166111330(+) taacaataaaa >hg38_chr5:166117451-166117461(+) ATACAATAAAC >hg38_chr5:166163622-166163632(+) TTACAAAGGGC >hg38_chr5:166166220-166166230(+) GAACAATAGTC >hg38_chr5:166166241-166166251(+) AAACAAAGGCT >hg38_chr5:166205857-166205867(+) GAACAATGTAG >hg38_chr5:166221366-166221376(-) TAACAATGACA >hg38_chr5:166221409-166221419(-) GCACAATAGAT >hg38_chr5:166240629-166240639(+) tcacaatagct >hg38_chr5:166240691-166240701(-) atacaatacac >hg38_chr5:166240704-166240714(+) atacaatggga >hg38_chr5:166307762-166307772(-) AAACAATGAAG >hg38_chr5:166336750-166336760(-) CCACAATAGTT >hg38_chr5:166342637-166342647(-) ggacaaaggaa >hg38_chr5:166399371-166399381(-) ATACAATAAAA >hg38_chr5:166430977-166430987(+) TAATAATGGGT >hg38_chr5:166431280-166431290(+) ACACAATGTTT >hg38_chr5:166437015-166437025(+) ttacaatgcca >hg38_chr5:166505760-166505770(-) GAACAATGTTC >hg38_chr5:166529953-166529963(+) TAACAATGACT >hg38_chr5:166603527-166603537(-) caacaatagaa >hg38_chr5:166603584-166603594(-) ccacaatgaga >hg38_chr5:166618721-166618731(+) atacaataagg >hg38_chr5:166768140-166768150(-) CGACAATGTCT >hg38_chr5:166782339-166782349(+) taacaataacg >hg38_chr5:166782347-166782357(-) tcacaatgcgt >hg38_chr5:166795887-166795897(-) GGACTATGGAA >hg38_chr5:166797945-166797955(-) agacaatgcaa >hg38_chr5:166797976-166797986(+) tgacaatgtca >hg38_chr5:166801549-166801559(+) GAACAATAATA >hg38_chr5:166856882-166856892(-) GGACAATGGGG >hg38_chr5:166975545-166975555(+) GAACAAAGGTG >hg38_chr5:166993057-166993067(-) CTACAATAGTT >hg38_chr5:167009179-167009189(+) ACACAATCGGA >hg38_chr5:167011899-167011909(-) GAACAATGCAC >hg38_chr5:167011932-167011942(-) CGACAATATGC >hg38_chr5:167098780-167098790(-) GAACAATGTGC >hg38_chr5:167098793-167098803(+) AAACAATGCTA >hg38_chr5:167186855-167186865(+) TTACAATGGTG >hg38_chr5:167219520-167219530(-) GAACAATGGCC >hg38_chr5:167245592-167245602(+) taacaataatt >hg38_chr5:167245629-167245639(+) ggacaatggtt >hg38_chr5:167245659-167245669(+) aaacaatggaa >hg38_chr5:167255964-167255974(+) AAACAATGAGT >hg38_chr5:167256282-167256292(+) TGACAATGAGT >hg38_chr5:167277176-167277186(-) aaacaatgaaa >hg38_chr5:167277230-167277240(-) atacactggac >hg38_chr5:167278616-167278626(-) gtacaatgcag >hg38_chr5:167287754-167287764(-) CCACAATAGAA >hg38_chr5:167305921-167305931(+) GGACAatggga >hg38_chr5:167307824-167307834(+) ATACAATGTTA >hg38_chr5:167307833-167307843(+) TAACACTGGGA >hg38_chr5:167319434-167319444(+) taacaatgatc >hg38_chr5:167355617-167355627(-) AGACAATGGTT >hg38_chr5:167359171-167359181(+) aaacaatgcaa >hg38_chr5:167364634-167364644(+) ttacaataaaa >hg38_chr5:167366240-167366250(-) atacaataggt >hg38_chr5:167393731-167393741(-) acacaatagcc >hg38_chr5:167406366-167406376(+) TATCAATGGAC >hg38_chr5:167453392-167453402(-) AAACAATAGTG >hg38_chr5:167453447-167453457(-) TCACAATGTGA >hg38_chr5:167516854-167516864(+) TTACAATGGCC >hg38_chr5:167517433-167517443(+) AGATAATGGAT >hg38_chr5:167528199-167528209(+) CAATAATGGGA >hg38_chr5:167528870-167528880(-) TGACAAAGGAC >hg38_chr5:167544455-167544465(+) CTACAATGGGA >hg38_chr5:167546346-167546356(-) AGACAATGACT >hg38_chr5:167579195-167579205(+) TTACAATGGAA >hg38_chr5:167587107-167587117(+) ATATAATGGGG >hg38_chr5:167587403-167587413(-) GCACAATGACA >hg38_chr5:167588513-167588523(-) TAACAATGCTT >hg38_chr5:167609209-167609219(-) gtacaatgggt >hg38_chr5:167616409-167616419(-) AGACAATGAAG >hg38_chr5:167622952-167622962(+) TAACAATGTAT >hg38_chr5:167627010-167627020(+) TAACAATGACA >hg38_chr5:167628897-167628907(-) AAACAATGCAA >hg38_chr5:167649712-167649722(-) ACACAATGATG >hg38_chr5:167649736-167649746(+) TAACAATGTAT >hg38_chr5:167657339-167657349(-) acacaatagag >hg38_chr5:167669047-167669057(+) TAACAATAAGC >hg38_chr5:167671169-167671179(-) GAACTATGGGG >hg38_chr5:167688400-167688410(-) CAACAATAGGG >hg38_chr5:167688426-167688436(+) CCACAATGGAG >hg38_chr5:167699593-167699603(+) GAACAATGCCC >hg38_chr5:167720815-167720825(-) AAACAATAATA >hg38_chr5:167731832-167731842(+) tcacaatgcct >hg38_chr5:167750403-167750413(-) GGACAATGCCT >hg38_chr5:167759763-167759773(-) CAACAATAGAT >hg38_chr5:167772459-167772469(-) CCACAATGAAT >hg38_chr5:167786206-167786216(-) AAACAATAGCA >hg38_chr5:167808927-167808937(-) aaacaatgata >hg38_chr5:167810751-167810761(+) TCACAATGGAC >hg38_chr5:167815442-167815452(-) ATACAACGATA >hg38_chr5:167839337-167839347(-) AAACAATAAAT >hg38_chr5:167853942-167853952(-) GTACAATGTTC >hg38_chr5:167880581-167880591(+) tcacaatgagg >hg38_chr5:167884852-167884862(-) gtacagtggta >hg38_chr5:167885339-167885349(-) gaacaatggct >hg38_chr5:167912455-167912465(-) caacaatgaac >hg38_chr5:167916334-167916344(-) GTACAATAACG >hg38_chr5:167919458-167919468(-) CCACAATAGTC >hg38_chr5:167941359-167941369(-) GGACAATGTGA >hg38_chr5:167953647-167953657(-) AAACAATAGCA >hg38_chr5:167962901-167962911(-) TAACAatgcgg >hg38_chr5:168005218-168005228(+) ATACAATGAAA >hg38_chr5:168047482-168047492(+) TAACAATGGGA >hg38_chr5:168048123-168048133(+) GGACAATAGAA >hg38_chr5:168049254-168049264(+) tgacaatagtg >hg38_chr5:168118461-168118471(+) CTACAAAGGCG >hg38_chr5:168118737-168118747(-) aaacaaaggtg >hg38_chr5:168118769-168118779(-) agacaaaggca >hg38_chr5:168128334-168128344(+) TTACAATAGCT >hg38_chr5:168131747-168131757(-) GGACAATAGCC >hg38_chr5:168148368-168148378(-) GTACAATGGTA >hg38_chr5:168159559-168159569(+) ACACAAAGGAT >hg38_chr5:168175594-168175604(+) aaacagtggaa >hg38_chr5:168194244-168194254(-) GGACAATGAGA >hg38_chr5:168205857-168205867(-) acacaatagcc >hg38_chr5:168207593-168207603(+) AAACAAAGGGC >hg38_chr5:168207683-168207693(-) TTACAATGGAG >hg38_chr5:168209396-168209406(-) ctacaatgttg >hg38_chr5:168251866-168251876(+) GGACAAAGGAA >hg38_chr5:168276186-168276196(-) gaacaatgctt >hg38_chr5:168285917-168285927(+) agacaataggc >hg38_chr5:168286448-168286458(+) GTACCATGGCG >hg38_chr5:168296135-168296145(-) GAACAATCGGT >hg38_chr5:168317225-168317235(-) agacaatgcag >hg38_chr5:168330520-168330530(-) TAACAATAGTG >hg38_chr5:168339151-168339161(-) AAACAATAGCC >hg38_chr5:168346641-168346651(-) CAACAATGGCT >hg38_chr5:168357592-168357602(+) GGACAATGCCC >hg38_chr5:168359851-168359861(-) gcacaaaggac >hg38_chr5:168365241-168365251(-) GCACAATGGCC >hg38_chr5:168402036-168402046(-) GAATAATGGGA >hg38_chr5:168403952-168403962(+) GCATAATGGTG >hg38_chr5:168403963-168403973(-) GAACAAAGGGT >hg38_chr5:168448125-168448135(+) TTACAAAGGGG >hg38_chr5:168514035-168514045(-) agacaatggag >hg38_chr5:168514052-168514062(-) aaactatggta >hg38_chr5:168514084-168514094(-) aaacaatgaaa >hg38_chr5:168519534-168519544(-) GGACAATGAAG >hg38_chr5:168519558-168519568(-) GAACAATGAAA >hg38_chr5:168562143-168562153(+) GGACAATGCAG >hg38_chr5:168562553-168562563(+) CAACAATGGTT >hg38_chr5:168562569-168562579(+) GCATAATGGGA >hg38_chr5:168580140-168580150(+) TGACAATAGCA >hg38_chr5:168592398-168592408(+) Taacaatgaag >hg38_chr5:168612258-168612268(-) ACACAGTGGAA >hg38_chr5:168730260-168730270(+) cgacaatacaa >hg38_chr5:168730265-168730275(+) atacaataata >hg38_chr5:168797031-168797041(+) TAACAATGCCT >hg38_chr5:168797037-168797047(-) GTACAAAGGCA >hg38_chr5:168827776-168827786(+) ACATAATGGAC >hg38_chr5:168834265-168834275(+) AAATAATGGGG >hg38_chr5:168834317-168834327(-) CAACAATGGCC >hg38_chr5:168856820-168856830(-) GAACAAAGGCG >hg38_chr5:168866336-168866346(-) GAACAAAGGCA >hg38_chr5:168869913-168869923(+) TAACAATGGCA >hg38_chr5:168874465-168874475(+) gaacaatgaaa >hg38_chr5:168883494-168883504(-) GAACAATGTGA >hg38_chr5:168889366-168889376(+) TTACAATGGAG >hg38_chr5:168943844-168943854(+) CTACAATGGCA >hg38_chr5:168977567-168977577(+) AGACAAAGGGC >hg38_chr5:169029825-169029835(-) TTACAGTGGAT >hg38_chr5:169088428-169088438(+) cgacaataaca >hg38_chr5:169088434-169088444(+) taacaatgaca >hg38_chr5:169119426-169119436(-) GAACAATGGCA >hg38_chr5:169127585-169127595(-) TGACAATAGAG >hg38_chr5:169160821-169160831(-) GAACAATGGGG >hg38_chr5:169164682-169164692(-) GAACAATAGCT >hg38_chr5:169165315-169165325(-) ATACAATAGGA >hg38_chr5:169204969-169204979(+) aaacaatagaa >hg38_chr5:169210794-169210804(-) AAACAATGAAC >hg38_chr5:169243270-169243280(+) TCACAAAGGAC >hg38_chr5:169243318-169243328(+) ATACAAAGGAA >hg38_chr5:169265380-169265390(+) taacaatggat >hg38_chr5:169321117-169321127(+) AGACAATGGTG >hg38_chr5:169350567-169350577(+) aaacaatggca >hg38_chr5:169458475-169458485(-) CTACAATGGAG >hg38_chr5:169458520-169458530(+) TTACAATGAAG >hg38_chr5:169474406-169474416(-) AAACAATGAAT >hg38_chr5:169527904-169527914(+) agacaatgcaa >hg38_chr5:169566771-169566781(-) taacaatgcat >hg38_chr5:169589295-169589305(-) caacaatggaa >hg38_chr5:169595267-169595277(-) GCACAATGGAT >hg38_chr5:169598752-169598762(-) GGACAAAGGAG >hg38_chr5:169626979-169626989(-) ccacaatgact >hg38_chr5:169627019-169627029(+) ggataatggaa >hg38_chr5:169627057-169627067(+) tcacaatgtca >hg38_chr5:169640567-169640577(+) gtacaataaag >hg38_chr5:169711531-169711541(-) TAACAATGGTT >hg38_chr5:169711595-169711605(-) GCACAATGCAC >hg38_chr5:169731637-169731647(-) GCACAATGACT >hg38_chr5:169757498-169757508(+) agacAATGGCT >hg38_chr5:169762800-169762810(+) AAACAAAGGGT >hg38_chr5:169763434-169763444(-) GAACAATGAAG >hg38_chr5:169763453-169763463(-) TGACAATGACA >hg38_chr5:169764970-169764980(-) AAACAATGAAG >hg38_chr5:169808354-169808364(-) AAACAATGACA >hg38_chr5:169882134-169882144(-) TGACAAAGGAC >hg38_chr5:169882142-169882152(+) TCACAATGACA >hg38_chr5:169945829-169945839(-) GCACAAAGGAC >hg38_chr5:169980901-169980911(-) GGACAATGGCT >hg38_chr5:170065339-170065349(+) gaacaaaggat >hg38_chr5:170065366-170065376(+) aaacaatgaac >hg38_chr5:170113459-170113469(+) ACACAATGAAA >hg38_chr5:170132928-170132938(+) AAACAATGTCC >hg38_chr5:170221524-170221534(+) AGATAATGGGG >hg38_chr5:170230820-170230830(+) GGACAATAGGA >hg38_chr5:170238584-170238594(+) ctacaatgaaa >hg38_chr5:170251624-170251634(-) GAACAAAGGAA >hg38_chr5:170252781-170252791(+) ATACAATAATT >hg38_chr5:170274054-170274064(-) agacaatgagc >hg38_chr5:170278169-170278179(+) caacaatgtgc >hg38_chr5:170280045-170280055(-) ggacaatgcct >hg38_chr5:170293691-170293701(-) AGACAATGGAT >hg38_chr5:170306082-170306092(-) GAACAAAGGCC >hg38_chr5:170306386-170306396(+) aaacaatagca >hg38_chr5:170421066-170421076(+) GGACAATAGCA >hg38_chr5:170469414-170469424(+) aaacaaTATAT >hg38_chr5:170483853-170483863(+) TCACAATGAGT >hg38_chr5:170522414-170522424(+) agacaatgcca >hg38_chr5:170600012-170600022(-) taacaatgcct >hg38_chr5:170746514-170746524(+) ACACAAAGACG >hg38_chr5:170746593-170746603(+) GAACAAAGGGG >hg38_chr5:170747649-170747659(+) CAACAATGAAA >hg38_chr5:170775265-170775275(+) gcacaatgagg >hg38_chr5:170782653-170782663(-) GTACAATGAAC >hg38_chr5:170801389-170801399(-) gaacaatgatg >hg38_chr5:170813479-170813489(+) TAACAATGAGA >hg38_chr5:170852078-170852088(-) AGATAATGGAG >hg38_chr5:170861455-170861465(+) CAACAATGGAA >hg38_chr5:170880663-170880673(-) atacaatacat >hg38_chr5:170880685-170880695(-) gtactatggtt >hg38_chr5:170888140-170888150(-) acacaataccg >hg38_chr5:170888184-170888194(-) acacaataccg >hg38_chr5:170896292-170896302(-) TAACAATGAAA >hg38_chr5:170927733-170927743(-) atactatggaa >hg38_chr5:170927749-170927759(-) aaactatggta >hg38_chr5:170929029-170929039(-) ttacaatagca >hg38_chr5:170938405-170938415(+) aaacaataaaa >hg38_chr5:170938426-170938436(+) aaacaatgaag >hg38_chr5:170967363-170967373(-) gcacaaaggAA >hg38_chr5:170982353-170982363(+) atacaattgac >hg38_chr5:170982360-170982370(+) tgacaatagct >hg38_chr5:170982922-170982932(-) agacaatgttt >hg38_chr5:170990880-170990890(+) ATACAATAGCG >hg38_chr5:170990906-170990916(-) CGACAATGCCT >hg38_chr5:170993573-170993583(+) agacaatgaga >hg38_chr5:170993582-170993592(+) gaataatggta >hg38_chr5:171044935-171044945(-) ACACAATAGTG >hg38_chr5:171045166-171045176(-) GAACAATATAA >hg38_chr5:171052568-171052578(-) gaacaaaggaa >hg38_chr5:171094571-171094581(+) TAACAATAGAA >hg38_chr5:171112692-171112702(+) TAACAATGACC >hg38_chr5:171112718-171112728(-) AAACAAAGGAT >hg38_chr5:171112727-171112737(-) ATACCATGGAA >hg38_chr5:171113343-171113353(-) ACACAATGACT >hg38_chr5:171140660-171140670(+) gcacaatggag >hg38_chr5:171155773-171155783(-) GAACAATGCTT >hg38_chr5:171157563-171157573(+) AAACAATGTGG >hg38_chr5:171189547-171189557(-) CCACAATGACG >hg38_chr5:171189852-171189862(-) ACACAATAGAA >hg38_chr5:171199612-171199622(+) GGACAATGTAC >hg38_chr5:171207538-171207548(-) AGACAAAGGTT >hg38_chr5:171249816-171249826(+) agacaaaggat >hg38_chr5:171294997-171295007(-) CCACAATGTCT >hg38_chr5:171309044-171309054(-) GAACAATAGGT >hg38_chr5:171318356-171318366(-) GAACAGTGGAT >hg38_chr5:171387636-171387646(-) GTACACTGGCC >hg38_chr5:171393183-171393193(+) TTACAATGCTG >hg38_chr5:171393248-171393258(-) GAACAAAGGGA >hg38_chr5:171397381-171397391(-) atacaatgggt >hg38_chr5:171399964-171399974(-) acacaatgaaa >hg38_chr5:171423378-171423388(+) TGACAATGACA >hg38_chr5:171462470-171462480(+) GAACAATAGTG >hg38_chr5:171469605-171469615(+) ccacaatagaa >hg38_chr5:171501729-171501739(+) ttacaatgaca >hg38_chr5:171535244-171535254(-) atacaatagaa >hg38_chr5:171558773-171558783(-) ggacaatagtc >hg38_chr5:171568861-171568871(-) caacaatggct >hg38_chr5:171568878-171568888(-) caacaatgggg >hg38_chr5:171570405-171570415(+) caacaatggct >hg38_chr5:171668024-171668034(+) GAACAATGTAC >hg38_chr5:171728385-171728395(+) taataatggca >hg38_chr5:171728393-171728403(+) gcacaaaggat >hg38_chr5:171728448-171728458(+) atacaatatta >hg38_chr5:171737180-171737190(+) gaacaaaggcc >hg38_chr5:171787635-171787645(-) TAACAATATTC >hg38_chr5:171787715-171787725(+) aaactatggaa >hg38_chr5:171836309-171836319(-) TAATAATGGAA >hg38_chr5:171850729-171850739(+) tcacaatgggg >hg38_chr5:171857831-171857841(-) GAACAATGCCT >hg38_chr5:171879695-171879705(+) ttacaatgtgt >hg38_chr5:171888162-171888172(+) gaacaatggaa >hg38_chr5:171938783-171938793(+) aaactatggca >hg38_chr5:171970986-171970996(-) AAACAATGTGA >hg38_chr5:172000137-172000147(-) TGACAATGGGG >hg38_chr5:172008851-172008861(+) taacaatggtt >hg38_chr5:172063799-172063809(-) TTACTATGGTA >hg38_chr5:172071533-172071543(-) ttacaatgaac >hg38_chr5:172103342-172103352(-) gaacaaaggag >hg38_chr5:172190203-172190213(+) gaacaataaac >hg38_chr5:172260972-172260982(+) CAACAATGATC >hg38_chr5:172268029-172268039(-) TTATAATGGCA >hg38_chr5:172279393-172279403(-) TAACAATGGTT >hg38_chr5:172280769-172280779(+) AAACAATAGAT >hg38_chr5:172283029-172283039(+) ATACAATGCCT >hg38_chr5:172283555-172283565(+) gAACAATGGGG >hg38_chr5:172313288-172313298(+) AGACAATGGAC >hg38_chr5:172403306-172403316(-) GCACAAAGGCG >hg38_chr5:172411387-172411397(-) GGACAAAGGGC >hg38_chr5:172411445-172411455(-) AAACAAAGGGA >hg38_chr5:172420830-172420840(-) gcacaatgata >hg38_chr5:172438534-172438544(+) TAACAATGCTC >hg38_chr5:172443639-172443649(+) agacaataaac >hg38_chr5:172453194-172453204(-) GAACAATGAAT >hg38_chr5:172467542-172467552(-) acacaatgtga >hg38_chr5:172486607-172486617(-) AGACAACGGGC >hg38_chr5:172520489-172520499(+) gcacaaaggac >hg38_chr5:172521804-172521814(+) gtacaatggat >hg38_chr5:172521831-172521841(+) atacaatgcaa >hg38_chr5:172557287-172557297(+) TAACAAAGGCA >hg38_chr5:172561380-172561390(-) ttacaatggaa >hg38_chr5:172571831-172571841(-) CCACAATGAGC >hg38_chr5:172571850-172571860(-) CAACAATAGTT >hg38_chr5:172641727-172641737(-) GAACAAAGGCG >hg38_chr5:172668636-172668646(-) GAAGAATGGCG >hg38_chr5:172668645-172668655(-) ACACAATGAGA >hg38_chr5:172713176-172713186(-) GAACAAAGGCG >hg38_chr5:172716887-172716897(-) CAACAATGACA >hg38_chr5:172748555-172748565(-) GAACAATAGGG >hg38_chr5:172765363-172765373(-) AAACAATGCCG >hg38_chr5:172776405-172776415(+) ctacaatggga >hg38_chr5:172776419-172776429(-) tcacaatatac >hg38_chr5:172777623-172777633(+) CAACAATGGCC >hg38_chr5:172814824-172814834(-) gtacaataata >hg38_chr5:172814840-172814850(+) tgacaatgaat >hg38_chr5:172835080-172835090(+) CCACAATGGGT >hg38_chr5:172866878-172866888(-) aaacaaaggca >hg38_chr5:172868704-172868714(+) agacaatgatg >hg38_chr5:172935615-172935625(-) TAACAATAAAA >hg38_chr5:172939315-172939325(-) AAACAAAGGGG >hg38_chr5:172939795-172939805(-) CCACAATGACG >hg38_chr5:172983510-172983520(-) TAACAATAGTT >hg38_chr5:172985714-172985724(-) GGATAATGGCA >hg38_chr5:172991204-172991214(-) aaacaatgact >hg38_chr5:173002215-173002225(-) gcataatggta >hg38_chr5:173010830-173010840(-) GAACAAAGGCA >hg38_chr5:173050873-173050883(-) ATACACTGGTA >hg38_chr5:173094181-173094191(-) caacaatgaac >hg38_chr5:173114253-173114263(-) GAACAATAGGA >hg38_chr5:173116367-173116377(-) ttacaaagggg >hg38_chr5:173136881-173136891(-) TCACAATGCCT >hg38_chr5:173136907-173136917(-) GAACAATGGGT >hg38_chr5:173139822-173139832(+) ggacaatagag >hg38_chr5:173204449-173204459(-) tcacaatagcc >hg38_chr5:173253440-173253450(+) TAACTATGGGG >hg38_chr5:173291852-173291862(+) tCACAATGCTA >hg38_chr5:173292454-173292464(-) acacaatggca >hg38_chr5:173298381-173298391(-) AGACAATAGGG >hg38_chr5:173333269-173333279(+) ACACAATAAAC >hg38_chr5:173412609-173412619(-) ACACAATGGGC >hg38_chr5:173465207-173465217(-) AAACAAAGGTG >hg38_chr5:173472405-173472415(+) CAACAATGCCC >hg38_chr5:173517597-173517607(-) GAACAAAGGTA >hg38_chr5:173530797-173530807(-) TGACAATGAAT >hg38_chr5:173561232-173561242(+) atacaatgtct >hg38_chr5:173592222-173592232(-) gcacaatggaa >hg38_chr5:173628087-173628097(+) TGACAATGGGA >hg38_chr5:173660903-173660913(-) GGACAATAGGG >hg38_chr5:173660938-173660948(-) AAACAAAGGCC >hg38_chr5:173660968-173660978(+) GCACAATGAGA >hg38_chr5:173673899-173673909(+) GAACAATGCAG >hg38_chr5:173689166-173689176(-) AAACAATGTAT >hg38_chr5:173710064-173710074(-) GCACAATAGAT >hg38_chr5:173766500-173766510(+) GAACAAAGGGA >hg38_chr5:173776718-173776728(+) ATACAATAGGG >hg38_chr5:173825598-173825608(-) AAACAATGACC >hg38_chr5:173841179-173841189(-) TAACAATGAAA >hg38_chr5:173893667-173893677(+) TAATAATGGTA >hg38_chr5:173893696-173893706(-) TAACAATAACG >hg38_chr5:173902948-173902958(-) aaacaaaggat >hg38_chr5:173910635-173910645(+) AGACAATAGTT >hg38_chr5:173915723-173915733(-) ATACAATATTC >hg38_chr5:173915733-173915743(-) TAACAATAAGA >hg38_chr5:173926057-173926067(-) CAACAATGTTG >hg38_chr5:173935395-173935405(-) AAACAATGACT >hg38_chr5:173935448-173935458(-) TTACAGTGGAC >hg38_chr5:173956260-173956270(+) GTATAATGAAA >hg38_chr5:173963228-173963238(-) AGACAATGTGT >hg38_chr5:173972097-173972107(+) gaacaatagcc >hg38_chr5:173973354-173973364(+) GGACAATGTCA >hg38_chr5:174007406-174007416(-) taacaatggca >hg38_chr5:174010083-174010093(-) atataatgata >hg38_chr5:174022921-174022931(+) gaacaatggat >hg38_chr5:174083277-174083287(-) GGACAATAGAT >hg38_chr5:174145933-174145943(-) AAACAAAGGCA >hg38_chr5:174236122-174236132(+) TGACAATGAAG >hg38_chr5:174306566-174306576(+) GTACAATGCCC >hg38_chr5:174312183-174312193(-) AAACAAAGGAG >hg38_chr5:174319069-174319079(-) gaacaatgata >hg38_chr5:174410782-174410792(-) TAACAATGGAT >hg38_chr5:174411659-174411669(+) AAACAATGACC >hg38_chr5:174416336-174416346(+) AGACAAAGGAG >hg38_chr5:174482663-174482673(-) GAACAATAAAT >hg38_chr5:174482689-174482699(+) GAACAATGGTA >hg38_chr5:174512021-174512031(-) aaacaatgctg >hg38_chr5:174520675-174520685(+) ACACAATGCCA >hg38_chr5:174581664-174581674(+) AAACAATAGAG >hg38_chr5:174602150-174602160(-) tgacaatgaaa >hg38_chr5:174708723-174708733(-) GCACAATGCAA >hg38_chr5:174785506-174785516(-) aaataatggcc >hg38_chr5:174940228-174940238(+) GGACAATGGGC >hg38_chr5:174940294-174940304(-) AAACAATGCCG >hg38_chr5:175002084-175002094(+) GAACAAAGGCA >hg38_chr5:175248565-175248575(+) CAACAATAGCA >hg38_chr5:175343532-175343542(-) ACACAATGATG >hg38_chr5:175343552-175343562(-) CAACAATGCCT >hg38_chr5:175418428-175418438(-) GCACAATGGAG >hg38_chr5:175425288-175425298(+) taacaatggaa >hg38_chr5:175427853-175427863(+) atacaatggaa >hg38_chr5:175448483-175448493(-) AAACAAAGGTG >hg38_chr5:175476881-175476891(+) ATACAATATAT >hg38_chr5:175524417-175524427(-) caacaatgaat >hg38_chr5:175524441-175524451(-) atacaatgcac >hg38_chr5:175604354-175604364(+) TCACAATAGGA >hg38_chr5:175604387-175604397(+) GAACAAAGGAG >hg38_chr5:175604534-175604544(-) ACACAATGGCT >hg38_chr5:175650218-175650228(-) aaacaatgggg >hg38_chr5:175716664-175716674(-) GAACAAAGGGA >hg38_chr5:175837665-175837675(-) CAACAATGAAC >hg38_chr5:175837685-175837695(+) TAACAATAAAG >hg38_chr5:175861404-175861414(+) tgacaaaggaa >hg38_chr5:176166638-176166648(-) GTAGAATGGCG >hg38_chr5:176166669-176166679(+) TAACAAAGGGC >hg38_chr5:176537059-176537069(+) GAACAATGTGC >hg38_chr5:176543635-176543645(+) AAACAATACGA >hg38_chr5:176661079-176661089(-) GAACGATGGCA >hg38_chr5:176762884-176762894(-) aaactatggac >hg38_chr5:176819763-176819773(-) GGACAATGGCC >hg38_chr5:176867106-176867116(+) TAACAATGGAA >hg38_chr5:176883839-176883849(+) TGACAATGGCA >hg38_chr5:176916965-176916975(+) AGACAATGATT >hg38_chr5:176922018-176922028(-) atacaaaggcc >hg38_chr5:176943963-176943973(-) TTACAATGTGG >hg38_chr5:176947041-176947051(-) ATACAAAGGAG >hg38_chr5:176947065-176947075(+) ATACAATGCAG >hg38_chr5:176948663-176948673(+) aaacaatgact >hg38_chr5:176956162-176956172(+) GCACAATAGGA >hg38_chr5:176966307-176966317(-) atacagtggga >hg38_chr5:176976684-176976694(-) atacaatatat >hg38_chr5:176994320-176994330(-) gaataatgata >hg38_chr5:176994353-176994363(+) ttacaatggcc >hg38_chr5:176994783-176994793(+) gaacaaaggca >hg38_chr5:177010362-177010372(+) gaacaatgcct >hg38_chr5:177010402-177010412(-) TCACAATGAGC >hg38_chr5:177171831-177171841(-) atacaatgtgt >hg38_chr5:177183334-177183344(-) caacaatgcaa >hg38_chr5:177200483-177200493(-) caacaatgtta >hg38_chr5:177200772-177200782(-) atacaatgacg >hg38_chr5:177268815-177268825(-) atacaatacta >hg38_chr5:177269903-177269913(-) GGACAAAGGCA >hg38_chr5:177278881-177278891(-) AGACAATAGAT >hg38_chr5:177319582-177319592(-) caacaatgaag >hg38_chr5:177319600-177319610(+) gaacaatagga >hg38_chr5:177326204-177326214(-) gaacaaaggtt >hg38_chr5:177326244-177326254(-) aaacaatgtag >hg38_chr5:177390720-177390730(+) GAACAAAGGCC >hg38_chr5:177426378-177426388(-) GGACAATGGAG >hg38_chr5:177447849-177447859(-) AGACAATGGGC >hg38_chr5:177447888-177447898(+) GCACAATGCCC >hg38_chr5:177453433-177453443(-) AGACAATGGCA >hg38_chr5:177454245-177454255(+) AGACAAAGGCA >hg38_chr5:177469442-177469452(+) ACACAAAGGAC >hg38_chr5:177472062-177472072(+) GGACAATGGGT >hg38_chr5:177500859-177500869(+) GGACAATGAAG >hg38_chr5:177500914-177500924(+) ACACAGTGGAT >hg38_chr5:177546131-177546141(-) TGACAATGTtg >hg38_chr5:177546487-177546497(+) ACACAAAGGCA >hg38_chr5:178112743-178112753(-) aaacaatggta >hg38_chr5:178112807-178112817(-) tcacaatagtc >hg38_chr5:178116439-178116449(+) AGACAAAGGGA >hg38_chr5:178164278-178164288(-) GCACAATGCCA >hg38_chr5:178180340-178180350(+) gaacaatgtat >hg38_chr5:178200802-178200812(+) ATACAATATGA >hg38_chr5:178204523-178204533(-) TGACAATGCCG >hg38_chr5:178205077-178205087(-) GAACAAAGGCG >hg38_chr5:178371536-178371546(+) GAACACTGGAC >hg38_chr5:178387662-178387672(+) ATACAAAGATA >hg38_chr5:178387724-178387734(+) CGACAATGAGG >hg38_chr5:178420785-178420795(+) AAACAATGAGA >hg38_chr5:178427867-178427877(+) ctataatggtg >hg38_chr5:178462569-178462579(-) CAACAATAGTA >hg38_chr5:178467567-178467577(-) GCACAATGGGG >hg38_chr5:178488119-178488129(-) GAACAATAGCT >hg38_chr5:178526951-178526961(-) GGACAAAGGAG >hg38_chr5:178545470-178545480(+) GAACAGTGGGT >hg38_chr5:178586968-178586978(+) GGACAATGGAA >hg38_chr5:178586998-178587008(-) TTACAATGGAT >hg38_chr5:178619529-178619539(+) TTACAATAGTT >hg38_chr5:178652039-178652049(+) GAACAATGGAA >hg38_chr5:178676603-178676613(+) gtacaatgcct >hg38_chr5:178826424-178826434(+) CAACAATGACA >hg38_chr5:178882072-178882082(+) ggacaaaggtc >hg38_chr5:178882137-178882147(-) agacaataaac >hg38_chr5:179010592-179010602(+) acacaatggaa >hg38_chr5:179010601-179010611(-) tgacaatgttt >hg38_chr5:179029409-179029419(+) CAACAATGTGA >hg38_chr5:179118429-179118439(-) agacaataggt >hg38_chr5:179187832-179187842(-) ttacaatgtgt >hg38_chr5:179187891-179187901(+) agacaatgagg >hg38_chr5:179234008-179234018(+) TCACAATGGTT >hg38_chr5:179246421-179246431(+) ggacaatagtt >hg38_chr5:179247437-179247447(+) aaacaaagggg >hg38_chr5:179247466-179247476(+) aaacaatggct >hg38_chr5:179359737-179359747(-) aaacaatggat >hg38_chr5:179530831-179530841(+) GGACAATGGGC >hg38_chr5:179548226-179548236(+) ctacaatgagt >hg38_chr5:179558925-179558935(+) agacaaaggca >hg38_chr5:179586351-179586361(+) GGACAAAGGAG >hg38_chr5:179600137-179600147(+) CAACAATAGGA >hg38_chr5:179623440-179623450(+) ACACAATAGAG >hg38_chr5:179700166-179700176(-) ACACAATGCCC >hg38_chr5:179730797-179730807(-) CAACAATGGAA >hg38_chr5:179769012-179769022(+) AAACAAAGGGA >hg38_chr5:179829521-179829531(-) agacaatgctc >hg38_chr5:179861113-179861123(-) GTACAATAGTA >hg38_chr5:179861130-179861140(-) ATACAATGTAC >hg38_chr5:180007843-180007853(-) GAACAAAGGCT >hg38_chr5:180026514-180026524(+) ACACAATGAGT >hg38_chr5:180029412-180029422(+) AGACAATGGGC >hg38_chr5:180070134-180070144(-) taacaatggta >hg38_chr5:180070589-180070599(+) TGACAATGAGG >hg38_chr5:180070604-180070614(+) GGATAATGGCA >hg38_chr5:180074725-180074735(-) atacaatgtga >hg38_chr5:180074770-180074780(-) atacagtggaa >hg38_chr5:180085983-180085993(-) AGACAATAGTT >hg38_chr5:180088035-180088045(+) taacaatagca >hg38_chr5:180142565-180142575(+) aaacaaaggga >hg38_chr5:180235235-180235245(+) CAACAATGGAG >hg38_chr5:180235248-180235258(+) CAACAATAGCA >hg38_chr5:180331767-180331777(+) CTACAATGGGA >hg38_chr5:180545579-180545589(-) atacaatggac >hg38_chr5:180556480-180556490(+) ccacaatgcat >hg38_chr5:180556506-180556516(-) gaacaatgtga >hg38_chr5:180563728-180563738(+) acacaatgctT >hg38_chr5:180576466-180576476(-) AGACAATAACA >hg38_chr5:180606101-180606111(-) CGacaatgagc >hg38_chr5:180665775-180665785(+) aaacaatggaa >hg38_chr5:180688544-180688554(-) taacaatgtgg >hg38_chr5:180711080-180711090(+) tgataatggga >hg38_chr5:180734194-180734204(+) atacaatacat >hg38_chr5:180734199-180734209(-) taataatgtat >hg38_chr5:180734230-180734240(+) atacaataggt >hg38_chr5:180804043-180804053(+) AAACAAAGGGC >hg38_chr5:180865755-180865765(+) GAACAATAGGG >hg38_chr5:180865795-180865805(+) AAACACTGGAA >hg38_chr5:180974886-180974896(-) tgataatggct >hg38_chr5:181088476-181088486(-) ctacaatggct >hg38_chr5:181151473-181151483(-) GCACAATGCAT >hg38_chr5:181166449-181166459(+) acataatggga >hg38_chr5:181182004-181182014(-) gaacaatagca >hg38_chr5:181207961-181207971(-) GAACAGTGGAG >hg38_chr5:181207979-181207989(-) AGATAATGGGT >hg38_chr5:181235553-181235563(-) GAACAAAGGGG >hg38_chr6:188947-188957(-) AGACTATGGTC >hg38_chr6:238144-238154(-) GAACAATGAGG >hg38_chr6:273069-273079(-) ggacaatggaa >hg38_chr6:273794-273804(+) agacaatgaaa >hg38_chr6:293698-293708(-) ACACAATAAAC >hg38_chr6:293732-293742(-) ACACAATAGTT >hg38_chr6:314676-314686(-) GCACAATAGGG >hg38_chr6:367637-367647(+) acacaatgcat >hg38_chr6:456699-456709(-) agacaatgggg >hg38_chr6:582490-582500(-) GGACAACGGCC >hg38_chr6:675036-675046(+) taacaaagggt >hg38_chr6:680185-680195(-) atacaatgctt >hg38_chr6:711857-711867(+) GAACAATGGCC >hg38_chr6:797707-797717(+) ACACAATGGCT >hg38_chr6:907059-907069(+) TCACAATGACA >hg38_chr6:1026229-1026239(-) ACACAATGGAG >hg38_chr6:1063961-1063971(-) CAACAATCGAC >hg38_chr6:1064033-1064043(+) GAACAATAGAA >hg38_chr6:1068287-1068297(+) AAACAAAGGTT >hg38_chr6:1099427-1099437(-) AAACAATGGGA >hg38_chr6:1104332-1104342(+) TTACAATGGGA >hg38_chr6:1140087-1140097(+) aaacaatggca >hg38_chr6:1198011-1198021(+) TAACAATGACA >hg38_chr6:1268465-1268475(-) ttacaatgcaa >hg38_chr6:1311017-1311027(+) CGACACTGGTG >hg38_chr6:1334499-1334509(+) aaacaaaggag >hg38_chr6:1335700-1335710(+) GAACAAAGGGC >hg38_chr6:1371543-1371553(-) ACACAATAGGA >hg38_chr6:1460361-1460371(+) agacaatgatt >hg38_chr6:1490390-1490400(-) AGACAAAGGCA >hg38_chr6:1502122-1502132(+) tgacaatggct >hg38_chr6:1570236-1570246(-) agacaataaca >hg38_chr6:1671136-1671146(+) GAACAATGTAA >hg38_chr6:1724842-1724852(+) GAACAATGGTT >hg38_chr6:1741223-1741233(+) atacaataggt >hg38_chr6:1741251-1741261(-) gtacaataggg >hg38_chr6:1741290-1741300(-) taacaataaga >hg38_chr6:1761606-1761616(+) GAACAATGTCT >hg38_chr6:1761615-1761625(-) AAACAATGAAG >hg38_chr6:1771425-1771435(+) taacaatgcct >hg38_chr6:1872143-1872153(+) CAACAATGCCC >hg38_chr6:1885874-1885884(+) AAACAAAGGAG >hg38_chr6:1954063-1954073(-) ATATAATGATA >hg38_chr6:1987597-1987607(+) tgacaatataa >hg38_chr6:1987643-1987653(+) gaactatgggg >hg38_chr6:1987885-1987895(-) atataatagta >hg38_chr6:2002155-2002165(-) GAACaaaggaa >hg38_chr6:2002214-2002224(-) caacaatgttt >hg38_chr6:2091430-2091440(+) GGACAATGGaa >hg38_chr6:2127650-2127660(+) GCACAATGAGT >hg38_chr6:2142213-2142223(+) TAACAATAACA >hg38_chr6:2146837-2146847(+) GAACAAAGGAA >hg38_chr6:2157993-2158003(-) AGACAATAGTG >hg38_chr6:2209440-2209450(+) GCACAATAGGC >hg38_chr6:2214125-2214135(+) caacaatagga >hg38_chr6:2263983-2263993(+) caacaatggtc >hg38_chr6:2266511-2266521(+) TCACAATAGTA >hg38_chr6:2274132-2274142(+) caacaatggga >hg38_chr6:2274218-2274228(+) acacaatgcta >hg38_chr6:2307983-2307993(+) GAACAATGGGA >hg38_chr6:2353913-2353923(+) TTACAAAGGGA >hg38_chr6:2354424-2354434(+) AGACAAAGGAG >hg38_chr6:2377064-2377074(+) CAACAATAGGC >hg38_chr6:2552754-2552764(-) caacaatagaa >hg38_chr6:2581211-2581221(-) TAACAAAGGCC >hg38_chr6:2581864-2581874(-) ATACAATGGTG >hg38_chr6:2596880-2596890(-) caacaaaggac >hg38_chr6:2606410-2606420(-) tgacaatagcc >hg38_chr6:2640693-2640703(-) AGACTATGGGA >hg38_chr6:2655935-2655945(-) caacaatggga >hg38_chr6:2739513-2739523(+) TCACTATGGAA >hg38_chr6:2748052-2748062(-) AGACAATGAAA >hg38_chr6:2748064-2748074(-) TAACAATGCAA >hg38_chr6:2750230-2750240(-) TCACAATACGG >hg38_chr6:2753641-2753651(+) tgacaaaggac >hg38_chr6:2790136-2790146(+) GGACAATGTTA >hg38_chr6:2846433-2846443(+) AAATAATGGAA >hg38_chr6:2854348-2854358(-) TTACAAAGGTA >hg38_chr6:2854378-2854388(-) GCACAATAGGC >hg38_chr6:2855183-2855193(-) GAACAAAGGAC >hg38_chr6:2865929-2865939(+) caacaatgttg >hg38_chr6:2865963-2865973(-) gaacaaaggat >hg38_chr6:2916691-2916701(-) GGACAATGGAT >hg38_chr6:2932376-2932386(-) gcacaatgcta >hg38_chr6:2970318-2970328(-) CGACTATGTAA >hg38_chr6:3023127-3023137(-) TGATAATGGTT >hg38_chr6:3023166-3023176(+) ATACAATGAGC >hg38_chr6:3075455-3075465(-) gaacaatgcaa >hg38_chr6:3082012-3082022(-) CGACAATGGAA >hg38_chr6:3143346-3143356(+) gaacaaagggt >hg38_chr6:3166077-3166087(-) TTACAATGTCA >hg38_chr6:3183209-3183219(+) GGACAATGGTG >hg38_chr6:3190260-3190270(+) taacaatgtga >hg38_chr6:3193736-3193746(-) CAACAATAGGC >hg38_chr6:3194811-3194821(+) CAACAATAGTG >hg38_chr6:3208827-3208837(-) atacaatgCTG >hg38_chr6:3211788-3211798(+) AAACAAAGGGG >hg38_chr6:3226725-3226735(+) TCACAATGAAA >hg38_chr6:3227086-3227096(+) AGACAATCGCT >hg38_chr6:3227891-3227901(-) agacAATGCGC >hg38_chr6:3228620-3228630(+) GAACAAAGGGC >hg38_chr6:3230774-3230784(-) AAACAATGACT >hg38_chr6:3328316-3328326(-) TAACAATGCCA >hg38_chr6:3329450-3329460(+) TAACAAAGGAA >hg38_chr6:3340602-3340612(-) ACACAAAGGAT >hg38_chr6:3356536-3356546(-) GGACAATGAAG >hg38_chr6:3357678-3357688(+) AAATAATGGAA >hg38_chr6:3357705-3357715(+) GTATAATGAAT >hg38_chr6:3381744-3381754(+) gaacaatgcct >hg38_chr6:3389909-3389919(-) CAACAATGAGA >hg38_chr6:3402819-3402829(+) caacaatggca >hg38_chr6:3422309-3422319(+) AGACAATAGAA >hg38_chr6:3454486-3454496(+) TAACAATGTTG >hg38_chr6:3454537-3454547(-) GAACAATGACT >hg38_chr6:3466728-3466738(-) ccacaatgtcc >hg38_chr6:3476972-3476982(+) aaacaatgatt >hg38_chr6:3490938-3490948(-) taacaatgctc >hg38_chr6:3490966-3490976(+) gtactatggga >hg38_chr6:3501987-3501997(-) ggacaatggaa >hg38_chr6:3568644-3568654(-) GCACAATGGGA >hg38_chr6:3612766-3612776(+) TAACAATGTTT >hg38_chr6:3628098-3628108(+) agacaatgcct >hg38_chr6:3756345-3756355(+) AGACAATGCAA >hg38_chr6:3794275-3794285(+) CCACAATGGGT >hg38_chr6:3796624-3796634(-) CTACAATGAAA >hg38_chr6:3894701-3894711(-) AAACAATGGGC >hg38_chr6:3894735-3894745(-) CGACAATAGCA >hg38_chr6:3896751-3896761(-) ACACAATGATA >hg38_chr6:3896783-3896793(-) GGACAGTGGAA >hg38_chr6:3910249-3910259(-) AAACAAAGGAG >hg38_chr6:3912568-3912578(+) GGACAATGAAG >hg38_chr6:3913665-3913675(+) gaacaaaggtc >hg38_chr6:3956252-3956262(+) CAACAATGGGT >hg38_chr6:3963178-3963188(+) ttacaaaggaa >hg38_chr6:4017296-4017306(+) taacaatacat >hg38_chr6:4017762-4017772(-) AAACAATGAAA >hg38_chr6:4023470-4023480(-) gtacaatagtt >hg38_chr6:4023497-4023507(+) ATACAATGTGG >hg38_chr6:4039031-4039041(+) agacaatagcg >hg38_chr6:4046018-4046028(-) aaactatggCG >hg38_chr6:4064434-4064444(+) AAACAAAGGAA >hg38_chr6:4085094-4085104(+) ACACAATGAAA >hg38_chr6:4107049-4107059(-) gtacaatgaag >hg38_chr6:4107124-4107134(-) tcacaatagcc >hg38_chr6:4107697-4107707(-) taacaatggga >hg38_chr6:4168642-4168652(-) tgacaatagta >hg38_chr6:4168865-4168875(-) AAACAATGTGC >hg38_chr6:4169594-4169604(+) GAACAATGAAT >hg38_chr6:4236420-4236430(+) gtacaatgatt >hg38_chr6:4269612-4269622(-) GCACAATGGTG >hg38_chr6:4287851-4287861(-) AAACAATGCCC >hg38_chr6:4291814-4291824(+) TTACAATGAGG >hg38_chr6:4313999-4314009(-) acacaatgttc >hg38_chr6:4322920-4322930(-) TGACAATGGAG >hg38_chr6:4389564-4389574(+) gaacaataaca >hg38_chr6:4392116-4392126(-) taacaataata >hg38_chr6:4392505-4392515(-) TAACAATGTAG >hg38_chr6:4421864-4421874(-) caacaatggac >hg38_chr6:4427188-4427198(-) GAACAATGTGG >hg38_chr6:4459226-4459236(+) GAACAATGGAC >hg38_chr6:4461130-4461140(+) TGACAATAGCC >hg38_chr6:4466976-4466986(-) gaataatgggc >hg38_chr6:4470255-4470265(-) TAACAATGAGG >hg38_chr6:4492136-4492146(+) GAACAATGGGA >hg38_chr6:4533128-4533138(+) ggacaataaca >hg38_chr6:4581977-4581987(-) GAACAAAGGGC >hg38_chr6:4600098-4600108(-) ggacaatgtgt >hg38_chr6:4608327-4608337(-) GAACAAAGGGG >hg38_chr6:4608357-4608367(+) AAACAATGCCA >hg38_chr6:4608382-4608392(-) ATACAATGGCA >hg38_chr6:4645096-4645106(-) GGACAAAGGCA >hg38_chr6:4654844-4654854(-) TTACAATAGCG >hg38_chr6:4668612-4668622(+) GGACAATGAAG >hg38_chr6:4675549-4675559(-) TTACAATGAAA >hg38_chr6:4747910-4747920(-) ttacaatgtag >hg38_chr6:4756725-4756735(+) AAACAATATAG >hg38_chr6:4756970-4756980(-) CCACAATAGTT >hg38_chr6:4757049-4757059(-) GTACAATGGTG >hg38_chr6:4760234-4760244(-) CAACAATGATG >hg38_chr6:4774410-4774420(+) CAACAATGAAA >hg38_chr6:4774427-4774437(+) ATATAATGGAA >hg38_chr6:4790286-4790296(+) TAACAATGGGA >hg38_chr6:4810225-4810235(-) GTACAATGCAA >hg38_chr6:4842778-4842788(-) gcacaatgaat >hg38_chr6:4858455-4858465(-) ggacaatagca >hg38_chr6:4873077-4873087(+) ATACAATACAC >hg38_chr6:4880165-4880175(-) gcacaaaggat >hg38_chr6:4880388-4880398(-) agacaataaaa >hg38_chr6:4884766-4884776(-) gaacaataggt >hg38_chr6:4932758-4932768(-) AAACAATGCTG >hg38_chr6:5026623-5026633(-) TAACAAAGGCA >hg38_chr6:5082610-5082620(+) caacaatggca >hg38_chr6:5082642-5082652(-) aaacaatgtga >hg38_chr6:5139176-5139186(+) tgacaatagct >hg38_chr6:5159296-5159306(+) GGACAAaggga >hg38_chr6:5177491-5177501(+) ACACAATGATC >hg38_chr6:5177510-5177520(-) CAACAATGGTC >hg38_chr6:5185095-5185105(+) TCACAATACGC >hg38_chr6:5190636-5190646(+) ttacaatggag >hg38_chr6:5190675-5190685(-) taacaacggca >hg38_chr6:5190687-5190697(-) gcacaatggtg >hg38_chr6:5210870-5210880(-) atacaatagaa >hg38_chr6:5241452-5241462(+) TTACAATGGTT >hg38_chr6:5241578-5241588(-) ATACACTGGAG >hg38_chr6:5250632-5250642(-) CAACAATGCTC >hg38_chr6:5250654-5250664(+) TAACAATAGTT >hg38_chr6:5262981-5262991(-) ACACAATAGTG >hg38_chr6:5374734-5374744(-) ACACAATAAAT >hg38_chr6:5374771-5374781(-) gtACAATGTAA >hg38_chr6:5400995-5401005(-) atacaataaaa >hg38_chr6:5401447-5401457(-) aaacaatggaa >hg38_chr6:5401891-5401901(-) aaataatgggg >hg38_chr6:5448555-5448565(-) acacaatgcaa >hg38_chr6:5463027-5463037(-) ccacaatagcc >hg38_chr6:5463052-5463062(+) ggacaatgggc >hg38_chr6:5463518-5463528(-) TTACAAAGACG >hg38_chr6:5469338-5469348(-) GCACAATGGGA >hg38_chr6:5471085-5471095(+) TAACAATAAGT >hg38_chr6:5472335-5472345(+) GGACAATGTGA >hg38_chr6:5512682-5512692(+) TGACAAAGGAC >hg38_chr6:5525433-5525443(-) TTACAATAAAA >hg38_chr6:5535809-5535819(-) taacaatagga >hg38_chr6:5541975-5541985(-) gcacaatgaca >hg38_chr6:5615195-5615205(-) gaacaatggat >hg38_chr6:5615251-5615261(-) agacaaaggag >hg38_chr6:5713744-5713754(-) CAACAATGGCC >hg38_chr6:5764712-5764722(-) CCACAATAGTC >hg38_chr6:5863759-5863769(+) AAACAGTGGAC >hg38_chr6:6016960-6016970(-) taacaatggta >hg38_chr6:6138859-6138869(+) CGACAAAGTAA >hg38_chr6:6169411-6169421(+) agacaatgcct >hg38_chr6:6204825-6204835(-) AAACAATGAAT >hg38_chr6:6237702-6237712(-) atacaatgtga >hg38_chr6:6260513-6260523(-) TAACAAAGGAT >hg38_chr6:6321012-6321022(+) TTACAAAGGAA >hg38_chr6:6651598-6651608(-) GAACAATGGGG >hg38_chr6:6651669-6651679(-) CAACAATGCAA >hg38_chr6:6656540-6656550(-) ACACAATGGCT >hg38_chr6:6661903-6661913(-) AAACAAAGGCC >hg38_chr6:6664009-6664019(-) TGACAATAGGG >hg38_chr6:6677053-6677063(-) GGACAATGAGA >hg38_chr6:6678947-6678957(+) ACACAATGAAA >hg38_chr6:6695329-6695339(+) AAACACTGGAC >hg38_chr6:6742736-6742746(-) GGACAAAGGAG >hg38_chr6:6748731-6748741(+) TCACAATAATA >hg38_chr6:6748814-6748824(+) GAACAATGCCC >hg38_chr6:6818689-6818699(+) GAACAAAGGAA >hg38_chr6:6820733-6820743(-) AAACAATAAAA >hg38_chr6:6964894-6964904(-) GGACAATGTGA >hg38_chr6:7008651-7008661(-) caacaatggct >hg38_chr6:7008669-7008679(-) agacaaagggt >hg38_chr6:7042844-7042854(-) ACACAATCGGA >hg38_chr6:7089401-7089411(+) AAATAATGGCT >hg38_chr6:7112003-7112013(+) GGACAATAGCT >hg38_chr6:7124213-7124223(-) GGACAAAGGCA >hg38_chr6:7133901-7133911(+) ggacaataaaa >hg38_chr6:7139133-7139143(-) AAACAATGGTA >hg38_chr6:7158240-7158250(-) AGACAATGGAA >hg38_chr6:7173931-7173941(-) GGACAATGCTA >hg38_chr6:7181016-7181026(-) CAACAATGCAA >hg38_chr6:7201361-7201371(-) AAACAAAGGCG >hg38_chr6:7301057-7301067(+) acACAatagca >hg38_chr6:7385712-7385722(-) TAACAATAgcc >hg38_chr6:7413253-7413263(-) GCACAATGGCT >hg38_chr6:7484784-7484794(-) ggataatggaa >hg38_chr6:7511246-7511256(+) taacaatagaa >hg38_chr6:7511266-7511276(-) cgacaatgcta >hg38_chr6:7544763-7544773(-) ATACAATGAGA >hg38_chr6:7546900-7546910(-) GAACAATGAAA >hg38_chr6:7548851-7548861(-) AAACAAAGGCA >hg38_chr6:7581056-7581066(-) TAACAATGGAT >hg38_chr6:7600174-7600184(-) TAACAATATAT >hg38_chr6:7601286-7601296(+) TCACAATGCTT >hg38_chr6:7625340-7625350(+) agacaaaggac >hg38_chr6:7633424-7633434(-) AGACAATTGCG >hg38_chr6:7633477-7633487(-) AAACAATAGCC >hg38_chr6:7633501-7633511(+) GAACAGTGGAA >hg38_chr6:7637889-7637899(-) agacagtggta >hg38_chr6:7732380-7732390(-) GAACAATAGTA >hg38_chr6:7749737-7749747(-) tcacaatgaat >hg38_chr6:7846630-7846640(-) CCACAATGGAT >hg38_chr6:7846646-7846656(+) TTATAATGGGT >hg38_chr6:7975277-7975287(-) CAACAATGGTC >hg38_chr6:7978896-7978906(-) gtacaaaggcc >hg38_chr6:7984581-7984591(-) agacaatgtga >hg38_chr6:8028165-8028175(+) CCACAATAGGG >hg38_chr6:8047713-8047723(-) agacaaaggag >hg38_chr6:8083646-8083656(+) TCACAATGAAA >hg38_chr6:8083661-8083671(+) ATACAGTGGAA >hg38_chr6:8103902-8103912(-) gtacaatatgc >hg38_chr6:8155454-8155464(-) AAACAATGCTT >hg38_chr6:8158480-8158490(-) atataatgata >hg38_chr6:8158497-8158507(-) agacaatgaag >hg38_chr6:8173341-8173351(-) taaccatggta >hg38_chr6:8194742-8194752(+) AGACAAAGGGC >hg38_chr6:8199826-8199836(-) aaacaatagat >hg38_chr6:8199847-8199857(+) taacaatgtat >hg38_chr6:8230543-8230553(+) GGACAATAACA >hg38_chr6:8230549-8230559(+) TAACAATGCTT >hg38_chr6:8329793-8329803(+) GAACAATGGAT >hg38_chr6:8334277-8334287(-) tcacaatagcc >hg38_chr6:8352666-8352676(+) ATACAATGGGA >hg38_chr6:8378415-8378425(-) gaacaataaca >hg38_chr6:8420189-8420199(-) atacaaaggag >hg38_chr6:8421778-8421788(+) GCACAATGGAA >hg38_chr6:8430059-8430069(-) TAACAATGGAT >hg38_chr6:8431608-8431618(-) GAACAAAGGAA >hg38_chr6:8434387-8434397(-) GCATAATGGCA >hg38_chr6:8488226-8488236(+) ATACAAAGGCG >hg38_chr6:8491028-8491038(-) AGACAATGCTG >hg38_chr6:8562315-8562325(-) gaacaatggac >hg38_chr6:8628111-8628121(-) GAACAATGAGG >hg38_chr6:8628159-8628169(+) AAACAAAGGCA >hg38_chr6:8796008-8796018(+) ttacaatgagc >hg38_chr6:8822341-8822351(-) GAACAAAGGAG >hg38_chr6:8829033-8829043(-) ATACACTGGAA >hg38_chr6:8863428-8863438(+) AGACGATGGAG >hg38_chr6:8966964-8966974(-) taataatggaa >hg38_chr6:9002877-9002887(-) TTACAATATTA >hg38_chr6:9060772-9060782(-) gcacaaaggac >hg38_chr6:9064289-9064299(+) GAACAAAGGAG >hg38_chr6:9084801-9084811(+) TCACAATGTGG >hg38_chr6:9090497-9090507(+) GCACAATAGTG >hg38_chr6:9090864-9090874(-) AGACAATGAAA >hg38_chr6:9131869-9131879(+) GCACAATGCTC >hg38_chr6:9154460-9154470(+) gtacaatatta >hg38_chr6:9287328-9287338(-) GAACAATAGTT >hg38_chr6:9292194-9292204(+) GAACAAAGGCT >hg38_chr6:9292233-9292243(-) CGACAGTGGAG >hg38_chr6:9347116-9347126(+) tgacaaaggat >hg38_chr6:9351591-9351601(+) TCACAATGGGG >hg38_chr6:9358495-9358505(-) taacaataata >hg38_chr6:9365235-9365245(-) AGACAATGGAG >hg38_chr6:9365278-9365288(-) ATACACTGGTC >hg38_chr6:9374411-9374421(+) atacaataaac >hg38_chr6:9441508-9441518(-) TGACAATAata >hg38_chr6:9441522-9441532(+) AAACAATGGCC >hg38_chr6:9456432-9456442(+) CCACAATGAGG >hg38_chr6:9456458-9456468(+) ATACAATAGAG >hg38_chr6:9458707-9458717(-) TCACAATGAGA >hg38_chr6:9487185-9487195(-) GGACAATGACA >hg38_chr6:9518236-9518246(-) AAACAATGTCA >hg38_chr6:9533382-9533392(+) ATACaataaca >hg38_chr6:9533424-9533434(-) gaacaatgcct >hg38_chr6:9538256-9538266(+) ATACAATACCG >hg38_chr6:9578971-9578981(+) CGACAATAGCA >hg38_chr6:9599336-9599346(-) GGACAATGAGT >hg38_chr6:9602178-9602188(-) GAACAAAGGTA >hg38_chr6:9614936-9614946(-) CAACAATAGTG >hg38_chr6:9622851-9622861(-) AAACAAAGGGC >hg38_chr6:9670050-9670060(-) GGACAATGGAG >hg38_chr6:9676232-9676242(+) AAACAATGTAA >hg38_chr6:9676280-9676290(-) TATCAATGGTA >hg38_chr6:9676302-9676312(-) AGACAATAGTC >hg38_chr6:9690752-9690762(-) ATACAAAGGAG >hg38_chr6:9711230-9711240(+) TAACAATGCCC >hg38_chr6:9711469-9711479(-) CCACAATGACA >hg38_chr6:9777586-9777596(-) ACACAATGAAA >hg38_chr6:9777610-9777620(-) gtacactggag >hg38_chr6:9832708-9832718(-) ttacaaagggt >hg38_chr6:9980630-9980640(+) agacaatgtgg >hg38_chr6:9980649-9980659(+) ctacaatgtgc >hg38_chr6:9992056-9992066(+) TCACAATGGTG >hg38_chr6:9999337-9999347(-) CAACAATGAAA >hg38_chr6:10033776-10033786(+) GAACAATGAAG >hg38_chr6:10039224-10039234(+) GTACAATGAAG >hg38_chr6:10071722-10071732(+) TGACAATGTGA >hg38_chr6:10072153-10072163(-) AAACAATGACC >hg38_chr6:10072162-10072172(-) TGACAATATAA >hg38_chr6:10131543-10131553(+) gcacaaaggaa >hg38_chr6:10132509-10132519(+) agacaatgcaa >hg38_chr6:10144275-10144285(+) aaacaataaac >hg38_chr6:10147752-10147762(+) TAACAATGGCC >hg38_chr6:10164511-10164521(-) ATACAATAGGT >hg38_chr6:10201910-10201920(-) ACACAATGACA >hg38_chr6:10216180-10216190(-) TGACAATGGGG >hg38_chr6:10235618-10235628(-) ATATAATGGTT >hg38_chr6:10248694-10248704(+) tcacaatgctt >hg38_chr6:10260141-10260151(+) TGACAATGTGG >hg38_chr6:10260162-10260172(+) TGACAATGTGG >hg38_chr6:10276263-10276273(+) ggacaatggaa >hg38_chr6:10303726-10303736(-) GGACAATGGCC >hg38_chr6:10303759-10303769(-) GAACAATGGCT >hg38_chr6:10315331-10315341(+) AAACAATGTGG >hg38_chr6:10389185-10389195(+) TCATAATGGAA >hg38_chr6:10395376-10395386(-) GCACAATAGCA >hg38_chr6:10395443-10395453(+) AGACAATGCTT >hg38_chr6:10395653-10395663(-) TAACAATGGTG >hg38_chr6:10396798-10396808(-) ACACAATAAAA >hg38_chr6:10396826-10396836(+) ACACAGTGGAA >hg38_chr6:10405683-10405693(-) ATACAATGCAT >hg38_chr6:10409674-10409684(-) AAACAATGCCT >hg38_chr6:10409699-10409709(-) GAACAATGGTT >hg38_chr6:10415323-10415333(+) CAACAATAGTC >hg38_chr6:10478601-10478611(-) TTACAATGATT >hg38_chr6:10518616-10518626(-) AAACAATAGAG >hg38_chr6:10534512-10534522(-) ATACAATGGCT >hg38_chr6:10534524-10534534(+) AAACAATGAGG >hg38_chr6:10555006-10555016(-) TCACAATGGGC >hg38_chr6:10555762-10555772(+) TTACAATGGAG >hg38_chr6:10559544-10559554(+) ACACAATGCCT >hg38_chr6:10576280-10576290(-) taacaatgcat >hg38_chr6:10694566-10694576(+) CAACAATGCAC >hg38_chr6:10801388-10801398(+) TCACAAAGGAA >hg38_chr6:10874003-10874013(-) CAACAATGAGG >hg38_chr6:10881965-10881975(+) GGACAATGGTT >hg38_chr6:10951745-10951755(-) AAACAATGATA >hg38_chr6:10991290-10991300(+) gaacaatgctt >hg38_chr6:11026592-11026602(-) AAACAAAGGAG >hg38_chr6:11036464-11036474(+) TTACAAAGGAA >hg38_chr6:11062902-11062912(+) gaacaatgttc >hg38_chr6:11065016-11065026(-) AAACAATGCCG >hg38_chr6:11127095-11127105(+) taacaatgggt >hg38_chr6:11129776-11129786(-) TGAcaataata >hg38_chr6:11129978-11129988(-) GAACAAAGGAT >hg38_chr6:11158233-11158243(-) caacaatgaca >hg38_chr6:11168488-11168498(+) taacaatgaca >hg38_chr6:11173260-11173270(-) AAACAGTGGTC >hg38_chr6:11173287-11173297(-) GAACAATGGTT >hg38_chr6:11195426-11195436(+) ACACAATGTGT >hg38_chr6:11197299-11197309(+) TTACAAAGGCT >hg38_chr6:11203005-11203015(-) TAACAATAGGG >hg38_chr6:11207280-11207290(+) GCACAATGCCT >hg38_chr6:11222522-11222532(-) TCACAATGAAG >hg38_chr6:11231770-11231780(+) AAACAATGGTG >hg38_chr6:11245338-11245348(+) TAACAATGAAA >hg38_chr6:11245784-11245794(+) GTACAATATAT >hg38_chr6:11318448-11318458(-) AGACAATGATG >hg38_chr6:11324711-11324721(+) tcacaatgtgt >hg38_chr6:11342737-11342747(+) aaacaataagt >hg38_chr6:11421740-11421750(-) aaacaatagca >hg38_chr6:11458573-11458583(-) AAACACTGGTA >hg38_chr6:11475967-11475977(-) gaacaatgtct >hg38_chr6:11485451-11485461(+) GCACAATGCCT >hg38_chr6:11485461-11485471(-) ACACAATGCCA >hg38_chr6:11505119-11505129(-) gaacaaaggtt >hg38_chr6:11512912-11512922(+) agacaatgttt >hg38_chr6:11512955-11512965(+) aaacaataaga >hg38_chr6:11515403-11515413(+) TCACAATGTAC >hg38_chr6:11516030-11516040(-) CAACAATGAAG >hg38_chr6:11535845-11535855(+) GAACAATGCTG >hg38_chr6:11552611-11552621(+) acacaatagag >hg38_chr6:11570258-11570268(-) gcacaatagcc >hg38_chr6:11570284-11570294(-) aaacaatgtaa >hg38_chr6:11586379-11586389(-) GGACAAAGGTT >hg38_chr6:11602042-11602052(+) TTACAAAGGTA >hg38_chr6:11609543-11609553(-) TAACAATGCTC >hg38_chr6:11651059-11651069(-) ACACAATGCCA >hg38_chr6:11670941-11670951(+) taacaatagaa >hg38_chr6:11671728-11671738(+) atacaaagggc >hg38_chr6:11676329-11676339(-) ttataatggat >hg38_chr6:11725202-11725212(+) caacaaaggac >hg38_chr6:11725211-11725221(+) acacaataaac >hg38_chr6:11763173-11763183(+) ACACAATGTGC >hg38_chr6:11767508-11767518(+) GGACAATGACA >hg38_chr6:11814641-11814651(-) CAACAATGAGC >hg38_chr6:11815820-11815830(-) TTACAATGAAA >hg38_chr6:11835361-11835371(-) ACACAAAGGAG >hg38_chr6:11846089-11846099(-) GGACAATGCAC >hg38_chr6:11886300-11886310(+) taataatgggt >hg38_chr6:11894010-11894020(+) ttacaatggca >hg38_chr6:11894387-11894397(+) agacaatggca >hg38_chr6:11920253-11920263(-) TAATAATGATA >hg38_chr6:11954300-11954310(+) ggacaatgaaa >hg38_chr6:11973444-11973454(+) ATACAATACAA >hg38_chr6:12024108-12024118(-) GAACAATGGTT >hg38_chr6:12034579-12034589(+) GAACAATGAAA >hg38_chr6:12071432-12071442(-) GAACAATGGTG >hg38_chr6:12073023-12073033(+) gaacaatgtgg >hg38_chr6:12073050-12073060(-) tgacaatagag >hg38_chr6:12078037-12078047(-) AAACAATGTCC >hg38_chr6:12090931-12090941(+) GAATAATGGAT >hg38_chr6:12091841-12091851(-) GCACAATGTGT >hg38_chr6:12092134-12092144(-) atacaatggta >hg38_chr6:12092171-12092181(-) agacaatggga >hg38_chr6:12098075-12098085(+) gcacaatgatt >hg38_chr6:12103316-12103326(-) agataatggca >hg38_chr6:12104261-12104271(-) acacaatgagg >hg38_chr6:12111732-12111742(-) taacaatggag >hg38_chr6:12157577-12157587(-) ccacaatagca >hg38_chr6:12172850-12172860(-) ATACAATACAA >hg38_chr6:12173213-12173223(-) AAACAATGTGA >hg38_chr6:12173231-12173241(-) CAACAATGTCA >hg38_chr6:12228812-12228822(-) CGACAAAGGCT >hg38_chr6:12245979-12245989(+) TAACAATGTTG >hg38_chr6:12246440-12246450(-) AGACAATGTCA >hg38_chr6:12325083-12325093(-) AAACAAAGGCT >hg38_chr6:12336291-12336301(+) AAACAAAGGAG >hg38_chr6:12342761-12342771(+) agacaaaggtc >hg38_chr6:12342823-12342833(-) gaacaatgaaa >hg38_chr6:12351893-12351903(-) agacaatgggg >hg38_chr6:12379115-12379125(+) aaacaaaggca >hg38_chr6:12379357-12379367(+) tgacaataata >hg38_chr6:12404274-12404284(+) TGACAATAGTA >hg38_chr6:12408851-12408861(-) GCACAATGGGA >hg38_chr6:12420717-12420727(-) acacaatgaat >hg38_chr6:12431129-12431139(+) AAACAATGAGT >hg38_chr6:12443732-12443742(+) GCACAATGTAT >hg38_chr6:12477004-12477014(-) caacaatgttt >hg38_chr6:12482657-12482667(-) AAACAGTGGAT >hg38_chr6:12571870-12571880(+) TGATAATGGAT >hg38_chr6:12572542-12572552(-) GTACAATAAGG >hg38_chr6:12574309-12574319(+) ACACAATGTTT >hg38_chr6:12578755-12578765(+) CAACAATAGGA >hg38_chr6:12578770-12578780(-) TAACAATGCCA >hg38_chr6:12579320-12579330(-) AGACAATGGAA >hg38_chr6:12582322-12582332(-) ATACAATGTCA >hg38_chr6:12582893-12582903(+) AGACAATGAGA >hg38_chr6:12582906-12582916(+) GGACAATAGAG >hg38_chr6:12582954-12582964(+) GAACAATGCCA >hg38_chr6:12585672-12585682(+) CAACAATGGAA >hg38_chr6:12622171-12622181(+) TAACAATAGTC >hg38_chr6:12623063-12623073(+) GGACAATGGCT >hg38_chr6:12666355-12666365(+) gtacaatgaca >hg38_chr6:12693090-12693100(+) atacaatGCAT >hg38_chr6:12701646-12701656(-) GTACAATAAAA >hg38_chr6:12701691-12701701(+) GAACAATGCCA >hg38_chr6:12709976-12709986(+) ATACAATGCAG >hg38_chr6:12725401-12725411(-) TGACAATGGGG >hg38_chr6:12757203-12757213(+) gaacaatgccc >hg38_chr6:12807826-12807836(-) tcacaatgcta >hg38_chr6:12858196-12858206(+) tgacaatgtct >hg38_chr6:12858226-12858236(-) taacaataaag >hg38_chr6:12866775-12866785(+) CAACAATAGAT >hg38_chr6:12911747-12911757(+) TTATAATGGAT >hg38_chr6:12911769-12911779(-) GTACAAAGGCA >hg38_chr6:12911806-12911816(-) TGACAATGAGA >hg38_chr6:12952578-12952588(-) ggacaatagag >hg38_chr6:13001517-13001527(-) GGACAATGAAA >hg38_chr6:13006063-13006073(+) CCACAATGTAG >hg38_chr6:13030768-13030778(+) AGACAATGATC >hg38_chr6:13039141-13039151(-) tgaCAAtggca >hg38_chr6:13042152-13042162(-) TGACTATGGTA >hg38_chr6:13054006-13054016(-) CTACAATGATT >hg38_chr6:13067027-13067037(-) GAACAATGgta >hg38_chr6:13068722-13068732(+) ACACAATGGCC >hg38_chr6:13248342-13248352(+) AAACAATGAAA >hg38_chr6:13269079-13269089(+) GAACAATGTAG >hg38_chr6:13328158-13328168(+) CTACAATGACA >hg38_chr6:13328164-13328174(+) TGACAAAGGCG >hg38_chr6:13357649-13357659(-) GAACAATGGAA >hg38_chr6:13358371-13358381(+) CGACAATGACA >hg38_chr6:13367634-13367644(+) AAACAAAGGAT >hg38_chr6:13407553-13407563(-) TAACAAAGGGC >hg38_chr6:13407595-13407605(+) TTACAATGGCC >hg38_chr6:13413395-13413405(+) GCACAATGACA >hg38_chr6:13428578-13428588(+) CAACAATGGAA >hg38_chr6:13438276-13438286(-) ACACAATGCAC >hg38_chr6:13537877-13537887(+) GAACAATGTCC >hg38_chr6:13537884-13537894(-) AAACAAAGGAC >hg38_chr6:13544636-13544646(+) cgacaatggcc >hg38_chr6:13544675-13544685(+) taataatggat >hg38_chr6:13545417-13545427(-) ttacaatagcc >hg38_chr6:13616742-13616752(-) ACACAATGGGA >hg38_chr6:13616779-13616789(-) GTACAATGACA >hg38_chr6:13636422-13636432(+) AGACAAAGGAG >hg38_chr6:13647528-13647538(-) ATACAATATGT >hg38_chr6:13652924-13652934(-) agacaatggca >hg38_chr6:13655892-13655902(+) CAACAATGAAA >hg38_chr6:13656715-13656725(-) TAACAAAGGAA >hg38_chr6:13659664-13659674(-) TAACAATAATG >hg38_chr6:13662247-13662257(+) atacaaaggaa >hg38_chr6:13667646-13667656(-) acacaatggtt >hg38_chr6:13667664-13667674(-) ttacaataggg >hg38_chr6:13673543-13673553(+) taacaatatct >hg38_chr6:13673565-13673575(+) tgactatggat >hg38_chr6:13712480-13712490(+) TAACAGTGGTA >hg38_chr6:13725859-13725869(-) CTACAATAGGA >hg38_chr6:13787224-13787234(+) AGACAAAGGCA >hg38_chr6:13790027-13790037(+) AAACAATACTA >hg38_chr6:13790032-13790042(+) ATACTATGGTA >hg38_chr6:13794572-13794582(-) ACACAATGTCT >hg38_chr6:13805715-13805725(+) ACACAATGAAG >hg38_chr6:13818484-13818494(-) GGACAATGAGC >hg38_chr6:13818518-13818528(+) GAACAAAGGAG >hg38_chr6:13864307-13864317(-) AGACAATGAGG >hg38_chr6:13915246-13915256(+) agacaatgtaa >hg38_chr6:13915262-13915272(+) ttacaatggga >hg38_chr6:13915812-13915822(+) taacaatggtg >hg38_chr6:13915833-13915843(+) cgacactggat >hg38_chr6:13924266-13924276(-) AAACACTGGAT >hg38_chr6:14005185-14005195(+) GAACAAAGGTG >hg38_chr6:14009701-14009711(+) ACACAATGGAA >hg38_chr6:14010457-14010467(+) TAACAATGACC >hg38_chr6:14089013-14089023(-) gcacaatggtg >hg38_chr6:14091578-14091588(-) CCACAATGCAT >hg38_chr6:14091783-14091793(+) GAATAATGGGA >hg38_chr6:14128994-14129004(+) ACACAATAGTT >hg38_chr6:14212220-14212230(-) AGACAATGGGC >hg38_chr6:14248929-14248939(+) ccacaatgaga >hg38_chr6:14248976-14248986(+) caacaatgaat >hg38_chr6:14259912-14259922(-) CAACAATGAAC >hg38_chr6:14273434-14273444(+) GAACAATGAGC >hg38_chr6:14274614-14274624(-) ATACAATGGAG >hg38_chr6:14286827-14286837(-) AAACAAAGGTT >hg38_chr6:14323922-14323932(+) GAACAATGAAA >hg38_chr6:14367565-14367575(-) GTACAATGATT >hg38_chr6:14367608-14367618(+) AAACAAAGGAC >hg38_chr6:14378813-14378823(-) acacaatagaa >hg38_chr6:14380646-14380656(+) GGACAATAGGC >hg38_chr6:14382014-14382024(+) ttacaatagcc >hg38_chr6:14407110-14407120(-) CAATAATGGTA >hg38_chr6:14410102-14410112(-) taacaatagta >hg38_chr6:14441666-14441676(-) GAACAATGAAG >hg38_chr6:14450276-14450286(-) AAACAATGGGT >hg38_chr6:14450304-14450314(-) CGACAATAATA >hg38_chr6:14507354-14507364(+) GAATAATGGAT >hg38_chr6:14507403-14507413(-) TAACAATACAT >hg38_chr6:14593478-14593488(-) ggacaatggtc >hg38_chr6:14596559-14596569(-) tcacaatgtgt >hg38_chr6:14622218-14622228(+) CTACAAAGGGA >hg38_chr6:14625616-14625626(-) TTACAATGAAC >hg38_chr6:14638970-14638980(-) GGACAATGAGC >hg38_chr6:14713071-14713081(+) AAACTATGGAG >hg38_chr6:14732960-14732970(-) TGACAATGTCT >hg38_chr6:14767892-14767902(-) GAACAATGGTC >hg38_chr6:14777833-14777843(+) TCACAACGGTA >hg38_chr6:14777846-14777856(-) TAACAATGCAC >hg38_chr6:14782340-14782350(-) GGACAATGGTA >hg38_chr6:14785118-14785128(-) GCACAATGGCA >hg38_chr6:14789097-14789107(+) TTACAATGTAC >hg38_chr6:14789141-14789151(-) AGACAATGGGG >hg38_chr6:14799187-14799197(+) GGACAATGCTA >hg38_chr6:14799219-14799229(+) GAACAATGACC >hg38_chr6:14799236-14799246(+) GAACAATGCTA >hg38_chr6:14815794-14815804(+) GGACAAAGGGC >hg38_chr6:14815823-14815833(-) GAACAATACTA >hg38_chr6:14816236-14816246(+) AAACAATCGAG >hg38_chr6:14818658-14818668(-) AGACAAAGGAA >hg38_chr6:14822285-14822295(-) caacaatgctt >hg38_chr6:14828085-14828095(+) GGACAAAGGAA >hg38_chr6:14860542-14860552(+) aaacaatgtta >hg38_chr6:14874243-14874253(-) gaacaaaggtt >hg38_chr6:14882840-14882850(+) gaacaatgaaa >hg38_chr6:14930676-14930686(+) CAACAATGCAA >hg38_chr6:15078164-15078174(+) AAACAAAGGTG >hg38_chr6:15117125-15117135(+) agacaataaca >hg38_chr6:15117131-15117141(+) taacaataaca >hg38_chr6:15170421-15170431(+) GGACAAAGGGC >hg38_chr6:15208909-15208919(+) TAACAATGGCT >hg38_chr6:15224108-15224118(-) AAACAAAGGGC >hg38_chr6:15224136-15224146(-) GAACAATGGAG >hg38_chr6:15224159-15224169(-) TAACAGTGACG >hg38_chr6:15227746-15227756(+) AGACAATGAGC >hg38_chr6:15243489-15243499(+) ACACAATAGTT >hg38_chr6:15243605-15243615(-) GAACAAAGGTG >hg38_chr6:15261074-15261084(-) AGATAATGGCC >hg38_chr6:15261109-15261119(-) AAACAATGTTT >hg38_chr6:15266512-15266522(-) CAACAATGACC >hg38_chr6:15290174-15290184(-) AAATAATGGAC >hg38_chr6:15290188-15290198(+) gcataatggtc >hg38_chr6:15303635-15303645(-) TAACAAAGGAG >hg38_chr6:15303652-15303662(+) CAACAATGTGA >hg38_chr6:15309202-15309212(-) GAACAATGAGT >hg38_chr6:15318728-15318738(+) AAACAATGAGG >hg38_chr6:15329054-15329064(-) TTACAATAGGT >hg38_chr6:15329065-15329075(-) ACACAATGGGT >hg38_chr6:15337028-15337038(-) ggacaatggaa >hg38_chr6:15342284-15342294(-) AGACAATAGAT >hg38_chr6:15355055-15355065(-) ACACAATGGGA >hg38_chr6:15381833-15381843(-) acataatggtg >hg38_chr6:15384997-15385007(-) atacaaaggca >hg38_chr6:15401132-15401142(-) CCACAATGGAT >hg38_chr6:15401821-15401831(-) ATATAATGAAT >hg38_chr6:15402626-15402636(-) GAACAATGGCA >hg38_chr6:15402665-15402675(-) CGACAGTGGGC >hg38_chr6:15421022-15421032(-) caacaatggaa >hg38_chr6:15434511-15434521(-) TGACAATGAAA >hg38_chr6:15446579-15446589(-) AGACAAAGGTA >hg38_chr6:15461293-15461303(-) ATACAATGGTT >hg38_chr6:15474623-15474633(+) AAACAATGAGG >hg38_chr6:15474684-15474694(-) TAACAATGGCT >hg38_chr6:15479922-15479932(-) AAACAATGGAA >hg38_chr6:15479935-15479945(+) AGACAATAGTG >hg38_chr6:15527612-15527622(+) aaacaatagcc >hg38_chr6:15553180-15553190(-) aaacaaagGAA >hg38_chr6:15563604-15563614(+) ttacagtggaa >hg38_chr6:15573517-15573527(-) AAACAAAGGCT >hg38_chr6:15573531-15573541(+) AGACAAgggcg >hg38_chr6:15574586-15574596(-) TGACAATAGAT >hg38_chr6:15592227-15592237(+) GGACAATGCTG >hg38_chr6:15616727-15616737(-) TTACAATAAAC >hg38_chr6:15647658-15647668(+) gaacaatgtat >hg38_chr6:15650873-15650883(-) acacaatggac >hg38_chr6:15658512-15658522(+) CAACAATAGCA >hg38_chr6:15713045-15713055(-) AAATAATGGTG >hg38_chr6:15723392-15723402(-) gaacaaaggga >hg38_chr6:15723460-15723470(-) agacaataggg >hg38_chr6:15729183-15729193(+) CCACAATGTCA >hg38_chr6:15730104-15730114(+) GTACAATATAA >hg38_chr6:15791558-15791568(-) atacaaaggct >hg38_chr6:15827186-15827196(+) GAATAATGGAC >hg38_chr6:15849560-15849570(-) TGACAATGACT >hg38_chr6:15856295-15856305(-) gaacaatgatt >hg38_chr6:15876791-15876801(-) tgacaatagag >hg38_chr6:15908322-15908332(-) GCACAATGGAT >hg38_chr6:15949635-15949645(+) TTACAATGGTT >hg38_chr6:15978581-15978591(-) GAACAATAATA >hg38_chr6:15995172-15995182(-) TTACAGTGGAA >hg38_chr6:15996253-15996263(-) atacaaaggcc >hg38_chr6:16068644-16068654(+) ccacaatgcac >hg38_chr6:16132203-16132213(+) AAACAATGGCT >hg38_chr6:16163348-16163358(+) ATACAATGTGT >hg38_chr6:16169142-16169152(-) atacaataatg >hg38_chr6:16204702-16204712(+) AGACAATATAA >hg38_chr6:16204728-16204738(-) GGACAATGTCT >hg38_chr6:16237051-16237061(+) ACACAATGCAC >hg38_chr6:16250120-16250130(-) AAACAAAGGTA >hg38_chr6:16277592-16277602(-) atacaataagg >hg38_chr6:16310151-16310161(+) aaataatggaa >hg38_chr6:16310168-16310178(+) agacaatggag >hg38_chr6:16310177-16310187(+) agacaatggaa >hg38_chr6:16345740-16345750(+) GGACAATGGGA >hg38_chr6:16375074-16375084(+) AGACAATGAAG >hg38_chr6:16412589-16412599(+) GGACAATAGAA >hg38_chr6:16421042-16421052(-) TCACAAAGGCG >hg38_chr6:16433043-16433053(+) ATACAATGAAT >hg38_chr6:16467053-16467063(+) AAACAAAGGAA >hg38_chr6:16467714-16467724(-) CAACAATGATA >hg38_chr6:16476977-16476987(+) TGACAACGGTC >hg38_chr6:16479635-16479645(+) AAACAATGTCA >hg38_chr6:16498235-16498245(+) atacaatatgt >hg38_chr6:16498347-16498357(-) ctacaatagag >hg38_chr6:16502846-16502856(+) GTATAATGAAA >hg38_chr6:16520181-16520191(-) GAACAATGCTG >hg38_chr6:16532036-16532046(-) cgacaatgaca >hg38_chr6:16544255-16544265(-) GAACAATGCAA >hg38_chr6:16555648-16555658(-) GAACAATGTGG >hg38_chr6:16556629-16556639(+) GGACAATAAAC >hg38_chr6:16669062-16669072(-) ATACTATGGGA >hg38_chr6:16677638-16677648(-) AGACAACGGCA >hg38_chr6:16698381-16698391(-) GAACAATGAAG >hg38_chr6:16698873-16698883(-) GGACaatcgta >hg38_chr6:16699056-16699066(-) GAACAATAGAA >hg38_chr6:16700620-16700630(+) AAACAATATAC >hg38_chr6:16706033-16706043(+) tcactatggac >hg38_chr6:16713161-16713171(+) AAACAATGGAG >hg38_chr6:16725644-16725654(-) GCACAATGAGT >hg38_chr6:16755446-16755456(+) ATACAATACTA >hg38_chr6:16766314-16766324(+) ACACAATAGAC >hg38_chr6:16768668-16768678(+) AAACGATGGCT >hg38_chr6:16782591-16782601(+) GAACAATAGGG >hg38_chr6:16782756-16782766(-) AAATAATGGAA >hg38_chr6:16783824-16783834(-) TGACAAAGGAA >hg38_chr6:16787484-16787494(+) acaCAATGGAA >hg38_chr6:16800073-16800083(+) TAACAATGACA >hg38_chr6:16800079-16800089(+) TGACAATGAAT >hg38_chr6:16802206-16802216(-) CTACAATAGAG >hg38_chr6:16802271-16802281(+) GGACGATGGTT >hg38_chr6:16804137-16804147(-) TAACAATGAAT >hg38_chr6:16805679-16805689(+) AAACAATGGGG >hg38_chr6:16850835-16850845(-) AGACAATGAGA >hg38_chr6:16850854-16850864(-) AAACAATGAAA >hg38_chr6:16854982-16854992(+) agacaatggta >hg38_chr6:16855012-16855022(+) acacaatagga >hg38_chr6:16883675-16883685(-) tcacaatgaaa >hg38_chr6:16884691-16884701(+) ggacaaaggaa >hg38_chr6:16893184-16893194(-) atataatgtat >hg38_chr6:16893195-16893205(-) acacaatgtgc >hg38_chr6:16893550-16893560(-) aaacaatggag >hg38_chr6:16902108-16902118(+) taacaatgact >hg38_chr6:16936098-16936108(+) taactatgggg >hg38_chr6:16936139-16936149(+) atacaatggga >hg38_chr6:16947617-16947627(-) TAATAATGGTG >hg38_chr6:16955454-16955464(+) AAACAATGttt >hg38_chr6:16976655-16976665(+) CGACAGTGGAA >hg38_chr6:17004066-17004076(+) GCACAATGCTT >hg38_chr6:17013236-17013246(-) TTATAATGGAA >hg38_chr6:17042517-17042527(-) agacaatgtca >hg38_chr6:17058469-17058479(-) GTACAATGCAT >hg38_chr6:17063335-17063345(+) taacaatatga >hg38_chr6:17063399-17063409(+) gtataatggca >hg38_chr6:17178543-17178553(-) GAACAATAACA >hg38_chr6:17202602-17202612(+) TAACAATGGCA >hg38_chr6:17212779-17212789(-) GCACAATGACT >hg38_chr6:17219862-17219872(+) TCACAATGAAA >hg38_chr6:17241274-17241284(-) gaacagtggaa >hg38_chr6:17279835-17279845(+) CAACAATGGTG >hg38_chr6:17356320-17356330(-) agacaatgaaa >hg38_chr6:17386601-17386611(+) AAACAATGATG >hg38_chr6:17413536-17413546(-) GCACAATGCTC >hg38_chr6:17429216-17429226(+) ACACAAAGGAA >hg38_chr6:17431894-17431904(-) TTATAATGGTG >hg38_chr6:17459778-17459788(+) taacaatagaa >hg38_chr6:17482914-17482924(-) GAACAATGCCT >hg38_chr6:17482922-17482932(-) TAACAATAGAA >hg38_chr6:17484844-17484854(-) ACACAATGCAT >hg38_chr6:17484852-17484862(-) AGACAATGACA >hg38_chr6:17497948-17497958(-) TCACAATAGCT >hg38_chr6:17578593-17578603(+) AGACAATAGAG >hg38_chr6:17603200-17603210(+) TAACAATAATC >hg38_chr6:17610731-17610741(-) GTACAATGAAG >hg38_chr6:17612077-17612087(+) AAACAACGGGT >hg38_chr6:17647438-17647448(+) ggataatgggg >hg38_chr6:17700705-17700715(+) AAACCATGGTA >hg38_chr6:17700720-17700730(+) aaacaatgacc >hg38_chr6:17707046-17707056(+) CAACAACGGAA >hg38_chr6:17710512-17710522(+) taacaatgttt >hg38_chr6:17710527-17710537(+) caacaatgggc >hg38_chr6:17710543-17710553(+) atacaatggtg >hg38_chr6:17737677-17737687(-) gaacaatggat >hg38_chr6:17737709-17737719(-) tcacaatgata >hg38_chr6:17838410-17838420(+) TAACAATAGAT >hg38_chr6:17839557-17839567(-) GGACAAtggcc >hg38_chr6:17866667-17866677(-) TAACAATAGCA >hg38_chr6:17876308-17876318(+) ccacaataggc >hg38_chr6:17921590-17921600(+) AGACAATGGGT >hg38_chr6:17921630-17921640(+) ACACAATAGAA >hg38_chr6:17931712-17931722(-) TTACAATGTTG >hg38_chr6:17933956-17933966(+) TTACAATGCAA >hg38_chr6:17933969-17933979(+) TAACTATGGAA >hg38_chr6:17939218-17939228(+) CAACAATGCCT >hg38_chr6:17957892-17957902(-) TGACAATGTGA >hg38_chr6:17957915-17957925(+) TGACAATGACT >hg38_chr6:17972395-17972405(+) TTACAAAGGTC >hg38_chr6:17980212-17980222(+) agacagtggaa >hg38_chr6:17987955-17987965(-) AAACAATGCGT >hg38_chr6:17996034-17996044(+) AAACAATGAAA >hg38_chr6:18016858-18016868(-) CAACAATGAAA >hg38_chr6:18023081-18023091(-) AGACAATGGAA >hg38_chr6:18048402-18048412(+) taacaaaggcc >hg38_chr6:18177817-18177827(-) taacaatgagc >hg38_chr6:18177847-18177857(+) ctacaatgaca >hg38_chr6:18221713-18221723(+) ATACAATGAGT >hg38_chr6:18221729-18221739(+) TGACAATGCAT >hg38_chr6:18221759-18221769(-) TAACACTGGAA >hg38_chr6:18221767-18221777(+) TTACGATGGGT >hg38_chr6:18224421-18224431(-) ACACAATGACA >hg38_chr6:18240479-18240489(+) aaacaatagtt >hg38_chr6:18246418-18246428(-) TCACAATAGTT >hg38_chr6:18246745-18246755(+) CAACAATGAAT >hg38_chr6:18264850-18264860(-) AAACAATAAAC >hg38_chr6:18293263-18293273(-) GAACAATAAAT >hg38_chr6:18293603-18293613(+) TTACAATGGTG >hg38_chr6:18295033-18295043(-) tgacaatgcag >hg38_chr6:18307373-18307383(+) CAATAATGGAG >hg38_chr6:18312380-18312390(+) TGACAATGACA >hg38_chr6:18336142-18336152(+) AGACAATAGCA >hg38_chr6:18363892-18363902(+) ACACAATGAAT >hg38_chr6:18371979-18371989(+) GGACAATGAAA >hg38_chr6:18395424-18395434(+) ACACAAAGGAA >hg38_chr6:18480050-18480060(-) ggacaataggt >hg38_chr6:18482719-18482729(-) taacaatagat >hg38_chr6:18488710-18488720(+) TAACAATGTAA >hg38_chr6:18493686-18493696(+) caacaatgaag >hg38_chr6:18536610-18536620(+) GCACAATGTCA >hg38_chr6:18536872-18536882(+) AAACAATAGCT >hg38_chr6:18545298-18545308(-) tgacaatgcct >hg38_chr6:18545318-18545328(+) ccacaatggtt >hg38_chr6:18575882-18575892(-) AGATAATGGGC >hg38_chr6:18604986-18604996(+) ACACAATGTCT >hg38_chr6:18605009-18605019(-) AAACAATAGCA >hg38_chr6:18623364-18623374(+) acacaatgtat >hg38_chr6:18623409-18623419(-) atacaatgttg >hg38_chr6:18651030-18651040(-) CCACAATGGTG >hg38_chr6:18694130-18694140(+) CAACAATGAGA >hg38_chr6:18749470-18749480(-) TAACAATAAAA >hg38_chr6:18752752-18752762(-) GAACAAAGGGA >hg38_chr6:18823945-18823955(+) ggacaatggtt >hg38_chr6:18883006-18883016(-) GGACAATGGAC >hg38_chr6:18886366-18886376(-) taacaatgcct >hg38_chr6:18958167-18958177(+) TTACAAAGGGA >hg38_chr6:18981641-18981651(+) GGACAATGAAG >hg38_chr6:18990434-18990444(+) AAACAATGCAC >hg38_chr6:18992342-18992352(-) ACACAATAGAG >hg38_chr6:18997606-18997616(+) agacaatgggc >hg38_chr6:19023319-19023329(-) ATACACTGGTA >hg38_chr6:19034995-19035005(+) GGACAATAGCA >hg38_chr6:19035335-19035345(+) AGACAATAGTG >hg38_chr6:19035737-19035747(+) TAACCATGGCG >hg38_chr6:19127250-19127260(-) CAACAATAGCC >hg38_chr6:19157644-19157654(-) caacaatgtga >hg38_chr6:19236001-19236011(-) atacactgggc >hg38_chr6:19236014-19236024(+) caacaatagca >hg38_chr6:19312510-19312520(-) AGATAATGGTG >hg38_chr6:19312531-19312541(+) AGACAAAGGAA >hg38_chr6:19312564-19312574(-) AAATAATGGGG >hg38_chr6:19313602-19313612(-) TAACAATGGTG >hg38_chr6:19317803-19317813(+) ttacaatgtgt >hg38_chr6:19320410-19320420(+) GTACAAAGGCT >hg38_chr6:19392059-19392069(-) acacaataaat >hg38_chr6:19394190-19394200(+) gcacaatatac >hg38_chr6:19434177-19434187(-) TCACAATAGAT >hg38_chr6:19444916-19444926(-) TGACAATGATA >hg38_chr6:19454529-19454539(-) TTACAATGTTC >hg38_chr6:19559137-19559147(-) GTACAAAGGTC >hg38_chr6:19562861-19562871(+) gaacaatgctt >hg38_chr6:19677761-19677771(-) ACACAATGGCA >hg38_chr6:19718919-19718929(+) CTACAATGACC >hg38_chr6:19722063-19722073(+) GCACAATAATA >hg38_chr6:19722083-19722093(-) AGACAAAGGAG >hg38_chr6:19734301-19734311(-) ACACAATAGTG >hg38_chr6:19761344-19761354(+) GGACAATGAGC >hg38_chr6:19761971-19761981(+) ATACAAAGACG >hg38_chr6:19761987-19761997(+) GAATAATGGCC >hg38_chr6:19772905-19772915(-) aaacaataaaa >hg38_chr6:19788398-19788408(-) AAACAATGAAT >hg38_chr6:19788535-19788545(-) AAACAATAAGA >hg38_chr6:19803087-19803097(-) TCATAATGGAT >hg38_chr6:19804744-19804754(-) GCACAATGACT >hg38_chr6:19811608-19811618(-) AAACAATGGGA >hg38_chr6:19830435-19830445(-) ATACAATGTTC >hg38_chr6:19841846-19841856(+) TAACAAAGGAA >hg38_chr6:19896722-19896732(+) TAACAAAGGAT >hg38_chr6:19964160-19964170(+) GGACAATGTCT >hg38_chr6:20056509-20056519(+) ACACAATGTCC >hg38_chr6:20085035-20085045(-) GAACAATGACA >hg38_chr6:20092494-20092504(+) AAACAAAGGCA >hg38_chr6:20104503-20104513(+) GCACAATGTAG >hg38_chr6:20104883-20104893(+) AAACAATAAAC >hg38_chr6:20136608-20136618(+) GAACAATAGGA >hg38_chr6:20153563-20153573(-) GAACAATGGGT >hg38_chr6:20169433-20169443(-) GCACAATGGTC >hg38_chr6:20197411-20197421(+) ggacaaaggac >hg38_chr6:20218009-20218019(+) CAACAATGTTT >hg38_chr6:20242645-20242655(-) ttacaatagtc >hg38_chr6:20272571-20272581(-) taacaataagt >hg38_chr6:20272585-20272595(-) atacaattgac >hg38_chr6:20276495-20276505(-) caacaatgatc >hg38_chr6:20401879-20401889(-) TGACAATGAAT >hg38_chr6:20404240-20404250(-) GGACAAAGGGA >hg38_chr6:20468702-20468712(-) ggacaatggtc >hg38_chr6:20484091-20484101(-) CCACAATGGGG >hg38_chr6:20484153-20484163(-) TGACAATGCCA >hg38_chr6:20499099-20499109(-) ctacaatgcta >hg38_chr6:20529323-20529333(+) AGACAATAGGA >hg38_chr6:20538292-20538302(-) AAACAATGCGT >hg38_chr6:20538341-20538351(-) AAACAATGCAA >hg38_chr6:20538841-20538851(-) aCACAATGCTT >hg38_chr6:20538869-20538879(-) acacaatagtt >hg38_chr6:20538894-20538904(-) taacaatgcct >hg38_chr6:20629726-20629736(-) GCACAATGGGA >hg38_chr6:20630397-20630407(-) AGACAATAGGT >hg38_chr6:20657161-20657171(-) TAACAATGTTA >hg38_chr6:20662020-20662030(-) AAACAATAGGA >hg38_chr6:20671332-20671342(-) aaacaataaga >hg38_chr6:20671343-20671353(-) AGACAatagat >hg38_chr6:20679406-20679416(+) ctacaatgcat >hg38_chr6:20685380-20685390(-) agacaatgtac >hg38_chr6:20704866-20704876(-) CTATAATGGTA >hg38_chr6:20707204-20707214(-) GAACAAAGGCC >hg38_chr6:20739349-20739359(-) GTACAATATGT >hg38_chr6:20751582-20751592(-) ATACAATGGCA >hg38_chr6:20813709-20813719(-) agacaaaggga >hg38_chr6:20816302-20816312(-) TAACAATAGAA >hg38_chr6:20818107-20818117(+) GGATAATGGCT >hg38_chr6:20818131-20818141(+) ACACAATGTCT >hg38_chr6:20818843-20818853(-) GAACAATGAAC >hg38_chr6:20827386-20827396(-) AAACAATGGCA >hg38_chr6:20834319-20834329(+) TCACAATGAAC >hg38_chr6:20839885-20839895(-) TAACAATAAAT >hg38_chr6:20840838-20840848(+) TAACAATGAAG >hg38_chr6:20840860-20840870(-) ACACAATGTAG >hg38_chr6:20846919-20846929(-) AGACAATAGAT >hg38_chr6:20868687-20868697(-) TAACAATGGCA >hg38_chr6:20873670-20873680(-) GGACAATGGCC >hg38_chr6:20875519-20875529(-) AGACAATGGTG >hg38_chr6:20893330-20893340(+) GAACAATAGCA >hg38_chr6:20893357-20893367(-) ATACAGTGGGG >hg38_chr6:20953139-20953149(-) GAACAATGGTC >hg38_chr6:20953148-20953158(-) CAACAATAGGA >hg38_chr6:21022441-21022451(+) AAACAATAGAT >hg38_chr6:21023845-21023855(-) GCACAATAGAA >hg38_chr6:21025863-21025873(+) ATACAATGCAT >hg38_chr6:21082288-21082298(-) aaacaatggaa >hg38_chr6:21115265-21115275(-) TGACAATACCG >hg38_chr6:21131503-21131513(-) TAACAATACCG >hg38_chr6:21137179-21137189(+) TGACAACGGAG >hg38_chr6:21145177-21145187(+) GAACAATGTCC >hg38_chr6:21147505-21147515(-) GGACAATGGAC >hg38_chr6:21147512-21147522(-) TAACAGTGGAC >hg38_chr6:21152552-21152562(+) acacaatgagt >hg38_chr6:21161973-21161983(-) GCACAATAGGC >hg38_chr6:21189476-21189486(+) GGACAATGTTT >hg38_chr6:21189514-21189524(-) AAACAATGACA >hg38_chr6:21235212-21235222(-) ggacaatagaa >hg38_chr6:21277573-21277583(-) TGACAATGTAA >hg38_chr6:21277993-21278003(-) CTACAATGCAT >hg38_chr6:21293964-21293974(-) ggacaatcgga >hg38_chr6:21295368-21295378(+) ATACAATGGAT >hg38_chr6:21303924-21303934(+) tgacaatgctc >hg38_chr6:21327465-21327475(+) taacaatgagg >hg38_chr6:21360135-21360145(-) gaacaatggac >hg38_chr6:21370504-21370514(-) TAACAATACAT >hg38_chr6:21383223-21383233(-) atacaaagggg >hg38_chr6:21452755-21452765(-) agacaacggtg >hg38_chr6:21496874-21496884(-) ATATAATGGCA >hg38_chr6:21521200-21521210(+) ACACAATGAAA >hg38_chr6:21521506-21521516(-) GCACAATGGCT >hg38_chr6:21566274-21566284(-) taacaatggac >hg38_chr6:21583089-21583099(-) gtacaatgtag >hg38_chr6:21589305-21589315(+) GTACAGTGGTA >hg38_chr6:21592845-21592855(-) GTATAATGGCA >hg38_chr6:21593181-21593191(-) AAACAATAAAT >hg38_chr6:21594028-21594038(-) CTACAATGCAA >hg38_chr6:21647716-21647726(-) GGACAAAGGGA >hg38_chr6:21664859-21664869(-) GAACAAAGACG >hg38_chr6:21666164-21666174(+) AAACAATGATT >hg38_chr6:21667103-21667113(+) GCACAATATAA >hg38_chr6:21667122-21667132(+) CAACAATGGAA >hg38_chr6:21704083-21704093(-) ttataatggaa >hg38_chr6:21721180-21721190(+) AAACAATGGGA >hg38_chr6:21721188-21721198(+) GGACAAAGGTC >hg38_chr6:21721202-21721212(-) ATACAATAGAA >hg38_chr6:21731874-21731884(+) TAACAAAGGTT >hg38_chr6:21733533-21733543(+) GTACAATGGAA >hg38_chr6:21844704-21844714(-) GGACAATAGGG >hg38_chr6:21845116-21845126(-) AAACAATAAGT >hg38_chr6:21891231-21891241(-) TGACAATGGAA >hg38_chr6:21891270-21891280(+) acacaatggtg >hg38_chr6:21898747-21898757(+) TAACAAAGGAT >hg38_chr6:21898759-21898769(+) AAACAATGGTG >hg38_chr6:21900775-21900785(-) atacaatggaa >hg38_chr6:21900793-21900803(-) acacaatgtga >hg38_chr6:21908199-21908209(+) TCACAATGGCT >hg38_chr6:21950859-21950869(-) TAACAATGATC >hg38_chr6:21971829-21971839(+) TGACAATAGAT >hg38_chr6:21997016-21997026(+) AGACAATGGAT >hg38_chr6:22020000-22020010(-) TGACAATGTGG >hg38_chr6:22025831-22025841(-) GGACAATAGCT >hg38_chr6:22026441-22026451(+) TCACAATAGAA >hg38_chr6:22056344-22056354(-) ACACAATGAAA >hg38_chr6:22056355-22056365(-) ACATAATGGAG >hg38_chr6:22056385-22056395(+) GAACAATAGCT >hg38_chr6:22061794-22061804(-) TTACAAAGGAC >hg38_chr6:22091917-22091927(-) tgacaatagtc >hg38_chr6:22113133-22113143(-) TAACAAAGGAG >hg38_chr6:22138971-22138981(-) CCACAATGGGA >hg38_chr6:22141326-22141336(-) AAACAATGTGA >hg38_chr6:22142408-22142418(+) AGACAATGCCT >hg38_chr6:22146146-22146156(-) GAACAATGAGA >hg38_chr6:22148200-22148210(-) AAACAGTGGAA >hg38_chr6:22149899-22149909(-) ACACAATGACA >hg38_chr6:22197064-22197074(-) GGACAATGTGC >hg38_chr6:22254525-22254535(-) TAACAATGTAC >hg38_chr6:22255323-22255333(+) caacaataggg >hg38_chr6:22314028-22314038(-) agacaatggga >hg38_chr6:22316828-22316838(-) ATACTATGGCA >hg38_chr6:22320703-22320713(-) ACACAATGGAA >hg38_chr6:22332986-22332996(+) CGACAATGGTT >hg38_chr6:22362618-22362628(-) AAACAATAAAA >hg38_chr6:22413161-22413171(-) agacaatggga >hg38_chr6:22413941-22413951(+) TCACAATGAAC >hg38_chr6:22448006-22448016(-) GGACAATGAAA >hg38_chr6:22448044-22448054(+) AAACAATAGCA >hg38_chr6:22469502-22469512(-) AAACACTGGAT >hg38_chr6:22558610-22558620(-) TAACAGTGGAG >hg38_chr6:22594624-22594634(-) CTACAATGGAA >hg38_chr6:22594656-22594666(-) TTACAATGTTA >hg38_chr6:22605989-22605999(-) TGACTATGGAA >hg38_chr6:22614705-22614715(-) CAACAATGTAG >hg38_chr6:22614751-22614761(-) ttataatggaa >hg38_chr6:22616071-22616081(+) gcacaataata >hg38_chr6:22632671-22632681(+) TTACAAAGGAT >hg38_chr6:22634899-22634909(-) acacaatggaa >hg38_chr6:22654054-22654064(-) CCACAATAGCT >hg38_chr6:22654098-22654108(-) AAACAATGATT >hg38_chr6:22670014-22670024(+) gcacaatagcc >hg38_chr6:22676332-22676342(-) ACACAAAGGGA >hg38_chr6:22696572-22696582(-) GCACAATGGGT >hg38_chr6:22744473-22744483(-) gaacactggtc >hg38_chr6:22756617-22756627(+) acacaatgtgc >hg38_chr6:22765210-22765220(+) AAACAATGCTT >hg38_chr6:22786853-22786863(-) GAACAATAGAC >hg38_chr6:22802107-22802117(-) GCACAATGGTA >hg38_chr6:22808219-22808229(-) atacaatgtgt >hg38_chr6:22809540-22809550(+) GCACAATGGTA >hg38_chr6:22809555-22809565(+) TGACAATGAAA >hg38_chr6:22812413-22812423(-) atacaatggtc >hg38_chr6:22859751-22859761(-) GAACAATGGAG >hg38_chr6:22878430-22878440(+) TTACAATAATC >hg38_chr6:22882642-22882652(+) atacaaaggaa >hg38_chr6:22887382-22887392(+) gaacactggaa >hg38_chr6:22893015-22893025(-) TTACAATGCAT >hg38_chr6:22896923-22896933(-) GAACAATAGCA >hg38_chr6:22896948-22896958(+) TCACAATAGGC >hg38_chr6:22903861-22903871(-) AGACAATGACT >hg38_chr6:22904735-22904745(+) TTACAATGCTC >hg38_chr6:22934950-22934960(+) atacaatggag >hg38_chr6:22955139-22955149(-) AAACAATGAAA >hg38_chr6:22966262-22966272(+) AGACAATGTGA >hg38_chr6:22970863-22970873(+) CAACAATGTAT >hg38_chr6:23009497-23009507(+) AGACAATAAAA >hg38_chr6:23010150-23010160(-) gaactatggct >hg38_chr6:23010185-23010195(+) ggacaatatta >hg38_chr6:23048114-23048124(-) TAACAATGCAG >hg38_chr6:23076228-23076238(+) tcacaatagcc >hg38_chr6:23076744-23076754(-) gtacagtggga >hg38_chr6:23084809-23084819(-) AAATAATGGGA >hg38_chr6:23087636-23087646(-) AGACAAAGGAG >hg38_chr6:23128159-23128169(+) ACACAATGACT >hg38_chr6:23135550-23135560(-) agacaatatat >hg38_chr6:23146014-23146024(+) AAACAATCGAC >hg38_chr6:23170222-23170232(-) tcacaatggtt >hg38_chr6:23170263-23170273(-) tcacaataggc >hg38_chr6:23218853-23218863(-) AGACAAAGGGC >hg38_chr6:23278063-23278073(-) ttacaatgtgc >hg38_chr6:23283913-23283923(+) TTACAATGGCT >hg38_chr6:23284224-23284234(-) TTACAATGTAG >hg38_chr6:23294621-23294631(-) TCACAATGCTT >hg38_chr6:23306808-23306818(+) ATACAATGAAG >hg38_chr6:23306839-23306849(+) CAACAATAGAT >hg38_chr6:23306867-23306877(-) CAATAATGGAG >hg38_chr6:23306870-23306880(-) ATACAATAATG >hg38_chr6:23340339-23340349(+) GCATAATGGAT >hg38_chr6:23340883-23340893(+) taacaatagag >hg38_chr6:23441927-23441937(-) TAACAATGCCC >hg38_chr6:23478537-23478547(+) aaacaatgaca >hg38_chr6:23621503-23621513(+) ttacaatgtcc >hg38_chr6:23691049-23691059(+) ACACAATAGCT >hg38_chr6:23717579-23717589(-) gaacaatagtg >hg38_chr6:23823626-23823636(+) CAACAATGGAA >hg38_chr6:23851713-23851723(-) TTACTATGGTT >hg38_chr6:23870670-23870680(-) TGACAATAGAT >hg38_chr6:23874494-23874504(-) TAACAATGCCA >hg38_chr6:23875200-23875210(+) CAACAATGTGC >hg38_chr6:24009559-24009569(+) GGACAATGCTA >hg38_chr6:24052192-24052202(+) ACACAGTGGAT >hg38_chr6:24069446-24069456(+) ACATAATGGCA >hg38_chr6:24183818-24183828(+) TTACAATGTGG >hg38_chr6:24195456-24195466(+) tcacaatggga >hg38_chr6:24195498-24195508(-) agacaatgtct >hg38_chr6:24254400-24254410(-) aaacaataaac >hg38_chr6:24308175-24308185(-) ACACTATGGGA >hg38_chr6:24312724-24312734(+) CAATAATGGAT >hg38_chr6:24313582-24313592(+) TAACAATGCAA >hg38_chr6:24324043-24324053(+) AAACAATGGCT >hg38_chr6:24400267-24400277(+) ggacaatagga >hg38_chr6:24405411-24405421(+) TAACAATGATC >hg38_chr6:24444183-24444193(+) tcacaatagtg >hg38_chr6:24478094-24478104(+) TAAAAATGGCG >hg38_chr6:24492809-24492819(-) tcacaatgtgg >hg38_chr6:24524986-24524996(-) GGACAATGTCT >hg38_chr6:24571571-24571581(-) TGACAATAGAT >hg38_chr6:24586381-24586391(-) ttacaaaggag >hg38_chr6:24614808-24614818(-) AAACAATGCCA >hg38_chr6:24656673-24656683(+) caataatggaa >hg38_chr6:24658123-24658133(+) TGacaatagaa >hg38_chr6:24713155-24713165(+) ttacaatgact >hg38_chr6:24713199-24713209(+) gcaCAAtgaat >hg38_chr6:24737581-24737591(+) tgacaatgtga >hg38_chr6:24826976-24826986(-) TGACAATGAAA >hg38_chr6:24826989-24826999(-) CAACAATGGGG >hg38_chr6:24847313-24847323(+) CCACAATGGAG >hg38_chr6:24899365-24899375(-) GAACAATAGCT >hg38_chr6:24907998-24908008(-) AGACAATGGAG >hg38_chr6:24910763-24910773(-) GTACAACGCGG >hg38_chr6:24919678-24919688(+) TCACAATGAGG >hg38_chr6:24932056-24932066(-) TAATAATGGTA >hg38_chr6:24953946-24953956(-) taacaaaggac >hg38_chr6:24980935-24980945(-) GGACAATGAAT >hg38_chr6:24985471-24985481(-) AAACAATGTGC >hg38_chr6:24997154-24997164(+) caacaatgaaa >hg38_chr6:25054813-25054823(-) ATACAATGTTT >hg38_chr6:25147319-25147329(+) ccacaatgaag >hg38_chr6:25147627-25147637(+) aaacaatgcaa >hg38_chr6:25147646-25147656(+) caacaatgaaa >hg38_chr6:25168905-25168915(+) acacaaaggaa >hg38_chr6:25214387-25214397(+) GAATAATGGAG >hg38_chr6:25217977-25217987(+) caacaatggag >hg38_chr6:25233342-25233352(-) AAACAATGGGT >hg38_chr6:25267089-25267099(+) GAACAATGGGA >hg38_chr6:25275946-25275956(-) taacaataata >hg38_chr6:25286474-25286484(-) GAACAATGGCA >hg38_chr6:25301309-25301319(+) GAACAATAGGA >hg38_chr6:25337517-25337527(+) ACACAATGGTG >hg38_chr6:25337703-25337713(+) AGACAATGCAG >hg38_chr6:25347148-25347158(-) GCACAATAGAC >hg38_chr6:25351615-25351625(-) ATACAATGAAG >hg38_chr6:25351644-25351654(+) acacaatggtt >hg38_chr6:25397611-25397621(-) AAATAATGGCC >hg38_chr6:25415797-25415807(-) AGACAATAGAC >hg38_chr6:25517768-25517778(-) TCACAATAGGA >hg38_chr6:25518025-25518035(-) ATACAATATGA >hg38_chr6:25529537-25529547(+) gaacaaaggaa >hg38_chr6:25531385-25531395(+) AAACTATGGGT >hg38_chr6:25549715-25549725(-) GTACAGTGGTC >hg38_chr6:25573026-25573036(-) atataatgggc >hg38_chr6:25574371-25574381(+) ATAcactggaa >hg38_chr6:25602595-25602605(-) TAACAATGGTT >hg38_chr6:25602740-25602750(+) CAACAATAGCA >hg38_chr6:25614640-25614650(-) AAACAATAGTT >hg38_chr6:25615283-25615293(-) GAACAATAGCC >hg38_chr6:25635979-25635989(+) GAACAATGGTA >hg38_chr6:25714296-25714306(-) CAACAATGACT >hg38_chr6:25759477-25759487(-) taacactggag >hg38_chr6:25764305-25764315(+) GAACAATATCA >hg38_chr6:25794484-25794494(+) GCACAATGCAT >hg38_chr6:25794506-25794516(-) GGACAATAGCT >hg38_chr6:25808391-25808401(+) gtacaatgtac >hg38_chr6:25816292-25816302(-) GCACAATGGGG >hg38_chr6:25826533-25826543(-) TCACAATGGTA >hg38_chr6:25846341-25846351(+) gtacaatagat >hg38_chr6:25846367-25846377(+) acacaatgaga >hg38_chr6:25910444-25910454(-) GAACAATGATG >hg38_chr6:25963772-25963782(-) GGATAATGGAA >hg38_chr6:25966131-25966141(+) gaacaatgtat >hg38_chr6:25980127-25980137(-) taataatagta >hg38_chr6:25997548-25997558(-) ATACAATGATG >hg38_chr6:26006879-26006889(-) taacaatgaat >hg38_chr6:26009559-26009569(+) caacaatggtg >hg38_chr6:26052084-26052094(-) tgacaaaggac >hg38_chr6:26055165-26055175(+) GGACAAAGGTA >hg38_chr6:26058002-26058012(-) AAACAATGGGG >hg38_chr6:26064387-26064397(-) TTACAATGGTG >hg38_chr6:26088656-26088666(+) GTACACTGGGC >hg38_chr6:26097439-26097449(+) AAACCATGGTA >hg38_chr6:26123346-26123356(-) GGACAAAGGGT >hg38_chr6:26126181-26126191(-) AAACAATGCAA >hg38_chr6:26141531-26141541(+) gaacaatggct >hg38_chr6:26142564-26142574(+) aaacaatgagc >hg38_chr6:26151789-26151799(+) AAACAATGTTG >hg38_chr6:26170247-26170257(-) aaacaataaac >hg38_chr6:26184741-26184751(-) GAACAAtgtgt >hg38_chr6:26184804-26184814(-) TAACAATGGAG >hg38_chr6:26188077-26188087(+) TAACAATGCCT >hg38_chr6:26206045-26206055(-) AGACAATGAGA >hg38_chr6:26206052-26206062(-) GAACAATAGAC >hg38_chr6:26207022-26207032(-) gaacaatgctt >hg38_chr6:26224396-26224406(-) CAACAATGGCG >hg38_chr6:26225844-26225854(-) GAACAATGTAC >hg38_chr6:26250011-26250021(+) AGACAATGGCC >hg38_chr6:26264831-26264841(+) taacaaaggtg >hg38_chr6:26266346-26266356(+) taacaataaca >hg38_chr6:26269217-26269227(+) taacaataaaa >hg38_chr6:26275849-26275859(-) AAACAATGGCT >hg38_chr6:26305524-26305534(+) gcacaatggat >hg38_chr6:26327533-26327543(+) GTACAATATGT >hg38_chr6:26327879-26327889(+) TCATAATGGAT >hg38_chr6:26327891-26327901(-) GAACAATGAAA >hg38_chr6:26327909-26327919(-) GCACAATGATT >hg38_chr6:26347858-26347868(+) atacaatgact >hg38_chr6:26347900-26347910(-) gaacaaaggtg >hg38_chr6:26365671-26365681(-) CCACAATACGT >hg38_chr6:26517417-26517427(-) aaacaatgaat >hg38_chr6:26529001-26529011(-) gaacaaaggtt >hg38_chr6:26533037-26533047(-) GAACAAAGGCA >hg38_chr6:26537836-26537846(-) ACACAATAGAG >hg38_chr6:26539823-26539833(+) AGACAATAAAC >hg38_chr6:26561071-26561081(-) gaacaatatat >hg38_chr6:26561239-26561249(-) AGACAATGTTT >hg38_chr6:26572054-26572064(+) GTACAATGGTG >hg38_chr6:26605012-26605022(+) AAACAAAGGCC >hg38_chr6:26648807-26648817(-) gaacaaaggct >hg38_chr6:26648867-26648877(+) aaacaatgcaa >hg38_chr6:26651170-26651180(+) gaacaaaggca >hg38_chr6:27020495-27020505(-) AGACGATGGAG >hg38_chr6:27020532-27020542(-) TAACAATAAAC >hg38_chr6:27022390-27022400(-) caacaatgaca >hg38_chr6:27042196-27042206(+) TAACAATAGAG >hg38_chr6:27066598-27066608(+) TGACAAAGGTC >hg38_chr6:27079249-27079259(+) aaacaatgggc >hg38_chr6:27093763-27093773(+) aaactatggca >hg38_chr6:27093771-27093781(+) gcacaatggat >hg38_chr6:27094032-27094042(-) gtataatagta >hg38_chr6:27094066-27094076(-) gtataatggca >hg38_chr6:27102034-27102044(-) agacaatagtt >hg38_chr6:27127221-27127231(-) CGATAATGGAT >hg38_chr6:27130464-27130474(+) GGACAATATAC >hg38_chr6:27139283-27139293(-) GAACAAAGGTC >hg38_chr6:27139723-27139733(-) TGACAATGGCC >hg38_chr6:27144032-27144042(+) GTATAATGGAA >hg38_chr6:27144053-27144063(+) GCATAATGGAA >hg38_chr6:27146051-27146061(+) ACACAATAGGC >hg38_chr6:27146097-27146107(+) ACACAACGGAA >hg38_chr6:27147539-27147549(+) AAACAAAGGCT >hg38_chr6:27178335-27178345(-) aaacaaaggag >hg38_chr6:27269069-27269079(-) GGACAATGCCA >hg38_chr6:27290766-27290776(+) TTACAATGGCA >hg38_chr6:27293589-27293599(-) AGACAATGAAT >hg38_chr6:27308194-27308204(-) gaacaatgaag >hg38_chr6:27314283-27314293(+) aaacaatggag >hg38_chr6:27315630-27315640(+) agacaatgcaa >hg38_chr6:27315909-27315919(+) tcacaatggaa >hg38_chr6:27388822-27388832(+) AGACAATGACT >hg38_chr6:27403450-27403460(-) CTACAAAGGAT >hg38_chr6:27473729-27473739(+) aaacaatcgtt >hg38_chr6:27474805-27474815(+) aaacaatggga >hg38_chr6:27479618-27479628(+) GGACAATAGCG >hg38_chr6:27480939-27480949(-) aaacaatgttg >hg38_chr6:27491506-27491516(+) atacaatgcaa >hg38_chr6:27491825-27491835(+) caacaatgtaa >hg38_chr6:27493040-27493050(+) tgacactggta >hg38_chr6:27494302-27494312(-) caacaatagaa >hg38_chr6:27502480-27502490(+) aaacaatgcca >hg38_chr6:27503232-27503242(+) CAACAATGGAG >hg38_chr6:27505344-27505354(-) TAACAATGATT >hg38_chr6:27531174-27531184(-) gaacaatagtt >hg38_chr6:27564108-27564118(+) caacaatgcat >hg38_chr6:27592270-27592280(+) CAACAATGACT >hg38_chr6:27601770-27601780(-) GCACAATCGTC >hg38_chr6:27605569-27605579(-) CCACAATAGTT >hg38_chr6:27617318-27617328(-) AAACAATAGGA >hg38_chr6:27651855-27651865(-) agacaatgtag >hg38_chr6:27674657-27674667(+) TGACAATGACA >hg38_chr6:27684452-27684462(+) GGACAAAGGAG >hg38_chr6:27684493-27684503(+) TTACAATAGGA >hg38_chr6:27721027-27721037(-) TAACACTGACG >hg38_chr6:27748499-27748509(-) caacaatgttc >hg38_chr6:27752498-27752508(-) taacaatggaa >hg38_chr6:27771698-27771708(+) agacaatgtag >hg38_chr6:27796011-27796021(+) AAATAATGggt >hg38_chr6:27807911-27807921(+) AAACAATAGTG >hg38_chr6:27824750-27824760(+) TGACAGTGGTA >hg38_chr6:27825612-27825622(-) TAACAATAAGT >hg38_chr6:27825673-27825683(+) GGACAATAATA >hg38_chr6:27850852-27850862(-) ACACAATGGAC >hg38_chr6:27871160-27871170(-) gtacaatgtaa >hg38_chr6:27873766-27873776(-) AGACAATGGAA >hg38_chr6:27873814-27873824(-) GAACAATCGAT >hg38_chr6:27895168-27895178(-) TTACAATAGAA >hg38_chr6:27926618-27926628(-) aaacaatagaa >hg38_chr6:27930933-27930943(+) TAACAAAGGAA >hg38_chr6:27941296-27941306(-) gaacaatgcct >hg38_chr6:27977634-27977644(+) TAACAATGACC >hg38_chr6:28003845-28003855(-) taacaatgggt >hg38_chr6:28005399-28005409(+) aaacaacggtc >hg38_chr6:28035734-28035744(+) TGACAATAATA >hg38_chr6:28064386-28064396(-) aaacaatgggg >hg38_chr6:28069862-28069872(+) GAACAAAGGGC >hg38_chr6:28081331-28081341(-) AGACAATGGCA >hg38_chr6:28127645-28127655(+) AGACAATGATC >hg38_chr6:28146491-28146501(-) acacaatgtgt >hg38_chr6:28157880-28157890(+) TGACAATAGTA >hg38_chr6:28157963-28157973(-) GAACAATAGAG >hg38_chr6:28202264-28202274(-) CCACAATAGCA >hg38_chr6:28225292-28225302(-) GAACAATGGCC >hg38_chr6:28236244-28236254(-) ggacaaaggga >hg38_chr6:28266752-28266762(-) AAACAATGGAC >hg38_chr6:28266797-28266807(-) ACACAATGGCA >hg38_chr6:28266828-28266838(+) GAAAAATGGCG >hg38_chr6:28305077-28305087(+) TTACAAAGGTT >hg38_chr6:28305124-28305134(-) GTACAATGGTG >hg38_chr6:28336733-28336743(-) TAACAATAGTG >hg38_chr6:28356414-28356424(-) ggacaaaggag >hg38_chr6:28399946-28399956(-) GGACAATGGCT >hg38_chr6:28432926-28432936(+) gtacaatggct >hg38_chr6:28476406-28476416(-) TAACAATGGTT >hg38_chr6:28507225-28507235(-) TGACAATGGCC >hg38_chr6:30528099-30528109(-) GGACAATGGTT >hg38_chr6:30668239-30668249(+) ttacaatgcaa >hg38_chr6:30668255-30668265(-) atactatgggt >hg38_chr6:30848416-30848426(+) ggacaaagGGC >hg38_chr6:30848436-30848446(-) TAACAATAAAG >hg38_chr6:33359568-33359578(+) agacaatggac >hg38_chr6:33607205-33607215(+) GGACAAAGGGA >hg38_chr6:33620769-33620779(-) GCACAATAGAA >hg38_chr6:33641229-33641239(-) CAACAATAGCA >hg38_chr6:33647734-33647744(-) ttacaatagag >hg38_chr6:33666487-33666497(+) taacaataggg >hg38_chr6:33666512-33666522(-) gtactatggtt >hg38_chr6:33674015-33674025(-) gtacaaagggg >hg38_chr6:33711376-33711386(+) ATACAACGGGC >hg38_chr6:33781575-33781585(-) AGACAATAGAC >hg38_chr6:33791130-33791140(-) CCACAATGGAG >hg38_chr6:33797768-33797778(-) ggacaaaggca >hg38_chr6:33869510-33869520(+) TAACAAAGGCA >hg38_chr6:33964785-33964795(+) taacaatagca >hg38_chr6:34056450-34056460(+) AGACAAAGGGA >hg38_chr6:34311770-34311780(+) atacaatacac >hg38_chr6:34311788-34311798(+) tgacaaaggaa >hg38_chr6:34311807-34311817(+) atacaatggag >hg38_chr6:34322824-34322834(+) tcacaatagca >hg38_chr6:34323800-34323810(-) gtacaataaac >hg38_chr6:34336976-34336986(+) GGACAATAAAA >hg38_chr6:34340694-34340704(-) GAACAATGCAG >hg38_chr6:34340706-34340716(-) GAACAATGGTT >hg38_chr6:34353180-34353190(-) gtataatgggt >hg38_chr6:34353189-34353199(-) gaacaatgtgt >hg38_chr6:34359652-34359662(+) ccacaatgtgt >hg38_chr6:34386027-34386037(+) ttacaataaca >hg38_chr6:34386039-34386049(+) gtacaatgaac >hg38_chr6:34391288-34391298(-) AAACAATGAAG >hg38_chr6:34413136-34413146(+) acacaatggga >hg38_chr6:34475572-34475582(-) agacaatgacc >hg38_chr6:34527853-34527863(-) ggataatggct >hg38_chr6:34646934-34646944(-) AGACAATATAT >hg38_chr6:34655479-34655489(+) atacaatgccc >hg38_chr6:34668007-34668017(+) tgacaatagta >hg38_chr6:34719245-34719255(-) CAACAATGATA >hg38_chr6:34772193-34772203(-) gtataatgaac >hg38_chr6:34835778-34835788(+) atacaataaac >hg38_chr6:34863418-34863428(-) aaacaaaggca >hg38_chr6:34877310-34877320(+) ATACAATAGCC >hg38_chr6:34880091-34880101(+) TCACAATAGTC >hg38_chr6:34888148-34888158(+) CGACAGTGGGA >hg38_chr6:34888186-34888196(+) AGACTATGGAT >hg38_chr6:34920987-34920997(-) GCACAATATAA >hg38_chr6:34921021-34921031(+) AAACAATGAGA >hg38_chr6:34955828-34955838(-) agacaaaggag >hg38_chr6:34995288-34995298(-) CTACAATGTCA >hg38_chr6:35024913-35024923(-) GAACAATGCAC >hg38_chr6:35026043-35026053(+) TGACAATGAAT >hg38_chr6:35044667-35044677(-) GAACAATAGCT >hg38_chr6:35046311-35046321(+) GAATAATGGTG >hg38_chr6:35069309-35069319(-) GGACAATGGTG >hg38_chr6:35183433-35183443(-) acacagtggat >hg38_chr6:35184884-35184894(-) TGACAATAGTT >hg38_chr6:35192601-35192611(-) GAACAATGGCC >hg38_chr6:35192644-35192654(-) AAACAATGCCT >hg38_chr6:35193313-35193323(+) tcacaatgttg >hg38_chr6:35215518-35215528(-) GGACAATAGGC >hg38_chr6:35425367-35425377(+) ACACAATAAAT >hg38_chr6:35425376-35425386(+) ATACAATAATA >hg38_chr6:35425398-35425408(+) taacagtggtc >hg38_chr6:35506900-35506910(+) GCACTATGGAA >hg38_chr6:35506925-35506935(-) TAACAATAAGA >hg38_chr6:35506955-35506965(-) TGACTATGGTA >hg38_chr6:35566955-35566965(+) aaacaaaggag >hg38_chr6:35602034-35602044(-) GAACAATAAGT >hg38_chr6:35669324-35669334(+) ATACAATAATC >hg38_chr6:35669373-35669383(+) CTACAATGCAC >hg38_chr6:35686600-35686610(-) GAACAATAATT >hg38_chr6:35728116-35728126(+) ACACAATGTCC >hg38_chr6:35740041-35740051(+) taacaaaggac >hg38_chr6:35741172-35741182(-) taacaacgggc >hg38_chr6:35741188-35741198(-) aaacaatgcaa >hg38_chr6:35741216-35741226(-) gcacaatgtga >hg38_chr6:35742960-35742970(+) GTACAATGCAT >hg38_chr6:35759683-35759693(+) TGACAAAGGGA >hg38_chr6:35846022-35846032(+) GAACAATAAAG >hg38_chr6:35852094-35852104(+) TTACAATGAAG >hg38_chr6:35868544-35868554(+) AAACAATGTAA >hg38_chr6:35993416-35993426(+) AAACAGTGGGA >hg38_chr6:36007302-36007312(-) atacaattgat >hg38_chr6:36007323-36007333(+) aaacaatgaat >hg38_chr6:36027635-36027645(-) GAACAATAACT >hg38_chr6:36041109-36041119(+) ATACAATGCAT >hg38_chr6:36056306-36056316(-) agacaatgtat >hg38_chr6:36061386-36061396(-) AAACAAAGGCA >hg38_chr6:36065279-36065289(-) AAACAATGGAG >hg38_chr6:36065313-36065323(-) ctataatggca >hg38_chr6:36073644-36073654(+) TGACAATAGAA >hg38_chr6:36074115-36074125(-) AAACAATGAAT >hg38_chr6:36076558-36076568(+) GCATAATGGCC >hg38_chr6:36076585-36076595(-) AAACAATGTTC >hg38_chr6:36095264-36095274(-) GAACAATGCTT >hg38_chr6:36097787-36097797(+) TAATAATGGAG >hg38_chr6:36144461-36144471(-) gaacaaaggta >hg38_chr6:36196090-36196100(+) GGACAATCGCT >hg38_chr6:36196102-36196112(-) GGACAAAGGTC >hg38_chr6:36240671-36240681(+) atacaatagac >hg38_chr6:36302602-36302612(-) TCATAATGGAC >hg38_chr6:36327689-36327699(+) TGACAATGGAA >hg38_chr6:36351034-36351044(+) AGACAAAGGGC >hg38_chr6:36355891-36355901(+) GAACAAAGGAC >hg38_chr6:36376917-36376927(-) GCACAATGGAG >hg38_chr6:36396779-36396789(+) agacaatggaa >hg38_chr6:36422034-36422044(-) ATACAATGACT >hg38_chr6:36422174-36422184(-) ctacaaTGATT >hg38_chr6:36435028-36435038(+) ggacaataaaa >hg38_chr6:36502406-36502416(-) ggacaaaggat >hg38_chr6:36519263-36519273(+) ACACAATGAAA >hg38_chr6:36545947-36545957(+) TTACAATGGTA >hg38_chr6:36547635-36547645(-) AAACAATGGAA >hg38_chr6:36622702-36622712(+) GTACAATGCAG >hg38_chr6:36634931-36634941(+) gaacaaaggga >hg38_chr6:36641754-36641764(-) GGACAAAGGTC >hg38_chr6:36651018-36651028(-) aaacaataacc >hg38_chr6:36667243-36667253(+) CAACAATGCAA >hg38_chr6:36672125-36672135(+) ccacaatggct >hg38_chr6:36722971-36722981(+) CAACAATGCAC >hg38_chr6:36725246-36725256(-) ttacaatgagc >hg38_chr6:36754973-36754983(+) GGACAAAGGAA >hg38_chr6:36804362-36804372(+) TTACAATGCAT >hg38_chr6:36804786-36804796(+) AGACAATGGAG >hg38_chr6:36823019-36823029(-) TAACAATAGCG >hg38_chr6:36827358-36827368(+) GAACAATGGGC >hg38_chr6:36889978-36889988(-) gtacaatgaac >hg38_chr6:36924573-36924583(-) AAACAATGCAC >hg38_chr6:36948183-36948193(+) gcacaatgaga >hg38_chr6:36948228-36948238(-) GGACAATGGGC >hg38_chr6:36949803-36949813(-) tcacaatagga >hg38_chr6:36998094-36998104(+) GAACAATGTGT >hg38_chr6:37052259-37052269(-) ATAcactggtc >hg38_chr6:37099595-37099605(+) Gaacaatatga >hg38_chr6:37099661-37099671(+) TAACAATAGGA >hg38_chr6:37102279-37102289(-) GCACAATGGCA >hg38_chr6:37131983-37131993(+) ggacaataaga >hg38_chr6:37163032-37163042(+) gaacaatgcct >hg38_chr6:37169704-37169714(-) AAACAATAACA >hg38_chr6:37221996-37222006(-) agacaatggga >hg38_chr6:37236517-37236527(-) CTATAATGGCC >hg38_chr6:37237671-37237681(+) gcacaatggga >hg38_chr6:37259991-37260001(-) AGACAATGAGC >hg38_chr6:37287730-37287740(-) gtacaacggaa >hg38_chr6:37325849-37325859(-) CAACAATGGGA >hg38_chr6:37417891-37417901(-) aaacaatagtg >hg38_chr6:37460130-37460140(+) TGACAATGCAG >hg38_chr6:37467692-37467702(-) taacaataaaa >hg38_chr6:37468243-37468253(-) aaacaatgaaa >hg38_chr6:37468288-37468298(-) gaacaatagca >hg38_chr6:37500318-37500328(+) TGATAATGGCC >hg38_chr6:37500329-37500339(-) TAACAATAGAG >hg38_chr6:37500343-37500353(-) ACACAAAGGAG >hg38_chr6:37503132-37503142(+) AGACAATAGTG >hg38_chr6:37512808-37512818(-) ggacaaaggga >hg38_chr6:37553834-37553844(+) ggacaatgaga >hg38_chr6:37565700-37565710(+) ACACAATGAAA >hg38_chr6:37575673-37575683(-) GTACAATAGAT >hg38_chr6:37611340-37611350(-) AAACAAAGGCT >hg38_chr6:37628805-37628815(+) cgacaatatat >hg38_chr6:37630441-37630451(-) GGATAATGGGc >hg38_chr6:37691658-37691668(-) CAACAATGAAA >hg38_chr6:37780609-37780619(-) AAACAATGGAG >hg38_chr6:37819408-37819418(-) TCACAATGGTC >hg38_chr6:37819457-37819467(-) GGACAATGGCC >hg38_chr6:37880287-37880297(-) ACACAATAGGA >hg38_chr6:37880411-37880421(+) aaacaatggaa >hg38_chr6:37897376-37897386(-) acacaatggga >hg38_chr6:37898060-37898070(-) aaacaatgata >hg38_chr6:37899425-37899435(+) TAACAATAGTG >hg38_chr6:37899449-37899459(-) TAACAATAATA >hg38_chr6:37903835-37903845(+) AAACAATAGTC >hg38_chr6:37904066-37904076(+) ttataatggaa >hg38_chr6:37906393-37906403(-) atacaatggaa >hg38_chr6:37907310-37907320(-) gtacaatgctg >hg38_chr6:37907329-37907339(+) acacaatgcaa >hg38_chr6:37920469-37920479(+) TGATAATGGGA >hg38_chr6:37941318-37941328(-) AAACAATGTTA >hg38_chr6:37941807-37941817(+) TAATAATAGTA >hg38_chr6:37980753-37980763(+) GTACAATATTA >hg38_chr6:37994988-37994998(-) GAACAAAGGCA >hg38_chr6:38025129-38025139(-) AAACAAAGGAA >hg38_chr6:38030595-38030605(+) aaacaatgaac >hg38_chr6:38030933-38030943(+) ccacaatggat >hg38_chr6:38030951-38030961(+) acataatggaa >hg38_chr6:38035342-38035352(+) TAACAAAGGGT >hg38_chr6:38039935-38039945(-) GAACAATAGTC >hg38_chr6:38039949-38039959(+) AAACAATATTA >hg38_chr6:38056475-38056485(-) GTACAATGCTG >hg38_chr6:38056497-38056507(+) AAACAATGGGG >hg38_chr6:38075018-38075028(-) gaacaataata >hg38_chr6:38089059-38089069(-) GAACAATGAAC >hg38_chr6:38137798-38137808(+) gaacaaaggcc >hg38_chr6:38187428-38187438(+) AAACAATGGAA >hg38_chr6:38203864-38203874(+) caacaatgtat >hg38_chr6:38212703-38212713(+) ACACAATGCTA >hg38_chr6:38220701-38220711(+) TTACAATGTTG >hg38_chr6:38262861-38262871(+) GAACAATGGCT >hg38_chr6:38273527-38273537(-) aaacaatggaa >hg38_chr6:38278426-38278436(+) ATATAATGGCA >hg38_chr6:38281330-38281340(+) ACACAATAGTG >hg38_chr6:38383473-38383483(-) gaacaatggtg >hg38_chr6:38401774-38401784(+) ggactatggac >hg38_chr6:38401792-38401802(-) ttacaatgaag >hg38_chr6:38401836-38401846(-) ttacaatgaat >hg38_chr6:38403371-38403381(+) aaacaatgggc >hg38_chr6:38404494-38404504(+) GGACAATAGAA >hg38_chr6:38418018-38418028(+) gaacaatgtac >hg38_chr6:38451563-38451573(-) CAACAATGTTT >hg38_chr6:38495268-38495278(-) ttacaatgaat >hg38_chr6:38514212-38514222(-) atacaataacA >hg38_chr6:38514262-38514272(+) ctacaatgctc >hg38_chr6:38518364-38518374(-) agataatggaa >hg38_chr6:38524915-38524925(+) AAACAAAGGAA >hg38_chr6:38526035-38526045(+) aaacaatgggg >hg38_chr6:38533480-38533490(+) caacaatgaac >hg38_chr6:38548733-38548743(-) TGACAATGACC >hg38_chr6:38549293-38549303(+) agataatggat >hg38_chr6:38565826-38565836(-) gaacaatgtag >hg38_chr6:38566671-38566681(-) CTACAATGACA >hg38_chr6:38566681-38566691(-) AAACAATAGAC >hg38_chr6:38572267-38572277(+) caacaatagca >hg38_chr6:38603437-38603447(+) AAACAATGTCC >hg38_chr6:38612013-38612023(-) GGATAATAGCG >hg38_chr6:38612553-38612563(+) AAACAATGTTG >hg38_chr6:38612647-38612657(+) TAACAATGTGG >hg38_chr6:38646447-38646457(+) acacaATATTA >hg38_chr6:38676883-38676893(-) TCACAACGGAA >hg38_chr6:38677246-38677256(-) AAACAATGTGA >hg38_chr6:38682899-38682909(+) CTACAATGTCC >hg38_chr6:38768017-38768027(-) caacaatgaga >hg38_chr6:38768087-38768097(-) atacaaagggc >hg38_chr6:38780010-38780020(+) ACACAATAGAG >hg38_chr6:38780281-38780291(-) aaataatggtg >hg38_chr6:38780311-38780321(-) aaacaatgaga >hg38_chr6:38783705-38783715(-) GGATAATGGCT >hg38_chr6:38805527-38805537(+) AAACAATGACT >hg38_chr6:38846085-38846095(-) GAACAAAGGAG >hg38_chr6:38889095-38889105(+) acacaatggca >hg38_chr6:38897253-38897263(-) gaacaatgaaa >hg38_chr6:38926511-38926521(-) TCACAATGTTC >hg38_chr6:38961904-38961914(+) agacaatataa >hg38_chr6:38966544-38966554(-) ggataatggtc >hg38_chr6:38972103-38972113(+) TGATAATGGTT >hg38_chr6:39004596-39004606(+) ggacaataaat >hg38_chr6:39037254-39037264(-) GAACAATGGAA >hg38_chr6:39037268-39037278(-) GGACAATAGAA >hg38_chr6:39089099-39089109(-) TTACAAAGGAG >hg38_chr6:39089152-39089162(+) TTACACTGGTA >hg38_chr6:39093604-39093614(-) ACATAATGGTT >hg38_chr6:39114334-39114344(+) TCACTATGGAA >hg38_chr6:39145034-39145044(+) CTACAATGATA >hg38_chr6:39205012-39205022(-) GAACAATGCGG >hg38_chr6:39268924-39268934(-) GAACAATAGGG >hg38_chr6:39291634-39291644(-) agacaatggag >hg38_chr6:39403444-39403454(+) gcacaatgcct >hg38_chr6:39403516-39403526(-) atacaatagaa >hg38_chr6:39411690-39411700(-) CAACAATGATA >hg38_chr6:39425427-39425437(-) GAACAAAGGGT >hg38_chr6:39426185-39426195(-) ATACAATAATA >hg38_chr6:39498577-39498587(-) caacaatagat >hg38_chr6:39563744-39563754(+) TTACAAAGGAC >hg38_chr6:39591221-39591231(-) TAACAATGAGA >hg38_chr6:39593141-39593151(-) agacaatgagg >hg38_chr6:39594312-39594322(+) ATATAATGGAA >hg38_chr6:39617350-39617360(+) CAATAATGGAA >hg38_chr6:39631343-39631353(-) caacaatgtcc >hg38_chr6:39631351-39631361(-) aaacaatgcaa >hg38_chr6:39655023-39655033(+) tgacaatgatg >hg38_chr6:39675553-39675563(+) agacaatgtcc >hg38_chr6:39687173-39687183(+) AGACAATGAAC >hg38_chr6:39687180-39687190(+) GAACAATGAAT >hg38_chr6:39722507-39722517(-) Taacagtggta >hg38_chr6:39745585-39745595(-) AGATAATGGCA >hg38_chr6:39780762-39780772(-) agacaataata >hg38_chr6:39801698-39801708(-) GGACAATGGGT >hg38_chr6:39897859-39897869(+) ttacaatgcga >hg38_chr6:39897878-39897888(-) acacaataaac >hg38_chr6:40025753-40025763(-) tgacaatggca >hg38_chr6:40049891-40049901(+) GTACAATGGAA >hg38_chr6:40184014-40184024(+) gcacaatgaac >hg38_chr6:40184021-40184031(+) gaacaaaggag >hg38_chr6:40308885-40308895(-) CAACAATGACC >hg38_chr6:40322488-40322498(+) GTACAATGACT >hg38_chr6:40334582-40334592(-) GAACAATAAAA >hg38_chr6:40361762-40361772(-) caacaatgatc >hg38_chr6:40420032-40420042(+) TTACAATGCAA >hg38_chr6:40585876-40585886(-) CCACAATGGAg >hg38_chr6:40631904-40631914(+) ACACAATGAAA >hg38_chr6:40631932-40631942(-) TAACAATGTCT >hg38_chr6:40631966-40631976(-) ACACAAAGGGA >hg38_chr6:40648414-40648424(+) TCACAATAGCA >hg38_chr6:40896832-40896842(+) AAACAATAGCT >hg38_chr6:40906906-40906916(-) tcacaatgtgc >hg38_chr6:40930228-40930238(-) agacaatggtt >hg38_chr6:40972942-40972952(-) tgacaaaggtc >hg38_chr6:40984598-40984608(+) atacaatggaa >hg38_chr6:41070268-41070278(-) AGACAATAGGT >hg38_chr6:41072949-41072959(-) GAACAAAGGGG >hg38_chr6:41118173-41118183(-) TAACAAAGGGT >hg38_chr6:41139773-41139783(-) TTACAAAGGTC >hg38_chr6:41140672-41140682(+) GAACAATAACC >hg38_chr6:41141105-41141115(+) GTACAAAGGGC >hg38_chr6:41147412-41147422(-) tgacaaaggga >hg38_chr6:41189660-41189670(+) ccacaatgtag >hg38_chr6:41189676-41189686(-) aaacaatgaga >hg38_chr6:41216747-41216757(-) TAACACTGGGA >hg38_chr6:41295554-41295564(+) atacaataaaa >hg38_chr6:41295562-41295572(+) aaacaatatag >hg38_chr6:41314541-41314551(-) taactatggca >hg38_chr6:41323551-41323561(+) agacaatgttc >hg38_chr6:41323583-41323593(-) aaacaaagggc >hg38_chr6:41427701-41427711(+) CAACAATAGCA >hg38_chr6:41431051-41431061(+) CCACAATGGCT >hg38_chr6:41431092-41431102(+) TTACAGTGGGA >hg38_chr6:41442981-41442991(+) GGACAATGTAC >hg38_chr6:41569719-41569729(-) GGACAATGGGA >hg38_chr6:41578045-41578055(+) GAACAATGACA >hg38_chr6:41622687-41622697(-) taacaaaggaa >hg38_chr6:41627130-41627140(+) aaacaatgtga >hg38_chr6:41682268-41682278(+) GTACAATGAAG >hg38_chr6:41712731-41712741(+) ACACAATGGGG >hg38_chr6:41792587-41792597(+) AAACAATGGAG >hg38_chr6:41823109-41823119(+) gaacaatgtgt >hg38_chr6:41849373-41849383(+) caataatggac >hg38_chr6:41877469-41877479(-) CAATAATGGAT >hg38_chr6:41877507-41877517(+) GTACAAAGGAC >hg38_chr6:41881732-41881742(-) GTATAATGAAC >hg38_chr6:41887954-41887964(+) AAACAATAACA >hg38_chr6:41984824-41984834(-) tcacaatgagg >hg38_chr6:42131759-42131769(-) CAACAATGGCT >hg38_chr6:42142722-42142732(-) CAACAATGGCC >hg38_chr6:42200212-42200222(-) aaacaaaggaa >hg38_chr6:42213728-42213738(+) GAACAATAAAG >hg38_chr6:42238231-42238241(+) TGACAATGCCA >hg38_chr6:42238277-42238287(+) TCACAATGCCA >hg38_chr6:42238283-42238293(-) AAACAATGGCA >hg38_chr6:42257977-42257987(-) GCACAATGGTT >hg38_chr6:42270326-42270336(-) GAACAATGGCT >hg38_chr6:42270365-42270375(-) AAACAATGAAT >hg38_chr6:42270529-42270539(+) gcacaatgcgg >hg38_chr6:42417914-42417924(+) TGACAATGAAC >hg38_chr6:42452459-42452469(-) GAACAATGCGC >hg38_chr6:42455621-42455631(+) CAACAATGCAT >hg38_chr6:42493344-42493354(+) atataatggaa >hg38_chr6:42502175-42502185(-) gtataatgaac >hg38_chr6:42569371-42569381(-) ccacaatgaga >hg38_chr6:42569779-42569789(-) GTACAATAAAA >hg38_chr6:42569844-42569854(-) TGACAATGTTT >hg38_chr6:42571801-42571811(+) TGACAATGGGT >hg38_chr6:42582966-42582976(-) taacaataact >hg38_chr6:42612221-42612231(-) CGACAATAGAC >hg38_chr6:42631406-42631416(-) ctacaatgtgg >hg38_chr6:42648053-42648063(+) acaCAATGCTA >hg38_chr6:42662193-42662203(-) GAATAATGGAT >hg38_chr6:42690143-42690153(-) TTACAATATAC >hg38_chr6:42726295-42726305(+) aaacaatatta >hg38_chr6:42742652-42742662(-) ACACAATgtca >hg38_chr6:42746268-42746278(+) AGACAAAGGTT >hg38_chr6:42756109-42756119(+) taacaatagac >hg38_chr6:42756125-42756135(+) aaacaatagtc >hg38_chr6:42777700-42777710(-) TAACAATGAAA >hg38_chr6:42783985-42783995(-) AGACAATGTCC >hg38_chr6:42877189-42877199(-) TGACAAAGGGA >hg38_chr6:42879639-42879649(-) CCACAATGCAC >hg38_chr6:42880069-42880079(+) TTACAAAGGGT >hg38_chr6:42933830-42933840(-) acacaatgaat >hg38_chr6:43009348-43009358(+) GAACAATGAGT >hg38_chr6:43014043-43014053(+) AAACAAAGGAC >hg38_chr6:43090664-43090674(+) AGACAAAGGTT >hg38_chr6:43093672-43093682(-) tgacaATGGCA >hg38_chr6:43103685-43103695(-) GAACAAAGGAA >hg38_chr6:43104462-43104472(+) gtacaatggtg >hg38_chr6:43104481-43104491(-) ttacaatgggc >hg38_chr6:43113794-43113804(+) GAATAATGGAT >hg38_chr6:43130017-43130027(-) acacaatgtgg >hg38_chr6:43211147-43211157(-) tgacaatgttg >hg38_chr6:43246932-43246942(+) GGACAATAGCT >hg38_chr6:43297935-43297945(+) GGACAAAGGGC >hg38_chr6:43310666-43310676(+) ccacaatgaga >hg38_chr6:43336352-43336362(+) ATACAATGAAC >hg38_chr6:43336359-43336369(+) GAACAAAGGCC >hg38_chr6:43336513-43336523(+) GGACAATGCAT >hg38_chr6:43436766-43436776(-) GGACAAAGGTC >hg38_chr6:43469500-43469510(-) GAACAATGGCT >hg38_chr6:43482221-43482231(+) AAACTATGGAG >hg38_chr6:43489416-43489426(-) GTACAATGGGG >hg38_chr6:43511481-43511491(+) ACACAATGCCC >hg38_chr6:43541192-43541202(+) gcacaataggg >hg38_chr6:43546099-43546109(-) AAACAAAGGAA >hg38_chr6:43547210-43547220(+) GAACAATGCTT >hg38_chr6:43577385-43577395(+) TTACAATACTA >hg38_chr6:43605254-43605264(+) GTACAATGGTG >hg38_chr6:43630009-43630019(-) AAACAAAGGGG >hg38_chr6:43657548-43657558(+) AAACAATAGTT >hg38_chr6:43657563-43657573(+) TGACAATAGGA >hg38_chr6:43657580-43657590(+) GGACAATAGTC >hg38_chr6:43668678-43668688(+) CAACAATGAAC >hg38_chr6:43695924-43695934(+) AAACAATAGGG >hg38_chr6:43707159-43707169(+) AAATAATGGCA >hg38_chr6:43708034-43708044(+) ACACAATGAGG >hg38_chr6:43759443-43759453(-) AAATAATGGAC >hg38_chr6:43840553-43840563(+) taacaataatc >hg38_chr6:43946884-43946894(+) aaacaataata >hg38_chr6:43948906-43948916(-) gcacaatgagg >hg38_chr6:43960511-43960521(+) gcacaaaggat >hg38_chr6:43961235-43961245(+) aaacaaaggat >hg38_chr6:43968450-43968460(-) GAACAAAGGAG >hg38_chr6:44045866-44045876(-) AGACAATGCCG >hg38_chr6:44079367-44079377(-) TGACAATGGTC >hg38_chr6:44084915-44084925(+) TCACAATGACG >hg38_chr6:44123028-44123038(+) ACACAATAGCC >hg38_chr6:44123037-44123047(+) CCACAATAGCA >hg38_chr6:44163378-44163388(+) GTACAATGGAC >hg38_chr6:44202847-44202857(-) GAACAATGGGG >hg38_chr6:44202862-44202872(+) AGACAATAGCT >hg38_chr6:44216829-44216839(-) GAACAATGAAG >hg38_chr6:44222777-44222787(+) GGACAATAGGA >hg38_chr6:44245591-44245601(-) GAACAAAGGGT >hg38_chr6:44269550-44269560(+) acacaataggt >hg38_chr6:44327324-44327334(-) AGACAATGGAG >hg38_chr6:44358234-44358244(-) TAACAATGCGT >hg38_chr6:44358273-44358283(-) GTACAATGATC >hg38_chr6:44387170-44387180(-) aaacaatagca >hg38_chr6:44390462-44390472(-) ttacaatgtct >hg38_chr6:44405282-44405292(-) TTACAATGGCT >hg38_chr6:44405314-44405324(-) ATACAATGGAG >hg38_chr6:44409387-44409397(-) agacaataggg >hg38_chr6:44426570-44426580(+) TCACAATGCTT >hg38_chr6:44448230-44448240(+) ggacaatgcag >hg38_chr6:44448677-44448687(+) gaataatgata >hg38_chr6:44581874-44581884(-) AAACAATATAC >hg38_chr6:44651885-44651895(-) tcacaatgcgg >hg38_chr6:44671127-44671137(-) TTACAAAGGAA >hg38_chr6:44672990-44673000(+) GTACAAAGGTT >hg38_chr6:44674538-44674548(-) AAACACTGGAT >hg38_chr6:44680495-44680505(+) GAACAATAGTT >hg38_chr6:44681906-44681916(-) GGACAATAGGA >hg38_chr6:44706857-44706867(+) TCACAAAGGAA >hg38_chr6:44794302-44794312(+) gaacaatggat >hg38_chr6:44796977-44796987(+) gaacaaaggat >hg38_chr6:44797017-44797027(+) ttacaataaga >hg38_chr6:44824790-44824800(-) TTACAAAGGAT >hg38_chr6:44843238-44843248(+) GAACAATGGTG >hg38_chr6:44855800-44855810(-) GAATAATGGTA >hg38_chr6:44855847-44855857(-) GCATAATGGCA >hg38_chr6:44857564-44857574(+) CTACAATGATT >hg38_chr6:44925941-44925951(-) TAACAAAGGTT >hg38_chr6:44966890-44966900(+) ACACAATGCTC >hg38_chr6:44996027-44996037(-) TCACAATGTTT >hg38_chr6:45012666-45012676(-) agacaatagaa >hg38_chr6:45012695-45012705(-) gaacaataaaa >hg38_chr6:45034592-45034602(+) ACACTATGGTC >hg38_chr6:45190867-45190877(+) caacaatgagt >hg38_chr6:45191477-45191487(+) atataatggtg >hg38_chr6:45213215-45213225(-) GAACAATGCAT >hg38_chr6:45251308-45251318(+) caacaatagtt >hg38_chr6:45267953-45267963(-) AAATAATGGGT >hg38_chr6:45267970-45267980(+) CAACAATAGTA >hg38_chr6:45274017-45274027(-) caacaatgatg >hg38_chr6:45274287-45274297(+) ttacaatgatg >hg38_chr6:45274313-45274323(-) taacaaaggaa >hg38_chr6:45276415-45276425(-) GAACAATAACT >hg38_chr6:45278800-45278810(+) AAACACTGGAC >hg38_chr6:45278862-45278872(+) AGACAATAAAC >hg38_chr6:45324280-45324290(+) GAACAATGTAT >hg38_chr6:45401369-45401379(+) TAACAATGCCT >hg38_chr6:45401412-45401422(-) gtacaatatag >hg38_chr6:45420789-45420799(-) AAACAATGTGC >hg38_chr6:45423361-45423371(-) GGACAATGCCG >hg38_chr6:45446219-45446229(-) ATACAATGAAA >hg38_chr6:45455871-45455881(-) CTACAATGCTA >hg38_chr6:45474442-45474452(-) CCACAATGATT >hg38_chr6:45524680-45524690(+) CTACAAAGGAA >hg38_chr6:45567044-45567054(-) AAACAATAGCC >hg38_chr6:45567081-45567091(+) GTACAAAGGAA >hg38_chr6:45587279-45587289(-) GGACAATATAT >hg38_chr6:45602303-45602313(+) acacaatggca >hg38_chr6:45602382-45602392(+) tcacaaaggaa >hg38_chr6:45602853-45602863(-) atacaatgcat >hg38_chr6:45607920-45607930(+) GAACAATGGCC >hg38_chr6:45714859-45714869(+) TAACAATGACA >hg38_chr6:45763126-45763136(+) ggacaatatta >hg38_chr6:45786639-45786649(+) GAACAATGAGC >hg38_chr6:45795015-45795025(-) agacaatgaaa >hg38_chr6:45813199-45813209(-) gaacaatgcct >hg38_chr6:45813206-45813216(-) acacaatgaac >hg38_chr6:45844400-45844410(-) ACACAATGACC >hg38_chr6:45899164-45899174(+) AGACAATGGGG >hg38_chr6:45909054-45909064(-) caacaatagtg >hg38_chr6:45916112-45916122(+) AGACAATGGAA >hg38_chr6:45916375-45916385(+) AAACAATGCTT >hg38_chr6:45918859-45918869(+) acacaatgagg >hg38_chr6:45930904-45930914(-) CTACAATAgca >hg38_chr6:45944185-45944195(+) taacaatggtt >hg38_chr6:45956610-45956620(-) ACACAATGAGG >hg38_chr6:45965257-45965267(+) GTACAATGATA >hg38_chr6:45970854-45970864(+) agacaatgcct >hg38_chr6:45979368-45979378(-) GAACAATGCCT >hg38_chr6:46035399-46035409(-) AAACAATATAT >hg38_chr6:46035421-46035431(-) GGACAATAGCC >hg38_chr6:46042633-46042643(+) AGACAATGAAA >hg38_chr6:46048995-46049005(+) AAACAAAGGAG >hg38_chr6:46052011-46052021(-) TAACAATGTTT >hg38_chr6:46065566-46065576(+) acacaatggaa >hg38_chr6:46141161-46141171(-) AAATAATGGGC >hg38_chr6:46221758-46221768(-) TTACAATGGAG >hg38_chr6:46241048-46241058(+) TGACAATGAAC >hg38_chr6:46263157-46263167(+) AGACAATGTTG >hg38_chr6:46348033-46348043(-) TCACAATGTAG >hg38_chr6:46378985-46378995(-) gcacaataggc >hg38_chr6:46394130-46394140(-) GAACAATGCAT >hg38_chr6:46510092-46510102(+) TGACAATGAGA >hg38_chr6:46529877-46529887(-) CTACAATGAAC >hg38_chr6:46590840-46590850(-) atacaataaaa >hg38_chr6:46624411-46624421(-) CAACAATGCTA >hg38_chr6:46651322-46651332(-) Atacaatagtt >hg38_chr6:46651974-46651984(-) ACACAATAAAC >hg38_chr6:46652756-46652766(-) CGACAATGGAA >hg38_chr6:46740742-46740752(-) GCACAATGCTT >hg38_chr6:46825819-46825829(-) AGACAATAACA >hg38_chr6:46876257-46876267(-) GAACAATGGAT >hg38_chr6:46948903-46948913(-) GCACAAAGGAC >hg38_chr6:46948916-46948926(+) CAACAATGGGC >hg38_chr6:46963211-46963221(-) TGACAATAGGC >hg38_chr6:46964811-46964821(+) GAACAATGCGA >hg38_chr6:46969873-46969883(-) aaacaatggaa >hg38_chr6:46983323-46983333(-) aaataatgggt >hg38_chr6:46994005-46994015(+) gaacaatgggt >hg38_chr6:47001485-47001495(-) TTACAATAAAA >hg38_chr6:47001498-47001508(-) AAACAAAGGTG >hg38_chr6:47009831-47009841(+) acacaatgagg >hg38_chr6:47012321-47012331(+) TAACaatagta >hg38_chr6:47012330-47012340(+) taacaaaggct >hg38_chr6:47035101-47035111(-) ttacagtggaa >hg38_chr6:47040847-47040857(-) CAACAATGTGC >hg38_chr6:47041900-47041910(+) GAACAATGGGG >hg38_chr6:47043786-47043796(-) GAACAATGGTT >hg38_chr6:47043794-47043804(-) GGACAAAGGAA >hg38_chr6:47047675-47047685(-) AAACAATACGT >hg38_chr6:47064385-47064395(-) taataatggcc >hg38_chr6:47064503-47064513(+) atacactggac >hg38_chr6:47064510-47064520(+) ggacaaaggaa >hg38_chr6:47069379-47069389(+) ccacaATGAGT >hg38_chr6:47073114-47073124(+) GAACAATGGTG >hg38_chr6:47073133-47073143(+) GGACAATGGCA >hg38_chr6:47076158-47076168(+) GGACAATAAAT >hg38_chr6:47083817-47083827(-) ATACAGTGGAG >hg38_chr6:47136397-47136407(+) gaacaatagaa >hg38_chr6:47144531-47144541(-) agacaatggac >hg38_chr6:47146686-47146696(-) GTACAAAGGGA >hg38_chr6:47153554-47153564(+) ctacaatagct >hg38_chr6:47161042-47161052(+) GGACTATGGAA >hg38_chr6:47161966-47161976(-) aaacaatatta >hg38_chr6:47162357-47162367(-) GGACAAAGGAT >hg38_chr6:47200789-47200799(+) TGACAATGAAC >hg38_chr6:47229481-47229491(+) acacaatgctt >hg38_chr6:47245347-47245357(+) acataatgggt >hg38_chr6:47301282-47301292(+) ACACAATGATG >hg38_chr6:47301321-47301331(+) AAACAATATTA >hg38_chr6:47308928-47308938(-) AGACAGTGGTA >hg38_chr6:47323808-47323818(-) AGACAAAGGAA >hg38_chr6:47323858-47323868(+) AAACAAAGGAG >hg38_chr6:47349966-47349976(+) GAACAATGACC >hg38_chr6:47371620-47371630(+) agacaatggga >hg38_chr6:47396932-47396942(-) GAACAATGCGT >hg38_chr6:47399957-47399967(+) AAACAATGTTC >hg38_chr6:47429037-47429047(-) agacaatgggg >hg38_chr6:47450162-47450172(-) TGACAATGACA >hg38_chr6:47450199-47450209(-) CTACAATAGAC >hg38_chr6:47457795-47457805(+) GCACAATGCTA >hg38_chr6:47484509-47484519(+) AAACAATGCTT >hg38_chr6:47490815-47490825(-) ATACAAAGGTT >hg38_chr6:47491535-47491545(-) TCACAATGGCA >hg38_chr6:47493075-47493085(-) taacaatgtat >hg38_chr6:47502993-47503003(+) ctacaAAGGAT >hg38_chr6:47523906-47523916(-) TCACAATGGAT >hg38_chr6:47524770-47524780(-) GGACAAAGGAA >hg38_chr6:47565167-47565177(-) acataatggtg >hg38_chr6:47580136-47580146(-) AAACAAAGGCC >hg38_chr6:47583359-47583369(-) ctacaatggtg >hg38_chr6:47602028-47602038(-) AAACAATGGAG >hg38_chr6:47608360-47608370(+) GTACAATAATT >hg38_chr6:47608771-47608781(+) AAACAATAAGT >hg38_chr6:47627513-47627523(-) ACACAATGCCT >hg38_chr6:47633350-47633360(-) GAACAAAGGCA >hg38_chr6:47673913-47673923(+) GCACAATGAAG >hg38_chr6:47673921-47673931(-) GGACAATGCTT >hg38_chr6:47737159-47737169(-) taacaatGCAC >hg38_chr6:47740927-47740937(+) GGACAATAGAA >hg38_chr6:47765540-47765550(-) GCATAATGGAA >hg38_chr6:47771965-47771975(-) ccacaatgtcc >hg38_chr6:47771997-47772007(-) aaacaataaat >hg38_chr6:47810024-47810034(+) CAACAATGGGA >hg38_chr6:47810955-47810965(-) aaacaacggaa >hg38_chr6:47810996-47811006(-) CAACAAtgtac >hg38_chr6:47811037-47811047(+) AGACAATGGCA >hg38_chr6:47812954-47812964(-) AGACAAAGGAA >hg38_chr6:47854903-47854913(-) GTACAATACAA >hg38_chr6:47854923-47854933(+) GTACAATAAAA >hg38_chr6:47928739-47928749(-) tcacaatgggt >hg38_chr6:47931760-47931770(+) TAATAATGGCA >hg38_chr6:47931770-47931780(+) ACACAATAGCA >hg38_chr6:47936673-47936683(-) tcacaatagat >hg38_chr6:47936690-47936700(-) gaacaatgcct >hg38_chr6:47973427-47973437(+) AAACAATGCTA >hg38_chr6:47986247-47986257(-) ggacaatgata >hg38_chr6:47998778-47998788(+) AGACAATGTCT >hg38_chr6:48022463-48022473(-) GAACAATTGTA >hg38_chr6:48047329-48047339(+) CCACAATGGTT >hg38_chr6:48047511-48047521(-) TTACAATGCTG >hg38_chr6:48049019-48049029(+) gtacaaagacg >hg38_chr6:48064103-48064113(+) ATATAATGGGA >hg38_chr6:48074636-48074646(-) tgacaatgtgc >hg38_chr6:48080498-48080508(-) ATACAATAGAA >hg38_chr6:48086194-48086204(+) tgacaatgtgt >hg38_chr6:48090840-48090850(+) TCACAATAGCA >hg38_chr6:48094289-48094299(+) AAATAATGCGA >hg38_chr6:48111401-48111411(+) gtacaatacca >hg38_chr6:48117037-48117047(+) GAACAATGATC >hg38_chr6:48135317-48135327(+) GAACAATGTCG >hg38_chr6:48185309-48185319(+) TGACAATGGCT >hg38_chr6:48186947-48186957(-) atacaaaggcc >hg38_chr6:48205940-48205950(-) TCACAATGCAA >hg38_chr6:48278464-48278474(+) atacaatggct >hg38_chr6:48387319-48387329(+) tgacaatgggc >hg38_chr6:48393526-48393536(-) ctacaatagct >hg38_chr6:48400260-48400270(-) acacaatgtaa >hg38_chr6:48412151-48412161(-) TGACTATGGGA >hg38_chr6:48416602-48416612(+) tcacaatggtc >hg38_chr6:48429281-48429291(+) CTACAATGGGG >hg38_chr6:48476424-48476434(-) taacactggag >hg38_chr6:48478332-48478342(-) acataatggcc >hg38_chr6:48567428-48567438(+) GGACAATAACA >hg38_chr6:48579389-48579399(-) tgacaatggta >hg38_chr6:48579499-48579509(-) CTACAATGGTT >hg38_chr6:48579912-48579922(+) AAACAATAACA >hg38_chr6:48582304-48582314(+) TGATAATGGCT >hg38_chr6:48582494-48582504(+) GAACAATAATA >hg38_chr6:48582531-48582541(-) ACACAAAGGAA >hg38_chr6:48597318-48597328(+) CTACAATAGCA >hg38_chr6:48609633-48609643(+) ttacaatggcc >hg38_chr6:48619921-48619931(-) ACACAATGACA >hg38_chr6:48625151-48625161(-) GCACAATAGCC >hg38_chr6:48659855-48659865(-) ggacaatgcct >hg38_chr6:48664970-48664980(-) TAACAATGCGT >hg38_chr6:48720063-48720073(+) tgacaatagcc >hg38_chr6:48751015-48751025(+) TAACAATGAAT >hg38_chr6:48765268-48765278(-) TTACAATAGTG >hg38_chr6:48765675-48765685(+) AAACAATAGTC >hg38_chr6:48779069-48779079(+) gaacactggtc >hg38_chr6:48784020-48784030(-) tgacaatagct >hg38_chr6:48786964-48786974(+) taacaaaggca >hg38_chr6:48795399-48795409(-) aaacaatgtcg >hg38_chr6:48808369-48808379(+) AAACAATAATA >hg38_chr6:48829976-48829986(+) aaacaatgaat >hg38_chr6:48839401-48839411(+) agacaatggca >hg38_chr6:48846673-48846683(+) agacaataggc >hg38_chr6:48846711-48846721(-) tgacaatgttt >hg38_chr6:48857552-48857562(-) ctacaatataa >hg38_chr6:48919454-48919464(+) TAACAAAGGAA >hg38_chr6:48919657-48919667(+) ggacaatggta >hg38_chr6:49050627-49050637(+) tcacaatgaag >hg38_chr6:49070619-49070629(-) acacaatggag >hg38_chr6:49085011-49085021(+) gaacaaaggtg >hg38_chr6:49092653-49092663(+) atacaatgcat >hg38_chr6:49092658-49092668(-) taacaatgcat >hg38_chr6:49092693-49092703(+) acataatggaa >hg38_chr6:49212122-49212132(-) aaacaatgact >hg38_chr6:49252293-49252303(-) ACACAATGCTA >hg38_chr6:49332945-49332955(-) aaacaatagaa >hg38_chr6:49419648-49419658(+) CAACAATGAGA >hg38_chr6:49424316-49424326(-) tgacaatgatg >hg38_chr6:49430530-49430540(+) GAACAATAATC >hg38_chr6:49449588-49449598(+) ACACAATGAAC >hg38_chr6:49478844-49478854(+) aaacaatgtta >hg38_chr6:49550250-49550260(-) AAATAATGGAA >hg38_chr6:49619228-49619238(+) GTACAATAGTG >hg38_chr6:49632273-49632283(-) caacaatggaa >hg38_chr6:49658040-49658050(-) ggacAATAGAC >hg38_chr6:49658050-49658060(-) agacaatgtgg >hg38_chr6:49658057-49658067(-) aaacaatagac >hg38_chr6:49709324-49709334(+) TAACAATGGAA >hg38_chr6:49713560-49713570(-) GAACAATCGCG >hg38_chr6:49781363-49781373(-) ACACAATGAAG >hg38_chr6:49847863-49847873(-) GAACAATAGCA >hg38_chr6:49857201-49857211(-) tcacaatagcc >hg38_chr6:49891184-49891194(-) caacaatgtta >hg38_chr6:49924063-49924073(+) TCACAATGGTT >hg38_chr6:49966491-49966501(-) CTACAATGTAT >hg38_chr6:49966508-49966518(-) TGACAACGGAG >hg38_chr6:50008057-50008067(-) TAACTATGGAG >hg38_chr6:50017619-50017629(-) TTACAATAGTT >hg38_chr6:50031088-50031098(+) acacaaaggag >hg38_chr6:50031128-50031138(-) caacaatgggc >hg38_chr6:50113202-50113212(-) TAACAAAGGAA >hg38_chr6:50117223-50117233(-) ACACAAAGGAT >hg38_chr6:50117816-50117826(-) AGACAATGCAG >hg38_chr6:50144893-50144903(-) AAACAATGTAC >hg38_chr6:50145169-50145179(+) TCACAGTGGTA >hg38_chr6:50177921-50177931(+) taacaatgttg >hg38_chr6:50178485-50178495(-) caacaaaggta >hg38_chr6:50187327-50187337(+) AGACAATGCAC >hg38_chr6:50187852-50187862(+) CAACAATGCAC >hg38_chr6:50199543-50199553(+) TAACAATGAAG >hg38_chr6:50218828-50218838(-) GAACAATGGTT >hg38_chr6:50218842-50218852(+) AAACAATGGAA >hg38_chr6:50243554-50243564(-) atacaatgcac >hg38_chr6:50243570-50243580(+) atacaaaggtg >hg38_chr6:50257483-50257493(-) aaacaaagggc >hg38_chr6:50257525-50257535(-) taataatggga >hg38_chr6:50271481-50271491(-) acaCAATAGCG >hg38_chr6:50271490-50271500(-) taacaatggac >hg38_chr6:50272865-50272875(-) CCACAATGGGA >hg38_chr6:50278777-50278787(-) GGACAATGAAT >hg38_chr6:50388088-50388098(-) aaacaatgtgc >hg38_chr6:50410817-50410827(+) GTACAAAGGAC >hg38_chr6:50412299-50412309(+) ggacaataggt >hg38_chr6:50446822-50446832(-) TAACAATAAAA >hg38_chr6:50464250-50464260(-) GCACAATGAAT >hg38_chr6:50508463-50508473(+) taacaataatg >hg38_chr6:50521435-50521445(-) GGACAAAGGAA >hg38_chr6:50648829-50648839(-) TGACAATGGCC >hg38_chr6:50704988-50704998(-) GGACAATGGAG >hg38_chr6:50720372-50720382(+) AAACAAAGGGT >hg38_chr6:50720401-50720411(-) AAACAATAACC >hg38_chr6:50729751-50729761(-) ATACAATATGC >hg38_chr6:50730011-50730021(-) CCACAATGTTA >hg38_chr6:50821683-50821693(+) TTACAATAGTA >hg38_chr6:50822338-50822348(-) ACACAATGCAG >hg38_chr6:50824750-50824760(+) ACACAATGGAG >hg38_chr6:50828043-50828053(-) GCACAATGACA >hg38_chr6:50862405-50862415(+) GCACAATAGTG >hg38_chr6:50891426-50891436(-) taacaataaga >hg38_chr6:50936392-50936402(+) TTACAATAACA >hg38_chr6:51319403-51319413(-) TAACaataaca >hg38_chr6:51323081-51323091(+) GTACAATGTGT >hg38_chr6:51323147-51323157(-) TTACAAAGGCC >hg38_chr6:51430932-51430942(+) GAACAAAGGAT >hg38_chr6:51473991-51474001(-) TCACAATGGCT >hg38_chr6:51478173-51478183(+) TAACAATATGT >hg38_chr6:51495206-51495216(-) AAACAATGAGA >hg38_chr6:51512866-51512876(-) GAACAATAGTC >hg38_chr6:51514037-51514047(-) GTACAATAGCA >hg38_chr6:51563967-51563977(+) agacaataaaa >hg38_chr6:51735429-51735439(+) agataatggca >hg38_chr6:51800606-51800616(-) ACACAATAGTG >hg38_chr6:51842691-51842701(-) TCACAATAGGA >hg38_chr6:51844409-51844419(-) ATACAATATGT >hg38_chr6:51855608-51855618(-) ATACAATGAGA >hg38_chr6:51874325-51874335(+) ggacaataggt >hg38_chr6:51874606-51874616(+) TAACAATGGAC >hg38_chr6:51908379-51908389(-) TGACAAAGGAC >hg38_chr6:51949510-51949520(-) TCACAATGCAG >hg38_chr6:51972446-51972456(-) GTACAATTGAT >hg38_chr6:51983022-51983032(+) AGACAAAGGAA >hg38_chr6:52017167-52017177(+) GAACAAAGCGA >hg38_chr6:52026014-52026024(+) GAACAGTGGGA >hg38_chr6:52076935-52076945(-) GAACAATGTTG >hg38_chr6:52132413-52132423(-) GGACAATGGAA >hg38_chr6:52190133-52190143(+) TAACAATGACC >hg38_chr6:52326066-52326076(-) taacaaaggat >hg38_chr6:52349106-52349116(+) ccacaataggc >hg38_chr6:52361471-52361481(-) CCACAATGTTC >hg38_chr6:52400326-52400336(+) TAATAATGGCA >hg38_chr6:52407617-52407627(-) GGACAATGGCA >hg38_chr6:52417772-52417782(+) CGATAATGGAC >hg38_chr6:52417779-52417789(+) GGACAATAGGC >hg38_chr6:52457290-52457300(+) GGACAAAGGTG >hg38_chr6:52568656-52568666(-) AGACAAAGGAT >hg38_chr6:52620337-52620347(-) gtacaatggtc >hg38_chr6:52636486-52636496(-) gtacaatggaa >hg38_chr6:52661749-52661759(-) cgagaatggac >hg38_chr6:52661764-52661774(-) ccacaatgcgg >hg38_chr6:52675614-52675624(-) TGACAATGACA >hg38_chr6:52684864-52684874(+) acacaatagac >hg38_chr6:52698058-52698068(+) aaacaaaggaa >hg38_chr6:52725617-52725627(-) gaacaatgaga >hg38_chr6:52744222-52744232(+) AGACAATGGTC >hg38_chr6:52746068-52746078(+) ACACAATATAT >hg38_chr6:52929490-52929500(+) atacaatgtgg >hg38_chr6:52931496-52931506(+) TAACAATGCAG >hg38_chr6:53023504-53023514(+) GAACAATGACC >hg38_chr6:53023527-53023537(+) GGACAATGAGG >hg38_chr6:53023824-53023834(+) ATACTATGGCA >hg38_chr6:53023832-53023842(+) GCATAATGGCG >hg38_chr6:53047823-53047833(+) AGACTATGGGT >hg38_chr6:53047867-53047877(+) GGACAATGTAC >hg38_chr6:53049222-53049232(+) atacTATGGGC >hg38_chr6:53192948-53192958(+) AAACAAAGGTG >hg38_chr6:53232337-53232347(+) CAACAATGACT >hg38_chr6:53283632-53283642(+) acacaatagat >hg38_chr6:53287527-53287537(+) GAACAATGGGA >hg38_chr6:53288079-53288089(+) TAACAAAGGAG >hg38_chr6:53302857-53302867(-) AGACAATAGCT >hg38_chr6:53339549-53339559(+) caacaatggac >hg38_chr6:53339565-53339575(+) atacaatgata >hg38_chr6:53339587-53339597(+) ttataatggag >hg38_chr6:53349077-53349087(+) GGACAATAGAA >hg38_chr6:53428574-53428584(-) agacaatggag >hg38_chr6:53537079-53537089(-) TAACAAAGGAG >hg38_chr6:53568010-53568020(+) CAACAATGGCT >hg38_chr6:53587438-53587448(-) TAACAATGGAA >hg38_chr6:53587489-53587499(+) GAACAATGCCC >hg38_chr6:53610862-53610872(-) acacaatgcat >hg38_chr6:53617059-53617069(-) gaacaatgagt >hg38_chr6:53620773-53620783(-) AAACAATACTA >hg38_chr6:53646964-53646974(-) GCACAATGAAT >hg38_chr6:53646977-53646987(+) AGACAATGCTA >hg38_chr6:53647555-53647565(+) CAACAATGCGA >hg38_chr6:53657537-53657547(-) gaacaatgtat >hg38_chr6:53657949-53657959(+) AAACAATGCAA >hg38_chr6:53665353-53665363(-) TGACAATGATG >hg38_chr6:53682998-53683008(-) AAATAATGGCG >hg38_chr6:53734608-53734618(-) acacaataaaa >hg38_chr6:53746717-53746727(-) caacaatgagt >hg38_chr6:53746804-53746814(+) AAATAATGGTG >hg38_chr6:53767735-53767745(-) AAACAATGCCA >hg38_chr6:53801130-53801140(+) gaacaaaggtt >hg38_chr6:53805738-53805748(-) Taacaatgatc >hg38_chr6:53821809-53821819(-) TCACAATGTTG >hg38_chr6:53856181-53856191(-) TCACAATGAAG >hg38_chr6:53857509-53857519(-) taacaatggtg >hg38_chr6:53857929-53857939(+) TAACAATGATA >hg38_chr6:53860719-53860729(-) TAACAATAGCA >hg38_chr6:53875261-53875271(+) caacaatggag >hg38_chr6:53875299-53875309(+) atacaatgtgc >hg38_chr6:53884940-53884950(-) CCACAATGCTA >hg38_chr6:53911037-53911047(-) AAACAATGCCA >hg38_chr6:53911060-53911070(+) AGACAATAGAG >hg38_chr6:53920041-53920051(-) ATACAATGACT >hg38_chr6:53993838-53993848(-) acacaatggat >hg38_chr6:54001914-54001924(-) agacaatgctt >hg38_chr6:54012553-54012563(+) AGACAAAGGCA >hg38_chr6:54019824-54019834(-) GAACAATGTAT >hg38_chr6:54115106-54115116(-) caacaatcgta >hg38_chr6:54125714-54125724(+) TGACAATGACC >hg38_chr6:54131112-54131122(-) gtacaacggaa >hg38_chr6:54140342-54140352(-) AAACAATAGGT >hg38_chr6:54164818-54164828(-) acacagtggac >hg38_chr6:54172959-54172969(+) TAACAATATGT >hg38_chr6:54193858-54193868(+) TAACAAAGGCC >hg38_chr6:54211741-54211751(+) ctacaatgccc >hg38_chr6:54235561-54235571(-) ATACAGTGGGA >hg38_chr6:54254488-54254498(-) GGACAATAACA >hg38_chr6:54262756-54262766(+) ttacaatgatg >hg38_chr6:54365524-54365534(+) ggacaatgaag >hg38_chr6:54380396-54380406(-) TCACAATGGAA >hg38_chr6:54390530-54390540(-) GTACAATAGAG >hg38_chr6:54390593-54390603(+) TAACAATAGAA >hg38_chr6:54419641-54419651(+) ATACAATGTAT >hg38_chr6:54419650-54419660(-) TTACAAAGGAT >hg38_chr6:54422427-54422437(-) taacaatgtta >hg38_chr6:54444614-54444624(-) AGACAATGGGA >hg38_chr6:54461161-54461171(-) AAATAATGGCT >hg38_chr6:54461176-54461186(-) TAACAATGTGT >hg38_chr6:54461212-54461222(+) GTACAATGACA >hg38_chr6:54478987-54478997(-) ttacaataaaa >hg38_chr6:54509974-54509984(-) agacaaaggca >hg38_chr6:54579133-54579143(+) atacaaaggct >hg38_chr6:54580449-54580459(-) ccacaatgaga >hg38_chr6:54601806-54601816(-) GCACAATAGAG >hg38_chr6:54629149-54629159(+) acacaatgaga >hg38_chr6:54629176-54629186(+) ttacaatggaa >hg38_chr6:54629508-54629518(+) atacaatagaa >hg38_chr6:54629557-54629567(+) tcacaatagga >hg38_chr6:54640175-54640185(-) acacaatgatc >hg38_chr6:54646812-54646822(+) GAATAATGACG >hg38_chr6:54665398-54665408(+) CAACAATGAAA >hg38_chr6:54666305-54666315(-) ACACAATGCTT >hg38_chr6:54688255-54688265(+) AGACAATGAAT >hg38_chr6:54688371-54688381(+) AGACAAAGGGA >hg38_chr6:54707012-54707022(+) AAACAAAGGAT >hg38_chr6:54710713-54710723(-) ACACAATGAGG >hg38_chr6:54710742-54710752(-) GAACAATATAG >hg38_chr6:54718623-54718633(+) ACACAATGGGA >hg38_chr6:54744399-54744409(-) acacaaaggag >hg38_chr6:54777394-54777404(-) taacaataaag >hg38_chr6:54786164-54786174(+) acacaatggaa >hg38_chr6:54814064-54814074(-) AGACAATGCAT >hg38_chr6:54814071-54814081(-) TCACAATAGAC >hg38_chr6:54879834-54879844(+) GGATAATGGTC >hg38_chr6:54879855-54879865(+) TGACAATAGGC >hg38_chr6:54907100-54907110(-) TGATAATGGAT >hg38_chr6:54942804-54942814(-) ATACAATAGAA >hg38_chr6:54950436-54950446(+) GGACAATATAT >hg38_chr6:54981209-54981219(+) GAACAATGAAG >hg38_chr6:54982707-54982717(-) aaacaaaggct >hg38_chr6:54988293-54988303(-) aaacaatgata >hg38_chr6:54989032-54989042(+) CTACAATATAC >hg38_chr6:55014666-55014676(+) GTACAATAAAT >hg38_chr6:55021226-55021236(-) CTACAATGAGC >hg38_chr6:55031501-55031511(-) gaacaatagcc >hg38_chr6:55040752-55040762(+) ggacaaaggtg >hg38_chr6:55048098-55048108(+) AGATAATGGGA >hg38_chr6:55081443-55081453(-) CAACAATGCCC >hg38_chr6:55098154-55098164(+) TAACAATGTAT >hg38_chr6:55104457-55104467(+) GAACAAAGGAA >hg38_chr6:55184728-55184738(+) TCACAATGGAA >hg38_chr6:55209230-55209240(+) TAACAAAGGAG >hg38_chr6:55215053-55215063(+) agacaatagat >hg38_chr6:55234914-55234924(+) ttacaatagcc >hg38_chr6:55285914-55285924(+) GTACAAAGGCA >hg38_chr6:55286307-55286317(-) ATACAATAGAG >hg38_chr6:55380796-55380806(-) GAACTATGGTT >hg38_chr6:55387229-55387239(+) GAACAATAACA >hg38_chr6:55401404-55401414(-) GAACAATGTCT >hg38_chr6:55408741-55408751(+) ATACAATATAT >hg38_chr6:55445501-55445511(-) ACACAATGATA >hg38_chr6:55494395-55494405(-) AAACAATAGAA >hg38_chr6:55521172-55521182(+) AGACAATGGCA >hg38_chr6:55528784-55528794(-) ACACAAAGGTA >hg38_chr6:55578545-55578555(-) TCACAATGTGA >hg38_chr6:55635780-55635790(-) GAACAATGAAT >hg38_chr6:55636656-55636666(+) AAACAAAGGAG >hg38_chr6:55671126-55671136(-) atacaaaggca >hg38_chr6:55671694-55671704(+) caacaatggac >hg38_chr6:55674788-55674798(-) TTACAATAAGT >hg38_chr6:55677941-55677951(+) CAATAATGGAT >hg38_chr6:55677996-55678006(-) GTACAATGACA >hg38_chr6:55794588-55794598(-) taacaaaggtt >hg38_chr6:55795350-55795360(-) GCATAATGGTG >hg38_chr6:55827099-55827109(+) agacaatagaa >hg38_chr6:55827107-55827117(+) gaacactggga >hg38_chr6:55827784-55827794(+) GTATAATGAAA >hg38_chr6:55828379-55828389(-) GCACAATAGCT >hg38_chr6:55839628-55839638(-) agacaatagac >hg38_chr6:55869003-55869013(-) AAACAATGAAT >hg38_chr6:55874705-55874715(-) ATACAAAGGGA >hg38_chr6:55875626-55875636(-) TTACAATGAGA >hg38_chr6:55886664-55886674(+) ACACAAAGGGA >hg38_chr6:55901754-55901764(+) AAATAATGGAA >hg38_chr6:55901805-55901815(-) TAACAATATTA >hg38_chr6:55904731-55904741(+) AAATAATGGCA >hg38_chr6:55914345-55914355(-) TTACAATGAAA >hg38_chr6:55916717-55916727(+) gaacaatatat >hg38_chr6:55917463-55917473(-) ATATAATGGTA >hg38_chr6:55917474-55917484(+) GAACAATGTTT >hg38_chr6:55928477-55928487(-) ggacaaaggaa >hg38_chr6:55955788-55955798(+) AGACAATAAAA >hg38_chr6:55971908-55971918(-) atacaatgctt >hg38_chr6:55971977-55971987(+) ttacaatgaaa >hg38_chr6:55980902-55980912(+) TAACAATAGAC >hg38_chr6:56009576-56009586(+) atactatgggc >hg38_chr6:56009594-56009604(+) agacaaaggta >hg38_chr6:56033240-56033250(+) GCACAATGGCT >hg38_chr6:56056579-56056589(-) TTACAATGTCT >hg38_chr6:56087390-56087400(-) agacaatgtat >hg38_chr6:56184618-56184628(-) aaacaatgtgt >hg38_chr6:56252004-56252014(-) ATACTATGGAG >hg38_chr6:56252266-56252276(+) TAACAATAATG >hg38_chr6:56252745-56252755(+) CCACAATGGGG >hg38_chr6:56252982-56252992(+) ACACAATAGCT >hg38_chr6:56265501-56265511(+) AGACAATGATA >hg38_chr6:56273563-56273573(+) atacaaaggac >hg38_chr6:56274608-56274618(+) ttacaatagct >hg38_chr6:56293893-56293903(-) ATACAATAGAA >hg38_chr6:56370829-56370839(-) GGACAATGAAG >hg38_chr6:56382147-56382157(+) ACACAATAGTA >hg38_chr6:56415388-56415398(+) aaataatggca >hg38_chr6:56419746-56419756(+) GAACAATAAAC >hg38_chr6:56419948-56419958(+) ACACAATAGAA >hg38_chr6:56426205-56426215(+) AGACAGTGGAT >hg38_chr6:56426221-56426231(+) ATACAATGAGG >hg38_chr6:56463164-56463174(+) GAACAATGGCA >hg38_chr6:56472590-56472600(+) TTACAATGACT >hg38_chr6:56487797-56487807(+) ATACAATAGCA >hg38_chr6:56491195-56491205(+) TAACAATGCAA >hg38_chr6:56491853-56491863(+) ATACAATGAAG >hg38_chr6:56510941-56510951(+) AGACAATGATG >hg38_chr6:56515014-56515024(-) ATATAATGAAT >hg38_chr6:56515420-56515430(+) ATACAATATTC >hg38_chr6:56522165-56522175(-) gaacaatgTGC >hg38_chr6:56526141-56526151(+) AAACAATGAGG >hg38_chr6:56531703-56531713(+) AGTCAATGGCG >hg38_chr6:56548069-56548079(+) TCACAATGGTC >hg38_chr6:56550628-56550638(-) AGACAATGGAC >hg38_chr6:56551425-56551435(-) AAACAAAGGGT >hg38_chr6:56555639-56555649(+) TAACAATGGCT >hg38_chr6:56567622-56567632(-) TTATAATGGTG >hg38_chr6:56610856-56610866(-) TAACAATGAGA >hg38_chr6:56614272-56614282(-) GCACAATGTTT >hg38_chr6:56625241-56625251(-) AAACAATGACC >hg38_chr6:56635198-56635208(-) agacaataaac >hg38_chr6:56643613-56643623(+) AGACAATGCCA >hg38_chr6:56661461-56661471(-) TAACAATAGGG >hg38_chr6:56663761-56663771(+) AAACAATGGAC >hg38_chr6:56667586-56667596(+) TAACAAAGGAA >hg38_chr6:56667638-56667648(-) GAACAATGTTT >hg38_chr6:56688770-56688780(+) AAACAATGAGA >hg38_chr6:56715822-56715832(-) gaacaatggcc >hg38_chr6:56716284-56716294(+) gaacaaaggca >hg38_chr6:56717453-56717463(-) ttacaaaggca >hg38_chr6:56741483-56741493(+) CCACAATGCTA >hg38_chr6:56818493-56818503(-) ttactatggaa >hg38_chr6:56819796-56819806(-) taacaatggcc >hg38_chr6:56826223-56826233(+) AAACAATAAGT >hg38_chr6:56841938-56841948(+) GCACAAAGGAA >hg38_chr6:56841950-56841960(-) GTACAATGAGA >hg38_chr6:56845709-56845719(+) TTACAATGAGT >hg38_chr6:56851910-56851920(+) CAACAATGAAG >hg38_chr6:56854381-56854391(-) CAACAATAGTA >hg38_chr6:56866746-56866756(-) aaacaaagggc >hg38_chr6:56879975-56879985(-) CTACAATAGCA >hg38_chr6:56880019-56880029(+) CCACAATGAAT >hg38_chr6:56902088-56902098(+) AGACAAAGGAA >hg38_chr6:56904171-56904181(+) ACACAATAGTG >hg38_chr6:56950108-56950118(+) AGACAATACGT >hg38_chr6:56981884-56981894(+) AGACAATGGAC >hg38_chr6:57039380-57039390(+) ccacaatgtga >hg38_chr6:57039391-57039401(+) ttataatgggg >hg38_chr6:57046075-57046085(-) ctacaatgcac >hg38_chr6:57049208-57049218(+) AGACAATGATA >hg38_chr6:57080425-57080435(+) ggacaatgtat >hg38_chr6:57080432-57080442(-) acacaatatac >hg38_chr6:57080485-57080495(-) acacaataaac >hg38_chr6:57082200-57082210(-) ctacaatatat >hg38_chr6:57082245-57082255(-) taacaataagt >hg38_chr6:57125792-57125802(+) AGACTATGGTA >hg38_chr6:57126521-57126531(-) CTACAATAGTT >hg38_chr6:57127890-57127900(-) TGACAATGCTG >hg38_chr6:57146728-57146738(-) ACACTATGGTT >hg38_chr6:57174357-57174367(-) GGACAATGACT >hg38_chr6:57188631-57188641(-) AAACAGTGGAT >hg38_chr6:57219681-57219691(+) taacaaaggtg >hg38_chr6:57226410-57226420(+) gtacgatggcc >hg38_chr6:57254549-57254559(+) atacaaaggct >hg38_chr6:57278631-57278641(+) ACACAATATAA >hg38_chr6:57278654-57278664(+) ACACAATGTGT >hg38_chr6:57421593-57421603(+) TTACAATGATC >hg38_chr6:57421600-57421610(-) TAACAATGATC >hg38_chr6:57423802-57423812(-) AAACAATGTAC >hg38_chr6:57708468-57708478(+) GGACTATGGAA >hg38_chr6:60570842-60570852(-) GAATAATGGCA >hg38_chr6:60570911-60570921(-) TAACAATAATT >hg38_chr6:60570917-60570927(-) TAACAATAACA >hg38_chr6:60647179-60647189(-) AAACAATGCAG >hg38_chr6:60656686-60656696(+) ccacaatggcc >hg38_chr6:60687650-60687660(+) CAACAATGTGT >hg38_chr6:60737438-60737448(+) GGACAATGAAG >hg38_chr6:60867765-60867775(-) CAACAATGAGG >hg38_chr6:60950437-60950447(-) TAACAATGAGC >hg38_chr6:61562594-61562604(+) gtactatggcc >hg38_chr6:61592388-61592398(+) aaacaaaggta >hg38_chr6:61640579-61640589(-) TAACAATGATG >hg38_chr6:61640624-61640634(+) ACACAATAGAT >hg38_chr6:61751898-61751908(-) acacaatgaga >hg38_chr6:61834410-61834420(-) TTACAATGCTA >hg38_chr6:61935368-61935378(+) AAATAATGGCT >hg38_chr6:61952308-61952318(+) GGACAATGGCT >hg38_chr6:61958962-61958972(+) agacaatgctg >hg38_chr6:61958975-61958985(+) gaacaatgcac >hg38_chr6:61962190-61962200(-) acacaatagaa >hg38_chr6:61962213-61962223(+) gtataatgata >hg38_chr6:62084523-62084533(+) GAACAATAGAT >hg38_chr6:62142175-62142185(+) TAACAGTGGGT >hg38_chr6:62325086-62325096(-) AAACAATGAAC >hg38_chr6:62372060-62372070(+) ttacaaaggga >hg38_chr6:62393724-62393734(+) tatcaatggta >hg38_chr6:62609435-62609445(-) gaacaatagtt >hg38_chr6:62636530-62636540(-) GAACAATGGAA >hg38_chr6:62639627-62639637(-) gcacaatagtg >hg38_chr6:62639670-62639680(-) atacaatggtc >hg38_chr6:62763791-62763801(-) ACACAAAGGGA >hg38_chr6:62785660-62785670(+) CTACAAAGGTA >hg38_chr6:62785679-62785689(-) CTACAATGGCA >hg38_chr6:62785714-62785724(-) AAACAATGAAT >hg38_chr6:62810671-62810681(-) TAACAATAGCA >hg38_chr6:62953187-62953197(-) ctacaaaggta >hg38_chr6:63059988-63059998(-) TAACAATAGGA >hg38_chr6:63145485-63145495(+) atacaattgta >hg38_chr6:63145504-63145514(+) agacaatgggg >hg38_chr6:63236679-63236689(+) aaacaaagggc >hg38_chr6:63258577-63258587(+) AAATAATGGAA >hg38_chr6:63295868-63295878(+) TGACACTGGTA >hg38_chr6:63305282-63305292(-) gtacagtggat >hg38_chr6:63448209-63448219(+) TTACAATGTCC >hg38_chr6:63452300-63452310(+) gtacagtggcg >hg38_chr6:63496366-63496376(+) ggacaatgaca >hg38_chr6:63537626-63537636(+) TTACAATGAAA >hg38_chr6:63566283-63566293(-) tcacaataata >hg38_chr6:63571677-63571687(+) GTACAAAGGAT >hg38_chr6:63573704-63573714(+) TGATAATGGGA >hg38_chr6:63574823-63574833(+) GTATAATGAAA >hg38_chr6:63578076-63578086(-) AGACAAAGGTA >hg38_chr6:63578258-63578268(-) TGACAATGCTA >hg38_chr6:63640509-63640519(+) gcacaatgcct >hg38_chr6:63646179-63646189(+) AAACAATAGTT >hg38_chr6:63674834-63674844(-) GCACAAAGGTC >hg38_chr6:63674908-63674918(-) ATACAAAGGGG >hg38_chr6:63681383-63681393(-) taacaatgaaa >hg38_chr6:63730474-63730484(-) gcacaatggtg >hg38_chr6:63750768-63750778(-) agacaaagacg >hg38_chr6:63750778-63750788(+) ttacaatagcc >hg38_chr6:63788769-63788779(-) gaaCAATGGCC >hg38_chr6:63803483-63803493(+) TAACAAAGGCT >hg38_chr6:63819214-63819224(-) TCACAATAGGC >hg38_chr6:63862910-63862920(-) AGACAATGAAT >hg38_chr6:63880896-63880906(+) GTACAATGGCC >hg38_chr6:63884105-63884115(+) gaacaatggct >hg38_chr6:63884119-63884129(+) atataatggtc >hg38_chr6:63900226-63900236(+) TAACAATAAAA >hg38_chr6:63924462-63924472(-) AAACAATGTAT >hg38_chr6:63926298-63926308(+) ATACAAAGGGA >hg38_chr6:63926331-63926341(+) CTATAATGGTC >hg38_chr6:63926358-63926368(-) TAACAATGCTA >hg38_chr6:63975124-63975134(+) AAACAATAGAA >hg38_chr6:63983166-63983176(-) GTACAATGGAT >hg38_chr6:63983201-63983211(-) GTACAATAACA >hg38_chr6:63983209-63983219(-) ATACATTGGTA >hg38_chr6:64021350-64021360(+) tgacaatgcct >hg38_chr6:64021591-64021601(+) GAACAATGGGA >hg38_chr6:64021612-64021622(+) CTACAATGGAT >hg38_chr6:64051008-64051018(-) AGACAATGGAA >hg38_chr6:64073085-64073095(-) GTACAATAAAG >hg38_chr6:64073141-64073151(+) GAACAATGAAG >hg38_chr6:64149771-64149781(-) acacaatggct >hg38_chr6:64149781-64149791(-) AGacaatataa >hg38_chr6:64213895-64213905(+) GAACAATGGTA >hg38_chr6:64229930-64229940(+) ACACAATGACA >hg38_chr6:64229989-64229999(-) TTACAAAGGCA >hg38_chr6:64291938-64291948(-) gcacaatgtct >hg38_chr6:64477916-64477926(-) agactatggat >hg38_chr6:64523249-64523259(+) TGACAATGTAG >hg38_chr6:64815871-64815881(-) TCACAATGAAT >hg38_chr6:64878452-64878462(+) tgataatggtg >hg38_chr6:65143673-65143683(-) AGACAATGTGA >hg38_chr6:65447718-65447728(-) atacaatgtgt >hg38_chr6:65449205-65449215(-) AAACAATAGGT >hg38_chr6:65650238-65650248(-) TAACAAAGGGC >hg38_chr6:65650298-65650308(+) ACACAATGCTC >hg38_chr6:65707301-65707311(+) GTACAAAGGTA >hg38_chr6:65742639-65742649(+) GAACAATAAAG >hg38_chr6:65760495-65760505(-) GGACAATGCCT >hg38_chr6:65945788-65945798(-) AGACAATGCTG >hg38_chr6:65945840-65945850(-) CAATAATGGAA >hg38_chr6:65959421-65959431(-) aaacaataata >hg38_chr6:65989242-65989252(-) taacaatgtct >hg38_chr6:66061554-66061564(-) AAACAATATAT >hg38_chr6:66079239-66079249(-) agacaaaggtc >hg38_chr6:66136567-66136577(-) gaacaaaggct >hg38_chr6:66184446-66184456(+) ggacaaaggga >hg38_chr6:66186913-66186923(+) TTACAATGTAT >hg38_chr6:66228657-66228667(-) AAACAATAGCA >hg38_chr6:66228667-66228677(-) AGACAAAGGCA >hg38_chr6:66290050-66290060(-) aaacaatggga >hg38_chr6:66357535-66357545(-) ACACAATGCAC >hg38_chr6:66357545-66357555(-) TAACAATACAA >hg38_chr6:66404175-66404185(-) caacaatgatt >hg38_chr6:66468477-66468487(-) TAACAATAGGT >hg38_chr6:66468514-66468524(-) CTACAATGGTG >hg38_chr6:66508755-66508765(-) ATACAAAGGTT >hg38_chr6:66538954-66538964(+) TCACAATGACA >hg38_chr6:66668414-66668424(+) caacaatggta >hg38_chr6:66750733-66750743(-) ATACAATGAGT >hg38_chr6:66900206-66900216(+) TTACAAAGGGG >hg38_chr6:67000461-67000471(+) gaacaaaggga >hg38_chr6:67105572-67105582(-) TAACAATGTCC >hg38_chr6:67134156-67134166(+) gaacaaaggct >hg38_chr6:67176225-67176235(+) GAATAATGGCA >hg38_chr6:67176259-67176269(+) AAACAATGACT >hg38_chr6:67176266-67176276(-) ACACAATAGTC >hg38_chr6:67227437-67227447(+) AGACAATGAGA >hg38_chr6:67335489-67335499(-) ACACAAAGGAA >hg38_chr6:67344136-67344146(-) gtacaatattc >hg38_chr6:67344143-67344153(-) gtacaatgtac >hg38_chr6:67568807-67568817(+) gtacaatatga >hg38_chr6:67568840-67568850(+) aaacaatgcaa >hg38_chr6:67569620-67569630(+) tcacaatgaga >hg38_chr6:67570172-67570182(+) CTACAATGCTA >hg38_chr6:67630008-67630018(-) GGACAATGGAG >hg38_chr6:67677451-67677461(-) CTACAATGCTG >hg38_chr6:67708445-67708455(-) taacaaaggct >hg38_chr6:67708503-67708513(-) tcacaatgaca >hg38_chr6:67763478-67763488(+) tgacaatggca >hg38_chr6:67780961-67780971(+) ATACAATGTAG >hg38_chr6:67818874-67818884(+) GGACAATAACA >hg38_chr6:67824145-67824155(-) AAACAATAGGA >hg38_chr6:68088028-68088038(-) TTACAATGAGC >hg38_chr6:68139317-68139327(-) acacaatgctg >hg38_chr6:68139351-68139361(-) gaacaatagcc >hg38_chr6:68139393-68139403(+) agacaaaggta >hg38_chr6:68225888-68225898(+) TGACAATGCCT >hg38_chr6:68233450-68233460(-) AGACAATGCAT >hg38_chr6:68526146-68526156(-) gcacaatgaat >hg38_chr6:68559888-68559898(-) TGACAATGAAC >hg38_chr6:68634600-68634610(-) GAACAATAGTA >hg38_chr6:68637390-68637400(+) GAACAGTGGAA >hg38_chr6:68638454-68638464(-) TCACAATGGTC >hg38_chr6:68654144-68654154(+) TAACAATAATT >hg38_chr6:68690445-68690455(-) TGACAATAGCA >hg38_chr6:68693372-68693382(+) CAACAATGAAA >hg38_chr6:68738199-68738209(-) ccacaatggct >hg38_chr6:68746540-68746550(-) TCACAATGGGA >hg38_chr6:68746577-68746587(-) aaacaaagGGT >hg38_chr6:68779776-68779786(+) TGACAATGTTT >hg38_chr6:68779790-68779800(+) ATACAATGGAG >hg38_chr6:68780270-68780280(+) ATATAATGGGA >hg38_chr6:68790273-68790283(-) caacaatggcg >hg38_chr6:68822555-68822565(-) AAACAATAGGA >hg38_chr6:68826705-68826715(+) gaacaatagca >hg38_chr6:68848326-68848336(+) CAACAATAGCA >hg38_chr6:68852938-68852948(+) AAACAATGTCA >hg38_chr6:68877741-68877751(+) GTACAATATAA >hg38_chr6:68892242-68892252(-) caacaatgaaa >hg38_chr6:68893625-68893635(+) GGACAATAGAA >hg38_chr6:68959249-68959259(-) ATATAATGGCT >hg38_chr6:68959278-68959288(+) TGACAATATAA >hg38_chr6:68975565-68975575(-) AGACAAAGGGT >hg38_chr6:69063673-69063683(-) AGACAATGCGA >hg38_chr6:69070397-69070407(+) ttacaatatag >hg38_chr6:69095068-69095078(-) GAACAAAGGAA >hg38_chr6:69099530-69099540(-) ACACTATGGAT >hg38_chr6:69099579-69099589(+) ACACAATGGCC >hg38_chr6:69102537-69102547(-) TCACAATGCCA >hg38_chr6:69103014-69103024(+) CAACAATGCCA >hg38_chr6:69103054-69103064(+) TTACCATGGTA >hg38_chr6:69103055-69103065(-) TTACCATGGTA >hg38_chr6:69114013-69114023(+) GGACAATGAAT >hg38_chr6:69116760-69116770(-) GGATAATGGGT >hg38_chr6:69139434-69139444(-) AAACAATGACT >hg38_chr6:69153428-69153438(-) CTACAATGCTT >hg38_chr6:69166845-69166855(+) gtacaatggac >hg38_chr6:69189840-69189850(-) gcataaTGGTA >hg38_chr6:69189885-69189895(+) atacaatggtg >hg38_chr6:69214174-69214184(+) acACAATGATG >hg38_chr6:69215740-69215750(+) TCACAATAATA >hg38_chr6:69220518-69220528(+) TAACAAAGGAG >hg38_chr6:69220563-69220573(-) TAACAATAGAT >hg38_chr6:69244116-69244126(-) atacaatgctc >hg38_chr6:69244128-69244138(+) acataatgggc >hg38_chr6:69246713-69246723(+) TGACAATAGGA >hg38_chr6:69306674-69306684(+) TTACAATGAAC >hg38_chr6:69329595-69329605(-) TAACAATGAAC >hg38_chr6:69344810-69344820(+) GAACAATGAGG >hg38_chr6:69369958-69369968(-) TAACAATAGAT >hg38_chr6:69370908-69370918(+) GTACACTGGAG >hg38_chr6:69370945-69370955(+) TAACAATAGTG >hg38_chr6:69373402-69373412(+) CAACAATGGTT >hg38_chr6:69590231-69590241(+) ACACAatagag >hg38_chr6:69604459-69604469(+) TAACAAAGGGC >hg38_chr6:69623579-69623589(-) TGAcaatggtc >hg38_chr6:69623602-69623612(+) AGACAATGGAA >hg38_chr6:69652603-69652613(+) gcacaataggc >hg38_chr6:69680028-69680038(-) AAATAATGGGC >hg38_chr6:69696820-69696830(+) TCATAATGGTA >hg38_chr6:69699816-69699826(-) CTACAATAGTA >hg38_chr6:69701689-69701699(-) GAACAATAGCT >hg38_chr6:69702045-69702055(+) TGACAATGATC >hg38_chr6:69707509-69707519(-) aaacaatgatc >hg38_chr6:69707847-69707857(-) aaacaatgtat >hg38_chr6:69732320-69732330(+) tcacaatagag >hg38_chr6:69753422-69753432(+) aaacaataata >hg38_chr6:69758244-69758254(+) ACACAATGACA >hg38_chr6:69780699-69780709(+) agacaatagac >hg38_chr6:69820831-69820841(-) TAATAATGGGA >hg38_chr6:69839698-69839708(-) acacaatagcc >hg38_chr6:69854771-69854781(+) CTACAATAGAT >hg38_chr6:69908005-69908015(-) GAACAATAGAA >hg38_chr6:69914112-69914122(+) TTACAATGCCA >hg38_chr6:69931472-69931482(+) gtacaatggat >hg38_chr6:69933829-69933839(-) AAACAATGATT >hg38_chr6:69942654-69942664(+) caacaatgtca >hg38_chr6:69952709-69952719(-) GAACAATGGAT >hg38_chr6:69984432-69984442(+) agataatggac >hg38_chr6:70033362-70033372(-) ACACAATGCAC >hg38_chr6:70033396-70033406(-) GAACAATAATA >hg38_chr6:70051718-70051728(-) agacaatgggt >hg38_chr6:70069644-70069654(-) agacaaaggag >hg38_chr6:70069654-70069664(-) gtacaatacca >hg38_chr6:70081608-70081618(+) aaacaataggc >hg38_chr6:70108318-70108328(-) AAACAATGCAT >hg38_chr6:70109017-70109027(+) atacagtggtt >hg38_chr6:70137552-70137562(-) AGACAATGCAA >hg38_chr6:70139546-70139556(-) taataatgtaa >hg38_chr6:70142264-70142274(+) TCACAATAGGA >hg38_chr6:70142301-70142311(+) TCACAATAGCA >hg38_chr6:70169642-70169652(-) AAACAATGCTT >hg38_chr6:70181031-70181041(-) TTACAATGTCA >hg38_chr6:70242525-70242535(-) GAACAATGTAA >hg38_chr6:70353763-70353773(+) TTACAAAGGGG >hg38_chr6:70353772-70353782(+) GGACAATGGGA >hg38_chr6:70365227-70365237(-) tcacaataggc >hg38_chr6:70381262-70381272(+) ttacaatagcc >hg38_chr6:70381269-70381279(-) tcacaatggct >hg38_chr6:70390286-70390296(+) AGATAATGGAA >hg38_chr6:70396106-70396116(+) AAACAAAGGAA >hg38_chr6:70399281-70399291(-) TCATAATGGAG >hg38_chr6:70399295-70399305(-) GCACAATGCTT >hg38_chr6:70399321-70399331(-) TGACAATGCAT >hg38_chr6:70422559-70422569(+) CAACAATAGAA >hg38_chr6:70426943-70426953(-) gtataatgaac >hg38_chr6:70426978-70426988(+) gaacaaaggct >hg38_chr6:70456950-70456960(+) TAACAATAGTT >hg38_chr6:70502465-70502475(-) TAACAATGGTT >hg38_chr6:70505952-70505962(+) ctacaatggat >hg38_chr6:70547185-70547195(+) GCACAAAGGAC >hg38_chr6:70547213-70547223(+) TTACAAAGGGA >hg38_chr6:70549164-70549174(+) acacaatgagg >hg38_chr6:70680521-70680531(+) aaacactggaa >hg38_chr6:70685011-70685021(+) gtagaatggta >hg38_chr6:70687518-70687528(+) GAATAATGGTC >hg38_chr6:70705969-70705979(-) TCATAATGGAC >hg38_chr6:70723392-70723402(-) GAACAATAAAC >hg38_chr6:70754538-70754548(-) TCACAATGAAG >hg38_chr6:70754625-70754635(-) GAACAATGCTC >hg38_chr6:70760350-70760360(-) GAACAATAAAG >hg38_chr6:70817616-70817626(-) TAACAATAAAA >hg38_chr6:70821747-70821757(+) CTACAATGCCC >hg38_chr6:70833590-70833600(-) CTACAATGGTC >hg38_chr6:70847610-70847620(-) GCACAAAGGAC >hg38_chr6:70856553-70856563(-) CAACAATAGTT >hg38_chr6:70864545-70864555(+) ATACAAAGGGG >hg38_chr6:70874220-70874230(-) cgataatgaca >hg38_chr6:70878343-70878353(+) acacaatggct >hg38_chr6:70894891-70894901(+) ACACAGTGGAA >hg38_chr6:70901562-70901572(+) aaataaTGGTG >hg38_chr6:70987803-70987813(-) TAACAATAGTT >hg38_chr6:71029743-71029753(-) ctacaaaggac >hg38_chr6:71033353-71033363(+) agacaatgtca >hg38_chr6:71033359-71033369(-) gaacaatgaca >hg38_chr6:71035127-71035137(+) GCACAATAGAG >hg38_chr6:71040173-71040183(-) AGACAATGGAG >hg38_chr6:71066042-71066052(-) taacaatggat >hg38_chr6:71071747-71071757(-) TAACAAAGGAC >hg38_chr6:71082652-71082662(+) TAACAATAGTA >hg38_chr6:71082669-71082679(+) AGACAATGCCT >hg38_chr6:71114731-71114741(-) GAACAAAGGCT >hg38_chr6:71132713-71132723(-) acacaatgctg >hg38_chr6:71154588-71154598(+) ACACAATAGTG >hg38_chr6:71154601-71154611(-) TGACAATGTTT >hg38_chr6:71161833-71161843(+) ATACAATGTGA >hg38_chr6:71162047-71162057(+) CTACAATAATA >hg38_chr6:71179634-71179644(-) TAACAATAAAT >hg38_chr6:71182259-71182269(+) GAACAAAGGGC >hg38_chr6:71192459-71192469(-) ggacaatgggg >hg38_chr6:71197593-71197603(-) ggacaatggcc >hg38_chr6:71250585-71250595(-) TAACAAAGGAG >hg38_chr6:71250662-71250672(-) GGACAATAGAT >hg38_chr6:71278887-71278897(+) aaacaatgcaa >hg38_chr6:71292694-71292704(-) ATACAATGTGA >hg38_chr6:71292733-71292743(-) ACACAAAGGCA >hg38_chr6:71297124-71297134(-) taacaataaac >hg38_chr6:71298665-71298675(-) TGACAATAGGT >hg38_chr6:71306679-71306689(+) CAACAATGTGT >hg38_chr6:71335780-71335790(+) TAACAAAGGTA >hg38_chr6:71346207-71346217(-) GAACAATGGCA >hg38_chr6:71350461-71350471(+) TGACAATAGAA >hg38_chr6:71364962-71364972(-) GTACACTGGTG >hg38_chr6:71385161-71385171(-) TCATAATGGAA >hg38_chr6:71386804-71386814(+) TGACAATGATT >hg38_chr6:71394116-71394126(+) GCACAATGCCA >hg38_chr6:71395827-71395837(-) TCACAATGGCC >hg38_chr6:71395882-71395892(+) AGACAATGGCA >hg38_chr6:71399525-71399535(+) CAACAATGTTT >hg38_chr6:71413649-71413659(+) TGACAATGGGG >hg38_chr6:71413673-71413683(+) TTATAATGCGT >hg38_chr6:71426664-71426674(-) TCACAATGTAG >hg38_chr6:71429914-71429924(+) TTACAATGTTT >hg38_chr6:71448158-71448168(+) taacaataaaa >hg38_chr6:71478974-71478984(-) GGACAATGGAA >hg38_chr6:71479757-71479767(-) CTACAATGGAT >hg38_chr6:71487005-71487015(-) ACACAATAGGC >hg38_chr6:71510851-71510861(+) ACACAatgttt >hg38_chr6:71517566-71517576(+) tgacaatgact >hg38_chr6:71602906-71602916(+) ATACAATAGTC >hg38_chr6:71602966-71602976(+) TGACACTGGTA >hg38_chr6:71602985-71602995(+) AAACAATGATG >hg38_chr6:71642410-71642420(+) ATACACTGGTT >hg38_chr6:71642434-71642444(-) AAACAAAGGGG >hg38_chr6:71651963-71651973(-) cgacaatagat >hg38_chr6:71692561-71692571(+) gaacaatggtc >hg38_chr6:71738252-71738262(-) atacaataaca >hg38_chr6:71742218-71742228(+) TAACAATAACC >hg38_chr6:71771427-71771437(-) atacaataaga >hg38_chr6:71777734-71777744(-) caacaatgtat >hg38_chr6:71777796-71777806(-) atacaatattt >hg38_chr6:71807568-71807578(+) GGACAATGTAG >hg38_chr6:71808030-71808040(+) TAACAATAGAA >hg38_chr6:71886796-71886806(+) AAACAAAGGCA >hg38_chr6:71907013-71907023(-) GCACAATGTAA >hg38_chr6:71907041-71907051(-) CTACAATGAAT >hg38_chr6:71912976-71912986(-) GAACAAAGGAT >hg38_chr6:71977551-71977561(+) taacaaaggcc >hg38_chr6:71994972-71994982(-) taacaaaggca >hg38_chr6:72035198-72035208(+) taacaatgcct >hg38_chr6:72043496-72043506(+) GCACAATGAAA >hg38_chr6:72060526-72060536(-) GAACAATAGTA >hg38_chr6:72104492-72104502(+) ttataatggag >hg38_chr6:72111130-72111140(-) AGACAATGCCA >hg38_chr6:72117291-72117301(+) GTACAATAGCT >hg38_chr6:72135917-72135927(+) GCACAATGGCA >hg38_chr6:72194778-72194788(+) TAACAATGGGC >hg38_chr6:72245741-72245751(+) GTATAATGGGC >hg38_chr6:72288894-72288904(-) GCACAATATAT >hg38_chr6:72319517-72319527(+) GGACAATGTGA >hg38_chr6:72321412-72321422(+) TTACAATAGAT >hg38_chr6:72349099-72349109(-) TCACAATGTAC >hg38_chr6:72351454-72351464(-) ttacaaaggac >hg38_chr6:72375036-72375046(+) aaacaatgggg >hg38_chr6:72409220-72409230(-) GAACAATGTGG >hg38_chr6:72460314-72460324(-) ATACAATGACA >hg38_chr6:72467260-72467270(-) CAACAATGTCT >hg38_chr6:72467274-72467284(-) TCACAATGGTC >hg38_chr6:72533138-72533148(+) TAACAATGAAG >hg38_chr6:72533465-72533475(-) ctacaataggg >hg38_chr6:72579693-72579703(-) ATACAATGGGA >hg38_chr6:72629419-72629429(+) AAACAATGCTA >hg38_chr6:72629425-72629435(-) GTACAATAGCA >hg38_chr6:72630310-72630320(-) GAACAATGACC >hg38_chr6:72630323-72630333(-) GTACAATAAAT >hg38_chr6:72631866-72631876(-) gaacaatgtcc >hg38_chr6:72675597-72675607(+) GGACAATAGCG >hg38_chr6:72676110-72676120(+) GGATAATGGGA >hg38_chr6:72700145-72700155(-) TAACAATAATG >hg38_chr6:72750149-72750159(+) CCACAATGTGA >hg38_chr6:72760356-72760366(+) GAACAATGTGG >hg38_chr6:72762541-72762551(+) AAACAATGCTT >hg38_chr6:72762682-72762692(-) GGACAATAGGC >hg38_chr6:72795607-72795617(-) ATACAATAACC >hg38_chr6:72809723-72809733(+) AGACAAAGGAG >hg38_chr6:72812365-72812375(+) ctacaatgcgt >hg38_chr6:72855505-72855515(-) ATATAATGGAC >hg38_chr6:72855542-72855552(-) AAACAATAAAA >hg38_chr6:72913544-72913554(-) tcacaatagtg >hg38_chr6:72927501-72927511(+) TGACAATGAGA >hg38_chr6:72941833-72941843(-) gaacaatgttg >hg38_chr6:72995834-72995844(+) aatcaatggcg >hg38_chr6:73008791-73008801(+) taacaataaca >hg38_chr6:73008825-73008835(-) acataatggca >hg38_chr6:73009516-73009526(+) gaacaaaggaa >hg38_chr6:73009722-73009732(+) ggacaataaga >hg38_chr6:73009742-73009752(+) gaacaattgta >hg38_chr6:73024856-73024866(+) TGACAATAGTC >hg38_chr6:73072343-73072353(-) TCACAATGAAA >hg38_chr6:73079433-73079443(-) GAACAATGCAA >hg38_chr6:73111061-73111071(+) TGACAATGCCT >hg38_chr6:73184503-73184513(+) GAACTATGGAA >hg38_chr6:73286446-73286456(+) agataatggat >hg38_chr6:73302543-73302553(+) atacaatgggt >hg38_chr6:73330901-73330911(-) GTACAATGACA >hg38_chr6:73330924-73330934(-) ACACAATGTGA >hg38_chr6:73460215-73460225(-) ccacaatgcaa >hg38_chr6:73509279-73509289(+) GGACAATGTCT >hg38_chr6:73559150-73559160(-) GAACAAAGGAA >hg38_chr6:73560709-73560719(-) ATACAAAGGCT >hg38_chr6:73577684-73577694(+) AAACAATGAGA >hg38_chr6:73579528-73579538(+) GGACAATAGGG >hg38_chr6:73580472-73580482(-) GAACAATGGAA >hg38_chr6:73654406-73654416(-) GTACAAAGGTT >hg38_chr6:73657067-73657077(-) caacaatggag >hg38_chr6:73666052-73666062(-) GTACAATATAC >hg38_chr6:73666064-73666074(-) TAACAAAGGTG >hg38_chr6:73668382-73668392(-) atactatggaa >hg38_chr6:73672108-73672118(+) CAACAATGAAG >hg38_chr6:73699857-73699867(-) GAACAATAACT >hg38_chr6:73726322-73726332(+) AGACAATATAA >hg38_chr6:73726644-73726654(-) AGACAATAAAT >hg38_chr6:73734496-73734506(-) GAacaaaggaa >hg38_chr6:73737286-73737296(-) CAACAATGCTT >hg38_chr6:73737361-73737371(+) GCACAATGGAC >hg38_chr6:73737537-73737547(+) GAACAATGTAA >hg38_chr6:73746765-73746775(-) GAATAATGGAT >hg38_chr6:73811833-73811843(+) AGACAATGTGT >hg38_chr6:73854701-73854711(+) aaacaataggg >hg38_chr6:73863747-73863757(-) CTACAAAGGAA >hg38_chr6:73898698-73898708(+) GCACTATggaa >hg38_chr6:73944040-73944050(-) GAACAATGTAG >hg38_chr6:73946607-73946617(-) taacaatatga >hg38_chr6:73956018-73956028(+) TAACAAAGGGG >hg38_chr6:74086716-74086726(+) ACACAATGTGA >hg38_chr6:74201594-74201604(+) ttacaatagct >hg38_chr6:74237841-74237851(-) gtacaatcgct >hg38_chr6:74258768-74258778(-) ttacaaagacg >hg38_chr6:74305572-74305582(+) GAACAATGGGT >hg38_chr6:74305589-74305599(+) TCACAATGAAA >hg38_chr6:74351110-74351120(-) TGACAATGAAG >hg38_chr6:74355085-74355095(-) AAACAATGCCC >hg38_chr6:74356596-74356606(+) GAACAAAGGAT >hg38_chr6:74357149-74357159(+) CAATAATGGAG >hg38_chr6:74360592-74360602(-) ggacAATGCCT >hg38_chr6:74408503-74408513(-) atacaaaggtt >hg38_chr6:74408508-74408518(-) cgacaatacaa >hg38_chr6:74461768-74461778(+) acataatggcc >hg38_chr6:74461794-74461804(-) tcacaataata >hg38_chr6:74462142-74462152(-) ttacaatggct >hg38_chr6:74462158-74462168(+) gtacaatgata >hg38_chr6:74467667-74467677(-) ccacaatagca >hg38_chr6:74477505-74477515(-) AAACAATGGAA >hg38_chr6:74477531-74477541(+) GTACAATATAC >hg38_chr6:74478667-74478677(-) agacaatgtcc >hg38_chr6:74481552-74481562(-) GAACAAAGGTT >hg38_chr6:74578510-74578520(-) AAACAATGCTT >hg38_chr6:74578939-74578949(+) ACACAATGGAG >hg38_chr6:74650410-74650420(-) atacaatggga >hg38_chr6:74695883-74695893(-) tgacAATAATA >hg38_chr6:74714187-74714197(-) ACACAATAGCA >hg38_chr6:74714211-74714221(+) AAACAATAAAA >hg38_chr6:74714546-74714556(-) TTACAATAGAA >hg38_chr6:74723481-74723491(+) GAATAATGGCG >hg38_chr6:74742358-74742368(+) CAACAATAGGA >hg38_chr6:74746875-74746885(+) ggacaatggct >hg38_chr6:74775009-74775019(+) TAACAATGGCa >hg38_chr6:74807863-74807873(+) ggacaaaggat >hg38_chr6:74807924-74807934(+) tcacaatgctg >hg38_chr6:74832721-74832731(-) GAACAATAAAG >hg38_chr6:74832756-74832766(-) TAATAATGTAA >hg38_chr6:74832762-74832772(-) ATACAATAATA >hg38_chr6:74832771-74832781(+) ATATAATggtt >hg38_chr6:74897641-74897651(-) acacaatggca >hg38_chr6:74955728-74955738(-) ACACAAAGGAA >hg38_chr6:74976456-74976466(-) ttacaatgggc >hg38_chr6:74977063-74977073(-) CTACAATGAGG >hg38_chr6:74992692-74992702(+) AGACAATGAGT >hg38_chr6:75110648-75110658(+) CAACAATAGAA >hg38_chr6:75142529-75142539(-) GTACAATGGCC >hg38_chr6:75149408-75149418(+) TAACAAAGGAA >hg38_chr6:75149421-75149431(+) TGACAATGTAT >hg38_chr6:75154940-75154950(+) acacaatgcct >hg38_chr6:75160157-75160167(-) TTACaatgtga >hg38_chr6:75167594-75167604(-) aaacaatgtca >hg38_chr6:75170937-75170947(+) AAACAAAGGAA >hg38_chr6:75171183-75171193(-) AGACAATGTAA >hg38_chr6:75171221-75171231(+) AAACAATGTAA >hg38_chr6:75195248-75195258(+) GTACAATGAAA >hg38_chr6:75204315-75204325(+) GAACAATCGAC >hg38_chr6:75207548-75207558(+) AGACAATGAAG >hg38_chr6:75217296-75217306(+) GGACAATGGGG >hg38_chr6:75249725-75249735(+) TAACAGTGGAA >hg38_chr6:75250300-75250310(+) AGACAATGGAA >hg38_chr6:75253052-75253062(+) CTACAATAGAC >hg38_chr6:75266716-75266726(-) ATACAATAAAT >hg38_chr6:75273978-75273988(-) gaacaatgtat >hg38_chr6:75279556-75279566(+) CTACAATGATA >hg38_chr6:75287298-75287308(-) GAACAATATAA >hg38_chr6:75327036-75327046(-) tgacaatgtcc >hg38_chr6:75334784-75334794(+) GAACAATGAAA >hg38_chr6:75344003-75344013(-) AAACAATGATG >hg38_chr6:75375067-75375077(+) ggacaatagag >hg38_chr6:75377569-75377579(+) ttacaattgcg >hg38_chr6:75377655-75377665(+) gcacaatgact >hg38_chr6:75402659-75402669(-) GAACAATAGGC >hg38_chr6:75417526-75417536(+) CAATAATGGAC >hg38_chr6:75418480-75418490(-) ACACAATGAGT >hg38_chr6:75418507-75418517(+) GAACAATAGTA >hg38_chr6:75505540-75505550(-) aaacaatggga >hg38_chr6:75602356-75602366(-) ATACAAAGGCA >hg38_chr6:75610401-75610411(+) CAACAATGGAT >hg38_chr6:75610413-75610423(-) CAATAATGGAT >hg38_chr6:75621409-75621419(+) AGACAAAGGCA >hg38_chr6:75621876-75621886(+) GTACAATGGAA >hg38_chr6:75629969-75629979(+) taacaatagat >hg38_chr6:75643302-75643312(-) aaacaatgtag >hg38_chr6:75643331-75643341(+) taACAATGTGA >hg38_chr6:75663355-75663365(+) CAACAATGTGG >hg38_chr6:75663382-75663392(-) TCACAATAATA >hg38_chr6:75671287-75671297(-) CAACAATGTTT >hg38_chr6:75671333-75671343(-) AAACAAAGGCT >hg38_chr6:75676885-75676895(-) ATACAGTGGAT >hg38_chr6:75676899-75676909(-) CAACAATGTCT >hg38_chr6:75718448-75718458(-) taacaataatc >hg38_chr6:75794279-75794289(-) atacaatgagg >hg38_chr6:75794330-75794340(+) gcacaatgaat >hg38_chr6:75819484-75819494(+) GTACAGTGGAA >hg38_chr6:75819558-75819568(-) ttactatgggt >hg38_chr6:75857121-75857131(+) AAACAATGCTC >hg38_chr6:75872267-75872277(+) CAACAATACGT >hg38_chr6:75872277-75872287(-) ATACAATGAAA >hg38_chr6:75891829-75891839(-) gtacaatgtca >hg38_chr6:75891853-75891863(+) gaactatgggt >hg38_chr6:75917612-75917622(+) acacaaaggca >hg38_chr6:75917623-75917633(-) TAACAatagaa >hg38_chr6:75920499-75920509(-) GAACAATGTCG >hg38_chr6:75934196-75934206(-) AGACAATGGAT >hg38_chr6:75946599-75946609(+) TTACAATGGTG >hg38_chr6:75978395-75978405(-) AAACAATAGCA >hg38_chr6:75978536-75978546(+) taactatggca >hg38_chr6:75983313-75983323(+) GTACAATGACC >hg38_chr6:75984055-75984065(+) agacaaagggt >hg38_chr6:75984104-75984114(-) gaacaatgtgc >hg38_chr6:75992315-75992325(-) ACACAATGAGA >hg38_chr6:76060191-76060201(+) TTACAAAGGCA >hg38_chr6:76060209-76060219(-) AAACAATGTCT >hg38_chr6:76120533-76120543(-) ggacaatgggg >hg38_chr6:76268631-76268641(+) ATACAATACGT >hg38_chr6:76268697-76268707(-) TAACAATGGAT >hg38_chr6:76327106-76327116(-) acacaatgccC >hg38_chr6:76349853-76349863(-) ATACAATAGTC >hg38_chr6:76351534-76351544(-) ATACAAAGGTG >hg38_chr6:76354576-76354586(-) TCACAATGGCC >hg38_chr6:76440077-76440087(-) TAACAATAAGT >hg38_chr6:76481282-76481292(-) ccacaatgtca >hg38_chr6:76481324-76481334(-) ccacaatggtg >hg38_chr6:76510737-76510747(-) GAACAATAGTT >hg38_chr6:76567377-76567387(-) agacaatggga >hg38_chr6:76698159-76698169(+) AAACAATAGTG >hg38_chr6:76704559-76704569(+) GGACAATAGGA >hg38_chr6:76771210-76771220(+) tcacaatgtaa >hg38_chr6:76775037-76775047(+) tcacaatgaat >hg38_chr6:76780853-76780863(+) acacaatgtga >hg38_chr6:76780880-76780890(+) taacaatggag >hg38_chr6:76792652-76792662(+) TCACAATGAGA >hg38_chr6:76835448-76835458(-) gaacaatggga >hg38_chr6:76863126-76863136(+) ACACAATGCTC >hg38_chr6:76868127-76868137(+) gaacaaaggag >hg38_chr6:76982687-76982697(+) TAACAATAAAT >hg38_chr6:77089687-77089697(-) aaataatggtg >hg38_chr6:77208231-77208241(-) gaacaatgcct >hg38_chr6:77230782-77230792(-) TGACAATGTGG >hg38_chr6:77241961-77241971(+) GAACAATGTGG >hg38_chr6:77265216-77265226(-) GAACAATGGGT >hg38_chr6:77293502-77293512(+) AAACAATAGAA >hg38_chr6:77298528-77298538(-) AGACAATgagg >hg38_chr6:77301331-77301341(+) AGACAATATAA >hg38_chr6:77331775-77331785(-) AAACAATGGAG >hg38_chr6:77367522-77367532(-) caacaatgaga >hg38_chr6:77389857-77389867(-) atacaatgggg >hg38_chr6:77403594-77403604(+) AAATAATGGAG >hg38_chr6:77404797-77404807(-) gaacaattgta >hg38_chr6:77404839-77404849(-) ttacaatgacc >hg38_chr6:77455562-77455572(-) GTACAAAGGTT >hg38_chr6:77455795-77455805(-) ttacaatgcag >hg38_chr6:77455829-77455839(-) ttacaatgggc >hg38_chr6:77455851-77455861(-) TCACAATGGGg >hg38_chr6:77568499-77568509(+) GCACAATGGAA >hg38_chr6:77644179-77644189(-) TTACAGTGGAA >hg38_chr6:77646230-77646240(+) TCACAATGGCT >hg38_chr6:77710016-77710026(+) ATACAGTGGGG >hg38_chr6:77786792-77786802(+) tgacaatagca >hg38_chr6:77984504-77984514(+) agacaatgaga >hg38_chr6:78061564-78061574(-) taacaatgtgt >hg38_chr6:78101547-78101557(+) cgacaaaggat >hg38_chr6:78101581-78101591(+) agacaatggag >hg38_chr6:78102160-78102170(+) GCACAATATAA >hg38_chr6:78192275-78192285(-) agacaatgggg >hg38_chr6:78206494-78206504(-) caataatggaa >hg38_chr6:78248953-78248963(+) gaacaatggat >hg38_chr6:78253582-78253592(+) GAATAatggcc >hg38_chr6:78342070-78342080(-) ATACAGTGGTA >hg38_chr6:78407025-78407035(+) taacaatgtat >hg38_chr6:78431808-78431818(+) AGACAGTGGAA >hg38_chr6:78491848-78491858(-) TCACAATAGAC >hg38_chr6:78511114-78511124(-) GTACAATGTAT >hg38_chr6:78512521-78512531(-) ATACAATGAGC >hg38_chr6:78570287-78570297(-) taataatgggg >hg38_chr6:78606337-78606347(-) AAACAATAGCT >hg38_chr6:78631359-78631369(+) AAACAATAGGA >hg38_chr6:78632897-78632907(+) GAACAAAGGAA >hg38_chr6:78673489-78673499(-) gtacaatgcac >hg38_chr6:78751158-78751168(+) TTACTATGGAA >hg38_chr6:78751180-78751190(+) AAACAATGCTG >hg38_chr6:78767555-78767565(+) agacaatgggg >hg38_chr6:78836748-78836758(+) caacaatgcca >hg38_chr6:78836796-78836806(-) gtacaaaggac >hg38_chr6:78836803-78836813(+) gtacaatgacc >hg38_chr6:78836810-78836820(-) ggacaaaggtc >hg38_chr6:78943558-78943568(+) gtataatgggc >hg38_chr6:78944853-78944863(+) TTACAATAAAA >hg38_chr6:78953423-78953433(+) AAACAATGAAT >hg38_chr6:78958836-78958846(+) TAACAAAGGCC >hg38_chr6:79014705-79014715(-) GCACAATAGAA >hg38_chr6:79014734-79014744(+) GTACAATAATA >hg38_chr6:79077013-79077023(-) ACACAATAGCT >hg38_chr6:79118730-79118740(+) acacaaaggga >hg38_chr6:79119470-79119480(+) atacaatgtgt >hg38_chr6:79126066-79126076(-) GAACAAAGGGT >hg38_chr6:79143567-79143577(-) TTACAATAGAC >hg38_chr6:79152493-79152503(+) GAACAAAGGGA >hg38_chr6:79190161-79190171(-) GAACAATAGCC >hg38_chr6:79234926-79234936(-) AAACAATGAAA >hg38_chr6:79276638-79276648(-) gaacaatgcct >hg38_chr6:79299269-79299279(+) ctacaatgaga >hg38_chr6:79299597-79299607(+) atacaatgaat >hg38_chr6:79338619-79338629(+) AAACAATAGTC >hg38_chr6:79338685-79338695(-) tcacaatgggg >hg38_chr6:79474481-79474491(+) aaacaataact >hg38_chr6:79496076-79496086(+) gaacaatgctt >hg38_chr6:79518044-79518054(+) CTACAATGGGG >hg38_chr6:79535957-79535967(-) ACACAATGGAA >hg38_chr6:79537892-79537902(-) GAACAATAGTG >hg38_chr6:79538852-79538862(-) aaacaatgaat >hg38_chr6:79545159-79545169(+) TAACAATGACC >hg38_chr6:79559875-79559885(+) aaacaataggt >hg38_chr6:79629788-79629798(+) agacaataggt >hg38_chr6:79647888-79647898(-) AAACAAAGGGC >hg38_chr6:79653849-79653859(-) GGACAATGCAA >hg38_chr6:79687174-79687184(-) agacaatgaac >hg38_chr6:79730826-79730836(-) GAACAATGGAG >hg38_chr6:79748996-79749006(+) aaacaatggaa >hg38_chr6:79749060-79749070(+) acacaatggaa >hg38_chr6:79808196-79808206(+) ATACAAAGGCA >hg38_chr6:79875754-79875764(-) tgataatggtc >hg38_chr6:79875765-79875775(-) tgacaatgaat >hg38_chr6:79876250-79876260(+) GCACAATGGAA >hg38_chr6:79930211-79930221(+) CAACAATGCAA >hg38_chr6:79941967-79941977(+) AGACAATGAGC >hg38_chr6:79942037-79942047(-) GTACAATAGTC >hg38_chr6:79946620-79946630(+) AAACAATATTA >hg38_chr6:79948591-79948601(-) GAACAATAAGA >hg38_chr6:79967273-79967283(+) acacaatgaca >hg38_chr6:80006607-80006617(-) TTACAATGTAG >hg38_chr6:80015656-80015666(-) TTACAATAGAT >hg38_chr6:80062652-80062662(+) TCACAATGAGC >hg38_chr6:80077231-80077241(-) TGACAATGAAA >hg38_chr6:80096531-80096541(-) caacaatggtt >hg38_chr6:80105707-80105717(+) GCATAATGGAA >hg38_chr6:80106226-80106236(+) AAACAATAACC >hg38_chr6:80136019-80136029(-) acacaatatta >hg38_chr6:80151725-80151735(-) GAACAATATGA >hg38_chr6:80151734-80151744(-) AAACAAAGGGA >hg38_chr6:80172679-80172689(-) atacaatgaaa >hg38_chr6:80197529-80197539(+) TGACAAAGGAA >hg38_chr6:80213019-80213029(+) caacaatgact >hg38_chr6:80224977-80224987(-) caacaatggaa >hg38_chr6:80225881-80225891(-) AAACAATGCTA >hg38_chr6:80226537-80226547(+) GGACAATAGAT >hg38_chr6:80243117-80243127(+) GGACAATAGGA >hg38_chr6:80269303-80269313(-) TCACAATAGGA >hg38_chr6:80283157-80283167(-) ATACAATGCTG >hg38_chr6:80284780-80284790(-) ACACAATGAGG >hg38_chr6:80425640-80425650(+) TGACAATGAAA >hg38_chr6:80437467-80437477(+) GAACAATGATC >hg38_chr6:80437482-80437492(-) TAACTATGGCT >hg38_chr6:80438110-80438120(+) ATACAAAGGCA >hg38_chr6:80439863-80439873(+) GCACAATGAAC >hg38_chr6:80440665-80440675(-) GAACAATGATA >hg38_chr6:80454345-80454355(-) GAACAATGACC >hg38_chr6:80461785-80461795(-) aaacaatgaga >hg38_chr6:80463857-80463867(+) acacaaaggca >hg38_chr6:80467242-80467252(-) TAACAATGCTT >hg38_chr6:80481480-80481490(+) atacaatgaag >hg38_chr6:80481492-80481502(+) taacaatagtt >hg38_chr6:80507007-80507017(-) TCACAAtagtt >hg38_chr6:80508970-80508980(-) taacaataact >hg38_chr6:80508995-80509005(+) gtacaatgtaa >hg38_chr6:80517193-80517203(-) TCACAATGGCA >hg38_chr6:80522637-80522647(-) GTACAAAGGCT >hg38_chr6:80522688-80522698(+) AAACAAAGGAA >hg38_chr6:80536144-80536154(+) gaacaatggca >hg38_chr6:80557834-80557844(-) ACACAATGGCA >hg38_chr6:80578721-80578731(+) GAACAATAAAA >hg38_chr6:80607257-80607267(-) AAACAATGGAC >hg38_chr6:80608490-80608500(-) gtacaatgtca >hg38_chr6:80609052-80609062(-) TAACACTGGAC >hg38_chr6:80611324-80611334(-) AAACAATGTCA >hg38_chr6:80640391-80640401(+) aaacaaaggga >hg38_chr6:80647105-80647115(+) TTACAATGACA >hg38_chr6:80667142-80667152(-) CAACAATGTAG >hg38_chr6:80690615-80690625(+) ACACAATGGTG >hg38_chr6:80696388-80696398(-) aaacaatgttt >hg38_chr6:80713623-80713633(+) gaacaatggag >hg38_chr6:80725651-80725661(-) GAACAATGCAC >hg38_chr6:80733863-80733873(-) TCACAATGCCT >hg38_chr6:80791655-80791665(-) TAACAATGAAA >hg38_chr6:80792195-80792205(+) TGATAATGGGT >hg38_chr6:80892149-80892159(+) gaacaatggag >hg38_chr6:80950862-80950872(-) atacaatagct >hg38_chr6:80974724-80974734(+) agacaatggga >hg38_chr6:80985758-80985768(+) atacaatgcta >hg38_chr6:81003444-81003454(-) gaacaatgagt >hg38_chr6:81068527-81068537(+) AGACAATGAAA >hg38_chr6:81073691-81073701(+) GAACAATAAAG >hg38_chr6:81073714-81073724(+) GAACAATGCCC >hg38_chr6:81155657-81155667(+) aaacaatagac >hg38_chr6:81170043-81170053(+) TAACAATAATA >hg38_chr6:81170296-81170306(+) atacaataagt >hg38_chr6:81171852-81171862(+) AAACAAAGGCA >hg38_chr6:81204961-81204971(+) AAACAAAGGGC >hg38_chr6:81205495-81205505(-) gtacaataaat >hg38_chr6:81242304-81242314(+) atacaatagag >hg38_chr6:81282415-81282425(+) GAACAATGATT >hg38_chr6:81304372-81304382(-) GTACAATATAT >hg38_chr6:81309177-81309187(-) GGATAATGGAG >hg38_chr6:81321783-81321793(-) ATACAGTGGAA >hg38_chr6:81372538-81372548(+) AAATAATGGCT >hg38_chr6:81413475-81413485(+) agacaaaggaa >hg38_chr6:81452058-81452068(-) ACACAAAGGGT >hg38_chr6:81524279-81524289(+) caacaatagca >hg38_chr6:81577572-81577582(+) gaacaatatat >hg38_chr6:81597721-81597731(+) atacaatggaa >hg38_chr6:81607779-81607789(-) TAATAATGATA >hg38_chr6:81608532-81608542(-) GTACAATGCTA >hg38_chr6:81608541-81608551(-) AGACAATAGGT >hg38_chr6:81620015-81620025(+) tgacaatgatg >hg38_chr6:81642588-81642598(-) TTACAATGAAA >hg38_chr6:81642616-81642626(-) TGACAATGGCC >hg38_chr6:81644191-81644201(-) tgacaatgtgt >hg38_chr6:81710717-81710727(-) gaataatgtac >hg38_chr6:81711047-81711057(+) gaacaatggaa >hg38_chr6:81744848-81744858(-) TGATAATGGTA >hg38_chr6:81756953-81756963(+) ACACAATGGGG >hg38_chr6:81764202-81764212(-) CTACAATGGGA >hg38_chr6:81788978-81788988(-) GTACAATGGTC >hg38_chr6:81790191-81790201(+) agacaatgaat >hg38_chr6:81795194-81795204(-) tgacaatagtg >hg38_chr6:81801146-81801156(+) TAACAATGGAA >hg38_chr6:81801218-81801228(-) AGACAATAGAA >hg38_chr6:81825378-81825388(-) agacaatgtca >hg38_chr6:81896925-81896935(-) tcacaatagtt >hg38_chr6:81899401-81899411(+) taacaaaggga >hg38_chr6:81899430-81899440(-) taacaatacta >hg38_chr6:81922424-81922434(+) taataatggct >hg38_chr6:81946382-81946392(-) AGACAATGGCA >hg38_chr6:81978316-81978326(-) gtacaatacgt >hg38_chr6:82001421-82001431(+) gaacaaaggag >hg38_chr6:82001912-82001922(+) ttacaatggtt >hg38_chr6:82051789-82051799(+) gtacaatgaag >hg38_chr6:82051804-82051814(-) gaacaatgtta >hg38_chr6:82079597-82079607(+) TAACAATGAAA >hg38_chr6:82139205-82139215(+) TGATAATGGGC >hg38_chr6:82139223-82139233(+) GTACAATGGAC >hg38_chr6:82144379-82144389(+) ACACAATGTAA >hg38_chr6:82150637-82150647(+) ccacaatagca >hg38_chr6:82170805-82170815(-) GTACAGTGGAA >hg38_chr6:82178710-82178720(+) gtacaataaaa >hg38_chr6:82191428-82191438(+) TAACAATGATC >hg38_chr6:82230779-82230789(+) ACACAATGTAA >hg38_chr6:82240354-82240364(-) TTACAATGCTG >hg38_chr6:82240362-82240372(+) TAACAATGACT >hg38_chr6:82257617-82257627(+) gaacaaaggct >hg38_chr6:82261298-82261308(-) AGACAATGCTT >hg38_chr6:82264992-82265002(-) TGACAATGGGA >hg38_chr6:82301028-82301038(-) TGACAATGTTG >hg38_chr6:82301056-82301066(+) TCACAATAGAA >hg38_chr6:82319950-82319960(-) AAACAATGAGA >hg38_chr6:82327059-82327069(-) aaacaatagat >hg38_chr6:82328016-82328026(-) TGATAATGGAA >hg38_chr6:82330285-82330295(-) atacaatagag >hg38_chr6:82330783-82330793(-) AGACAATAGCA >hg38_chr6:82353855-82353865(-) caacaatgttg >hg38_chr6:82441311-82441321(+) CCACAATAGAG >hg38_chr6:82493623-82493633(+) ACACAAAGGAT >hg38_chr6:82511827-82511837(+) AAACAATGTAA >hg38_chr6:82527071-82527081(+) gtacaatagat >hg38_chr6:82538170-82538180(-) TAACAATGTCG >hg38_chr6:82571547-82571557(-) agACAATGTAG >hg38_chr6:82571604-82571614(+) tgacaatagga >hg38_chr6:82597251-82597261(-) caacaatgtgt >hg38_chr6:82601748-82601758(-) aaacaataaac >hg38_chr6:82609310-82609320(+) acacaatgtgc >hg38_chr6:82609372-82609382(-) gaacaatatca >hg38_chr6:82612689-82612699(+) AAACAATAACA >hg38_chr6:82613662-82613672(+) GAACAATAAGT >hg38_chr6:82613703-82613713(-) AGACAATGGAA >hg38_chr6:82686865-82686875(-) CGACAATGTCA >hg38_chr6:82751890-82751900(+) TTACTATGGAG >hg38_chr6:82765403-82765413(-) ACATAATGGAA >hg38_chr6:82766565-82766575(+) atataatggca >hg38_chr6:82771578-82771588(-) GAACTATGGTT >hg38_chr6:82779239-82779249(+) CCACAATGGGC >hg38_chr6:82779257-82779267(+) CTACAATATAA >hg38_chr6:82796575-82796585(+) AAATAATGGCC >hg38_chr6:82869645-82869655(+) TTACAATGAAC >hg38_chr6:82876348-82876358(+) AAACAATGGGC >hg38_chr6:82895744-82895754(+) tgacaatggtg >hg38_chr6:82960413-82960423(-) AGACAATAGTG >hg38_chr6:82963977-82963987(+) GAACAATGAAC >hg38_chr6:82980202-82980212(-) gcacaatgttg >hg38_chr6:83003503-83003513(-) gTACAATGGCC >hg38_chr6:83042681-83042691(-) ACACAAAGGGA >hg38_chr6:83062229-83062239(+) acacaataaac >hg38_chr6:83068657-83068667(-) AGACAATGGCC >hg38_chr6:83079507-83079517(-) TAACAATGCCG >hg38_chr6:83097571-83097581(+) TTACAAAGGCG >hg38_chr6:83119339-83119349(-) AGACAATGGTA >hg38_chr6:83121716-83121726(-) ACACAATAGAA >hg38_chr6:83153082-83153092(+) gaacaatagga >hg38_chr6:83167653-83167663(+) CTACAATGTTA >hg38_chr6:83168405-83168415(-) AAACAATGGAC >hg38_chr6:83183178-83183188(+) TAACAATGTAA >hg38_chr6:83189700-83189710(-) ACACAATGTAG >hg38_chr6:83215281-83215291(+) tgacaatgtct >hg38_chr6:83378394-83378404(-) ctacaatgttg >hg38_chr6:83378403-83378413(-) aaacaatgtct >hg38_chr6:83432273-83432283(+) TTACAAAGGAT >hg38_chr6:83442986-83442996(+) GCACAAAGGGA >hg38_chr6:83465118-83465128(-) ACACAATGTGC >hg38_chr6:83505557-83505567(-) GGATAATGGTC >hg38_chr6:83581043-83581053(-) CAACAATGGCA >hg38_chr6:83598971-83598981(-) GTACAATGACA >hg38_chr6:83701959-83701969(-) GCACAATGATT >hg38_chr6:83703894-83703904(+) TAACAATGTAG >hg38_chr6:83781157-83781167(-) acacaaTAGGT >hg38_chr6:83789136-83789146(-) taacaatggta >hg38_chr6:83827182-83827192(-) TGACAATGGGG >hg38_chr6:83840397-83840407(+) tcacaatagta >hg38_chr6:83840454-83840464(+) atacaatgtca >hg38_chr6:83884670-83884680(+) TAACAATCGAC >hg38_chr6:83894965-83894975(-) ACAcaatgggc >hg38_chr6:83902559-83902569(+) taacagtggta >hg38_chr6:83952158-83952168(+) TCACAAAGGAA >hg38_chr6:83958936-83958946(+) GAACAATACGC >hg38_chr6:83959218-83959228(+) tcataatggta >hg38_chr6:83976136-83976146(-) taacaatgatt >hg38_chr6:84031561-84031571(+) GTACAATGTGC >hg38_chr6:84059699-84059709(+) TTACAATAGTA >hg38_chr6:84062145-84062155(-) aaataatggag >hg38_chr6:84066191-84066201(+) GAACAATGCTC >hg38_chr6:84070620-84070630(-) aaacaatggat >hg38_chr6:84070633-84070643(-) aaacaatggat >hg38_chr6:84079202-84079212(+) atacaatgggc >hg38_chr6:84079232-84079242(-) tgacaatagtt >hg38_chr6:84083110-84083120(-) gaacaaagggg >hg38_chr6:84098781-84098791(-) aaacaatggga >hg38_chr6:84122461-84122471(+) aaacaatagaa >hg38_chr6:84127275-84127285(+) TAACAATAACT >hg38_chr6:84127313-84127323(+) taacaataata >hg38_chr6:84138521-84138531(-) TTATAATGGCT >hg38_chr6:84167530-84167540(-) tcacaatgcaa >hg38_chr6:84172725-84172735(-) TTACAATGTTT >hg38_chr6:84185493-84185503(-) CAACAATAGTT >hg38_chr6:84185528-84185538(+) AAACAATAGTG >hg38_chr6:84212587-84212597(+) atacaatagaa >hg38_chr6:84218247-84218257(+) tgacaatggga >hg38_chr6:84227967-84227977(-) CGACGATGCGG >hg38_chr6:84230714-84230724(-) TAACGATGGCA >hg38_chr6:84230738-84230748(-) CAACAATGACT >hg38_chr6:84246638-84246648(-) gtataatgtaa >hg38_chr6:84246651-84246661(-) tcacaatgcta >hg38_chr6:84246720-84246730(-) GAACAATATaa >hg38_chr6:84251816-84251826(+) AGACAATGGGC >hg38_chr6:84251853-84251863(+) GGACAATGTTG >hg38_chr6:84255909-84255919(+) AAATAATGGAG >hg38_chr6:84281856-84281866(-) AAACAAAGGAT >hg38_chr6:84282811-84282821(+) ACACAATGGCA >hg38_chr6:84294889-84294899(-) agacaatgggg >hg38_chr6:84303362-84303372(+) agataatgcgt >hg38_chr6:84312452-84312462(+) AAACAAAGGAT >hg38_chr6:84312804-84312814(-) GGACAATGTGG >hg38_chr6:84317171-84317181(-) tgacaaaggac >hg38_chr6:84329317-84329327(-) GTACAATGGCA >hg38_chr6:84348213-84348223(-) ATACAGTGGCT >hg38_chr6:84379764-84379774(-) atacaataaaa >hg38_chr6:84384822-84384832(-) ccacaatgaac >hg38_chr6:84423074-84423084(-) AAACAAAGGTG >hg38_chr6:84432408-84432418(-) ACATAATGGAT >hg38_chr6:84439665-84439675(-) CAACAATGGGC >hg38_chr6:84460645-84460655(+) ACACAATGTCC >hg38_chr6:84473275-84473285(+) aaataatgggg >hg38_chr6:84532208-84532218(+) aaacaatgctt >hg38_chr6:84594838-84594848(-) TCATAATGGAC >hg38_chr6:84687435-84687445(+) atacaatgaag >hg38_chr6:84692396-84692406(-) GAACAATAGGA >hg38_chr6:84706649-84706659(+) TAACAATGAGA >hg38_chr6:84756341-84756351(+) ACACAATAAAT >hg38_chr6:84875134-84875144(+) CAACAATGTTT >hg38_chr6:84908070-84908080(+) AAACAATAGGA >hg38_chr6:84911061-84911071(+) TGACAAAGGAA >hg38_chr6:84917457-84917467(+) TCACAATGTAG >hg38_chr6:85006580-85006590(-) CAACAATGGGG >hg38_chr6:85168376-85168386(+) AAACAAAGGTA >hg38_chr6:85262066-85262076(-) aaacaatgaat >hg38_chr6:85262099-85262109(-) atacaatagat >hg38_chr6:85265788-85265798(-) TTATAATGGAA >hg38_chr6:85266296-85266306(-) AGACAAAGGGA >hg38_chr6:85283411-85283421(-) gcacaaaggat >hg38_chr6:85284620-85284630(-) TTATAATGGca >hg38_chr6:85323597-85323607(+) TTACAAAGGGT >hg38_chr6:85387439-85387449(-) tcacaaaggat >hg38_chr6:85392865-85392875(-) TCACAATGTTT >hg38_chr6:85399160-85399170(-) taacaatgcgt >hg38_chr6:85416457-85416467(-) ccacAATGATG >hg38_chr6:85459472-85459482(-) AAACAAAGGAT >hg38_chr6:85460888-85460898(-) AGACAATGCAG >hg38_chr6:85472328-85472338(+) AAACAATAAGT >hg38_chr6:85486676-85486686(-) agacaatgcca >hg38_chr6:85486715-85486725(+) ttacaataatc >hg38_chr6:85531299-85531309(+) taacaataatt >hg38_chr6:85536840-85536850(-) CCACAATGGAG >hg38_chr6:85575701-85575711(+) agacaaaggta >hg38_chr6:85575725-85575735(+) caacaatgaga >hg38_chr6:85595038-85595048(-) CAATAATGGAA >hg38_chr6:85595061-85595071(-) TATCAATGGAC >hg38_chr6:85620472-85620482(+) aaactatggag >hg38_chr6:85636815-85636825(+) CTACAATGCTT >hg38_chr6:85639514-85639524(+) CAACAATGCTC >hg38_chr6:85643890-85643900(+) AGACAATGGGG >hg38_chr6:85668737-85668747(-) tgataatggca >hg38_chr6:85668978-85668988(-) agactatggaa >hg38_chr6:85676946-85676956(-) GTACAATGTAA >hg38_chr6:85678178-85678188(-) GAACAATGGCG >hg38_chr6:85750848-85750858(+) ttacaatggaa >hg38_chr6:85763647-85763657(+) aaaccatggta >hg38_chr6:85763695-85763705(-) agacaatatta >hg38_chr6:85870822-85870832(-) taacaatggaa >hg38_chr6:85882227-85882237(-) ggacaatggca >hg38_chr6:85884039-85884049(+) GCACAATGGGA >hg38_chr6:85885675-85885685(+) GAACAATAAAT >hg38_chr6:85913221-85913231(+) TTACAATGCCA >hg38_chr6:86044776-86044786(-) TCACAATGATT >hg38_chr6:86048631-86048641(-) gtacaatgtac >hg38_chr6:86069232-86069242(+) tcacaatggag >hg38_chr6:86188292-86188302(-) GCACTATGGTA >hg38_chr6:86265957-86265967(-) ATACAAAGGCT >hg38_chr6:86265991-86266001(+) CAACAATGAAC >hg38_chr6:86409777-86409787(-) TCACAATGACA >hg38_chr6:86422448-86422458(-) TAACAATGAGT >hg38_chr6:86436881-86436891(+) agacaatagtt >hg38_chr6:86456893-86456903(-) ccacaatgaca >hg38_chr6:86477948-86477958(-) ttacaatggat >hg38_chr6:86486767-86486777(+) taacaATAGTC >hg38_chr6:86706976-86706986(+) ggacaatggct >hg38_chr6:86830362-86830372(-) acacaatggtg >hg38_chr6:86918348-86918358(-) TAACAATAAGA >hg38_chr6:86932911-86932921(+) AAACAAAGGAG >hg38_chr6:87007981-87007991(+) atacaaaggca >hg38_chr6:87008019-87008029(-) tcacaatgttt >hg38_chr6:87026159-87026169(+) gaacaaaggca >hg38_chr6:87072378-87072388(+) AGACAATAAAC >hg38_chr6:87072430-87072440(-) GCACAATGAAA >hg38_chr6:87094216-87094226(-) GAACTATGGGC >hg38_chr6:87155479-87155489(-) GTACAAAGGGC >hg38_chr6:87155537-87155547(-) ACACAATGCCA >hg38_chr6:87157008-87157018(+) GAACAATGGTT >hg38_chr6:87161808-87161818(+) ATACAATGAAA >hg38_chr6:87172028-87172038(+) TAACAAAGGTA >hg38_chr6:87182530-87182540(-) TCACAATAGTT >hg38_chr6:87183755-87183765(-) gaataatggct >hg38_chr6:87201279-87201289(-) atacaatagaa >hg38_chr6:87224596-87224606(-) atacaatgcta >hg38_chr6:87225075-87225085(-) taacaatgtga >hg38_chr6:87236039-87236049(-) gaacaatgaat >hg38_chr6:87236068-87236078(-) ggacaataaat >hg38_chr6:87254989-87254999(+) CGACAATGTCT >hg38_chr6:87287498-87287508(+) ACACAATGCCC >hg38_chr6:87294093-87294103(-) TTACAATGCTC >hg38_chr6:87313113-87313123(+) AAACAATGACG >hg38_chr6:87323049-87323059(+) ACACAATGTGT >hg38_chr6:87350049-87350059(+) CCACAATGCAC >hg38_chr6:87395473-87395483(+) taacaataaca >hg38_chr6:87425453-87425463(+) TTACAATGGCA >hg38_chr6:87436654-87436664(-) ACACAATGTCA >hg38_chr6:87474464-87474474(+) GAACAATGCAG >hg38_chr6:87502323-87502333(-) GAACAAAGGGG >hg38_chr6:87509123-87509133(-) GGACAATGGCC >hg38_chr6:87529538-87529548(-) AAACAATGTAT >hg38_chr6:87538326-87538336(-) TAATAATGGTG >hg38_chr6:87550833-87550843(+) aaacaatgtaa >hg38_chr6:87560100-87560110(-) taacaatggga >hg38_chr6:87562671-87562681(+) gcacaatggct >hg38_chr6:87562699-87562709(-) TAACAAAGGTA >hg38_chr6:87564094-87564104(+) TTACAATGTCA >hg38_chr6:87682020-87682030(+) AAATAATGGTC >hg38_chr6:87697944-87697954(+) AAACAATGTGC >hg38_chr6:87699262-87699272(-) TCATAATGGGA >hg38_chr6:87699721-87699731(+) TTACAAAGGAT >hg38_chr6:87701264-87701274(-) ACACAATAGAA >hg38_chr6:87705693-87705703(-) acacaatgaca >hg38_chr6:87743424-87743434(+) TAACAATGAAG >hg38_chr6:87772915-87772925(+) aaacaataggt >hg38_chr6:87798587-87798597(-) taacaatggaa >hg38_chr6:87798619-87798629(-) caacaatagaa >hg38_chr6:87819719-87819729(-) TCACaatgaca >hg38_chr6:87863093-87863103(+) CAACAATGAAG >hg38_chr6:87884124-87884134(-) CTACAATGAGA >hg38_chr6:87904192-87904202(+) AAACAAAGGCT >hg38_chr6:87977962-87977972(-) ATATAATGCGG >hg38_chr6:87984215-87984225(+) atataatgata >hg38_chr6:87984251-87984261(+) taacaattgta >hg38_chr6:87984376-87984386(+) caataatggac >hg38_chr6:87984415-87984425(+) aaacactggac >hg38_chr6:87985556-87985566(-) aaacaatagac >hg38_chr6:87990010-87990020(+) acacaatgaga >hg38_chr6:87992272-87992282(-) GGACAATGCTT >hg38_chr6:88045685-88045695(-) TAACAAAGGAA >hg38_chr6:88070519-88070529(-) aaacaatgata >hg38_chr6:88127656-88127666(+) CCACAATGAAA >hg38_chr6:88143957-88143967(-) AAACAATGCAG >hg38_chr6:88164812-88164822(-) GAACAATGGGG >hg38_chr6:88193237-88193247(+) GAACAAAGGCC >hg38_chr6:88199584-88199594(-) ATATAATGGAT >hg38_chr6:88211611-88211621(+) AGACAATGCCT >hg38_chr6:88237553-88237563(-) AAACAAAGGCA >hg38_chr6:88268814-88268824(-) AGACAAAGGGA >hg38_chr6:88274063-88274073(+) CTACAAAGGAA >hg38_chr6:88305470-88305480(-) caacaatgaga >hg38_chr6:88324479-88324489(+) aaacaatgtat >hg38_chr6:88326060-88326070(-) aaacaatagta >hg38_chr6:88340166-88340176(-) ATACTATGGAA >hg38_chr6:88360047-88360057(-) GGACAATGGCT >hg38_chr6:88374761-88374771(+) ACACAGTGGAC >hg38_chr6:88374768-88374778(+) GGACAATAGGT >hg38_chr6:88378286-88378296(+) TGACAATGCCA >hg38_chr6:88381389-88381399(-) GAACAATGTTC >hg38_chr6:88454817-88454827(+) ATACAAAGGCA >hg38_chr6:88480981-88480991(-) AGACAAAGGGA >hg38_chr6:88481383-88481393(-) GAACAATGCCT >hg38_chr6:88491409-88491419(-) AGACAAAGGAA >hg38_chr6:88565718-88565728(+) atacaatgaac >hg38_chr6:88565725-88565735(+) gaacaaaggag >hg38_chr6:88574128-88574138(+) GGACAATGCCC >hg38_chr6:88631100-88631110(+) TAACAATGCCC >hg38_chr6:88641610-88641620(+) TTACAATGAGT >hg38_chr6:88681903-88681913(-) CAACAATGCCT >hg38_chr6:88728766-88728776(+) ttacaacggaa >hg38_chr6:88728814-88728824(-) ctacaatagct >hg38_chr6:88730811-88730821(+) taacaaaggcc >hg38_chr6:88730843-88730853(+) taacaatggct >hg38_chr6:88732319-88732329(+) ctacaatgaga >hg38_chr6:88739371-88739381(+) GAACAAAGGGA >hg38_chr6:88751102-88751112(+) GAACAATGAAA >hg38_chr6:88781508-88781518(+) AAACAATGGAA >hg38_chr6:88825663-88825673(+) ATACAGTGGTA >hg38_chr6:88834514-88834524(-) ATATAATGGTG >hg38_chr6:88870641-88870651(-) AAACAATGTAG >hg38_chr6:88882084-88882094(-) agacaatagta >hg38_chr6:88884287-88884297(+) acacaatgggt >hg38_chr6:88884296-88884306(+) gtacaatgcag >hg38_chr6:88896890-88896900(+) TTACAATAGGT >hg38_chr6:88896909-88896919(+) TTACAATGACC >hg38_chr6:88944222-88944232(+) taacaataagt >hg38_chr6:88963610-88963620(+) CCACAATGCAC >hg38_chr6:89008539-89008549(+) aaacaaagggt >hg38_chr6:89080509-89080519(-) GAACAATGAAA >hg38_chr6:89085209-89085219(+) ATACAAAGGAA >hg38_chr6:89117439-89117449(+) CGACAAAGGAG >hg38_chr6:89191369-89191379(+) TAACAATGCCC >hg38_chr6:89211305-89211315(-) CAACAATGTGG >hg38_chr6:89243457-89243467(-) TCACAATGTCC >hg38_chr6:89251328-89251338(-) GAAcaatggtt >hg38_chr6:89254846-89254856(+) ATACAATGGTG >hg38_chr6:89254855-89254865(-) ATACAATGACA >hg38_chr6:89312377-89312387(-) GAACAATGATT >hg38_chr6:89313342-89313352(+) taacaaaggtt >hg38_chr6:89323927-89323937(+) tgacaatgggt >hg38_chr6:89348027-89348037(-) TAACAGTGGTA >hg38_chr6:89353771-89353781(-) GGACAATGAAG >hg38_chr6:89394321-89394331(+) taacaataaaa >hg38_chr6:89394613-89394623(+) gtacaaTGTAA >hg38_chr6:89413022-89413032(-) CAACAATGAGA >hg38_chr6:89416891-89416901(+) gtacaatgtag >hg38_chr6:89482696-89482706(-) gaacaaaggag >hg38_chr6:89485543-89485553(+) AAACAAAGGGC >hg38_chr6:89536362-89536372(-) AAACAAAGGCG >hg38_chr6:89567474-89567484(-) ggacaatgaga >hg38_chr6:89644669-89644679(-) TGATAATGGGT >hg38_chr6:89669158-89669168(+) AGACAAAGGTT >hg38_chr6:89691054-89691064(-) TTACAATGACA >hg38_chr6:89717385-89717395(-) ggactatggta >hg38_chr6:89719467-89719477(+) TAACAATGCAC >hg38_chr6:89762167-89762177(+) GAATAATGGGG >hg38_chr6:89799939-89799949(+) TGACAATGGGA >hg38_chr6:89817655-89817665(+) AGACAATAACA >hg38_chr6:89817674-89817684(+) TAACAACGGGA >hg38_chr6:89817697-89817707(+) CAACAATAGTA >hg38_chr6:89830750-89830760(-) ATACAATATAA >hg38_chr6:89895553-89895563(+) ATACAATGTCT >hg38_chr6:89897004-89897014(-) GAACAAAGGAA >hg38_chr6:89910249-89910259(+) acacaatgaga >hg38_chr6:89927965-89927975(+) ACACAATGCCT >hg38_chr6:89928016-89928026(-) ATACAATGCCC >hg38_chr6:89940688-89940698(+) aaacaatacga >hg38_chr6:89951905-89951915(-) GGACAATAGCA >hg38_chr6:89966452-89966462(+) GGACAATGGCT >hg38_chr6:89978920-89978930(-) GAACAAAGGGT >hg38_chr6:89986257-89986267(-) GGACAATGGAA >hg38_chr6:89999368-89999378(+) GGACAATGGCT >hg38_chr6:90005607-90005617(+) AAACAATGCCC >hg38_chr6:90009563-90009573(+) ACACAATATAT >hg38_chr6:90009573-90009583(+) TCACAATAGCA >hg38_chr6:90033807-90033817(+) CGACAATGTTT >hg38_chr6:90068450-90068460(+) ggacaatgcgg >hg38_chr6:90074297-90074307(-) GAACAATAAAG >hg38_chr6:90087445-90087455(+) ATACAATGCAT >hg38_chr6:90088230-90088240(+) GAACAATGTAT >hg38_chr6:90118246-90118256(+) GGACAATGGCT >hg38_chr6:90131874-90131884(-) TAACAATGGTG >hg38_chr6:90159753-90159763(-) GTACAATGTCA >hg38_chr6:90160229-90160239(+) ACACAAAGGAC >hg38_chr6:90185213-90185223(+) GAACAATAGCT >hg38_chr6:90227362-90227372(+) AAACAATGGGG >hg38_chr6:90251769-90251779(-) TAACAATTGTA >hg38_chr6:90254457-90254467(+) ATATAATGGTA >hg38_chr6:90275054-90275064(-) GGACAATGTGG >hg38_chr6:90275064-90275074(+) CTACAAAGGAA >hg38_chr6:90285765-90285775(+) GTACTATGGGC >hg38_chr6:90291127-90291137(+) GAACAAAGGAG >hg38_chr6:90296896-90296906(-) CAACAATGTAG >hg38_chr6:90356885-90356895(+) gtacaatgcag >hg38_chr6:90374305-90374315(-) GGACAATGCTG >hg38_chr6:90404272-90404282(-) GCACAATGCTT >hg38_chr6:90404312-90404322(+) CCACAATGCGT >hg38_chr6:90404321-90404331(-) GCACAATGAAC >hg38_chr6:90435679-90435689(-) AGACAATGACA >hg38_chr6:90435709-90435719(-) AAACAATGAGA >hg38_chr6:90436494-90436504(-) gaataatggca >hg38_chr6:90436508-90436518(+) gaacaatacga >hg38_chr6:90441386-90441396(-) CTACAATGGTG >hg38_chr6:90442548-90442558(-) GCACAATGCCT >hg38_chr6:90445027-90445037(+) agacaaaggtg >hg38_chr6:90446161-90446171(+) GAACAATACAC >hg38_chr6:90485042-90485052(+) GCACAATGAAG >hg38_chr6:90496828-90496838(-) AGACAAAGGAG >hg38_chr6:90514736-90514746(+) CAACAATGCTA >hg38_chr6:90537122-90537132(+) AAACAATGAAT >hg38_chr6:90560266-90560276(+) ACACAATGTGA >hg38_chr6:90560901-90560911(+) AAACAATGTAT >hg38_chr6:90577098-90577108(+) caacaatagct >hg38_chr6:90581357-90581367(-) GAACAATGCCA >hg38_chr6:90582080-90582090(-) TTACAATGGTT >hg38_chr6:90584282-90584292(+) TAACACTGGTT >hg38_chr6:90608993-90609003(+) GTACAATAGAT >hg38_chr6:90611814-90611824(-) AGACAATGCAG >hg38_chr6:90641148-90641158(-) AGACAATATAA >hg38_chr6:90675754-90675764(-) TTACAATGCAC >hg38_chr6:90685280-90685290(+) ATATAATGAAT >hg38_chr6:90765290-90765300(-) GGACAATATTA >hg38_chr6:90772965-90772975(+) ATACaaaggac >hg38_chr6:90773008-90773018(-) ctacaatagac >hg38_chr6:90787591-90787601(+) TTACAATGCCA >hg38_chr6:90787623-90787633(+) ACACAATATAC >hg38_chr6:90809671-90809681(-) agacaaaggga >hg38_chr6:90810075-90810085(+) CAACAATGGTA >hg38_chr6:90810094-90810104(+) TAATAATAGTA >hg38_chr6:90812967-90812977(+) gaacaatagaa >hg38_chr6:90839663-90839673(-) acataatgggc >hg38_chr6:90840231-90840241(-) taacaatagtg >hg38_chr6:90840694-90840704(-) caacaatggaa >hg38_chr6:90971211-90971221(-) ACACAATGAGG >hg38_chr6:91013705-91013715(-) TAACAATGGTG >hg38_chr6:91013711-91013721(-) AAACAATAACA >hg38_chr6:91026544-91026554(-) gtataatgata >hg38_chr6:91063842-91063852(-) taacaatgtat >hg38_chr6:91063848-91063858(-) aaacaataaca >hg38_chr6:91081673-91081683(-) GAACAAAGGAT >hg38_chr6:91082946-91082956(+) tgacaatggca >hg38_chr6:91082983-91082993(+) TTACAATGATT >hg38_chr6:91088027-91088037(-) TAACAAAGCGA >hg38_chr6:91096954-91096964(-) GTACAATGGCA >hg38_chr6:91173395-91173405(+) GAACAATGCAT >hg38_chr6:91173453-91173463(-) ATACAATACAC >hg38_chr6:91173816-91173826(+) ttacaatgaat >hg38_chr6:91176422-91176432(-) TTACAATGCAA >hg38_chr6:91267921-91267931(-) AAACAATGGAG >hg38_chr6:91290580-91290590(+) TCACAATGGCT >hg38_chr6:91290640-91290650(+) TGACAATAGAG >hg38_chr6:91299777-91299787(+) GCATAATGGAT >hg38_chr6:91324957-91324967(-) GGACAATGACA >hg38_chr6:91324990-91325000(+) TAACAATGATT >hg38_chr6:91328503-91328513(-) AAACAGTGGGA >hg38_chr6:91376979-91376989(-) aaacaatggag >hg38_chr6:91387765-91387775(+) agacaaagggc >hg38_chr6:91399924-91399934(-) tgacaatggtg >hg38_chr6:91437390-91437400(-) AAACAATGTGA >hg38_chr6:91437432-91437442(-) GAACAATAATC >hg38_chr6:91448124-91448134(-) ATACAGTGGAA >hg38_chr6:91448276-91448286(-) AAACAAAGGCA >hg38_chr6:91448347-91448357(+) CAACAATGGCA >hg38_chr6:91454011-91454021(+) TGACAATAGTA >hg38_chr6:91490838-91490848(+) GGACAATGAAT >hg38_chr6:91549572-91549582(+) GCACAATGAGT >hg38_chr6:91666542-91666552(-) AAACAAAGGCC >hg38_chr6:91678028-91678038(-) TAAGAATGGTA >hg38_chr6:91678727-91678737(-) ACACAATGCAA >hg38_chr6:91743966-91743976(+) tcacaatgcaa >hg38_chr6:91743996-91744006(-) aaacaatggct >hg38_chr6:91796823-91796833(-) GGATAATGGAA >hg38_chr6:91796856-91796866(-) CAACAATAGTG >hg38_chr6:91879224-91879234(-) ATACAATGATC >hg38_chr6:91895660-91895670(+) ACACAAAGACG >hg38_chr6:91913725-91913735(-) aaacaatgaaa >hg38_chr6:91913756-91913766(-) caataatggaa >hg38_chr6:92188800-92188810(+) TAACAAAGGCA >hg38_chr6:92365131-92365141(-) ACACAAAGGAC >hg38_chr6:92416214-92416224(-) GAACAAAGGAT >hg38_chr6:92416227-92416237(-) TAACAATAGTC >hg38_chr6:92492727-92492737(+) taataatggag >hg38_chr6:92538572-92538582(-) GTACAATAGCT >hg38_chr6:92608927-92608937(-) TGACAATGAAT >hg38_chr6:92608938-92608948(-) AAACAATCGTA >hg38_chr6:93051842-93051852(-) GAACAATGCCT >hg38_chr6:93051849-93051859(-) AGACAATGAAC >hg38_chr6:93104345-93104355(-) GAACAATGGGT >hg38_chr6:93121001-93121011(-) AAACAATAGCC >hg38_chr6:93164961-93164971(-) gaacaaaggtc >hg38_chr6:93200190-93200200(+) agacaatgtat >hg38_chr6:93304623-93304633(-) AAACAATGGCC >hg38_chr6:93366718-93366728(-) CAACAATGGCA >hg38_chr6:93369745-93369755(+) gcacaatgtcc >hg38_chr6:93408539-93408549(+) GGACAATAGGA >hg38_chr6:93418644-93418654(-) GAACAATAACG >hg38_chr6:93422798-93422808(+) CAACAATGAAA >hg38_chr6:93468118-93468128(+) GCACAATGAAA >hg38_chr6:93676143-93676153(-) ATACAATGTAA >hg38_chr6:93676165-93676175(-) ttacaatgtct >hg38_chr6:93758696-93758706(-) AAACAATGCTG >hg38_chr6:93778964-93778974(-) GCACAATAGGG >hg38_chr6:93786682-93786692(-) AAACAATGAGG >hg38_chr6:93787127-93787137(+) TAACAATAGGA >hg38_chr6:93787178-93787188(-) TTACAAAGGGA >hg38_chr6:93798313-93798323(+) aaacaatgagg >hg38_chr6:93836327-93836337(-) acataatggta >hg38_chr6:93858381-93858391(+) TAACAATGGGT >hg38_chr6:93917419-93917429(+) AGACAATAGAC >hg38_chr6:93969303-93969313(+) CGACAATGCAG >hg38_chr6:94008384-94008394(-) atataatgtcg >hg38_chr6:94046973-94046983(-) ATACAATGTGG >hg38_chr6:94048990-94049000(-) GTACAATGCTT >hg38_chr6:94065908-94065918(+) GCACAATGCCT >hg38_chr6:94071352-94071362(+) TAACAATAGCT >hg38_chr6:94071542-94071552(-) CAACAATGGTA >hg38_chr6:94081433-94081443(-) GCACAATGCAT >hg38_chr6:94090141-94090151(+) TAACAATGATT >hg38_chr6:94099150-94099160(-) gaacaataata >hg38_chr6:94194925-94194935(-) GCACAATAGTA >hg38_chr6:94194970-94194980(+) aaacaataaaa >hg38_chr6:94196490-94196500(-) CAACAATGCAG >hg38_chr6:94213401-94213411(-) ACACAATGATG >hg38_chr6:94284155-94284165(-) TAACAATAAAC >hg38_chr6:94349742-94349752(+) CTACAATGTGA >hg38_chr6:94397824-94397834(-) aaacaatgggc >hg38_chr6:94615305-94615315(+) ttacaatggca >hg38_chr6:94669056-94669066(+) agacaatgggg >hg38_chr6:94691259-94691269(+) atacaataggg >hg38_chr6:95076400-95076410(-) CGACAATGGAG >hg38_chr6:95228759-95228769(-) TTACAATGCAT >hg38_chr6:95239772-95239782(-) AGACAATAGAG >hg38_chr6:95248221-95248231(+) TGACAATGGTG >hg38_chr6:95366128-95366138(+) aaacagtggga >hg38_chr6:95401993-95402003(+) ATACAATAATT >hg38_chr6:95513520-95513530(-) CCACAATGTCT >hg38_chr6:95515414-95515424(+) AGACAGTGGAA >hg38_chr6:95515441-95515451(+) TGACAATGAAG >hg38_chr6:95529937-95529947(+) ACACAATGGAT >hg38_chr6:95545877-95545887(+) TCACAATGATT >hg38_chr6:95548615-95548625(+) caacaatgcag >hg38_chr6:95549406-95549416(-) aaacaatgcat >hg38_chr6:95556450-95556460(-) gtacaatgagg >hg38_chr6:95574970-95574980(-) GCACAATGGTG >hg38_chr6:95575309-95575319(-) taacaatgttt >hg38_chr6:95576417-95576427(+) taacaatagca >hg38_chr6:95619010-95619020(+) CTACAATGAGG >hg38_chr6:95657279-95657289(+) ctacaatgcta >hg38_chr6:95662062-95662072(+) caataatggac >hg38_chr6:95662103-95662113(+) gaacaatggac >hg38_chr6:95686003-95686013(+) TTATAATGGAG >hg38_chr6:95713280-95713290(+) acacaataaaa >hg38_chr6:95847234-95847244(+) AGACAAAGGAG >hg38_chr6:95847246-95847256(-) CAACAATGTGA >hg38_chr6:95877769-95877779(+) aaacaaaggCC >hg38_chr6:95978570-95978580(+) TAACAATAGTT >hg38_chr6:96058252-96058262(-) GAACAATGAAT >hg38_chr6:96075990-96076000(-) GGACAATGCAG >hg38_chr6:96094247-96094257(-) agacaaaggga >hg38_chr6:96237003-96237013(-) AAACAATGAGT >hg38_chr6:96385891-96385901(-) tgacaataggc >hg38_chr6:96388557-96388567(-) AAACAATAAAA >hg38_chr6:96388565-96388575(-) GAACAATGAAA >hg38_chr6:96393161-96393171(-) TAACAATAATA >hg38_chr6:96393186-96393196(-) AAACACTGGAC >hg38_chr6:96509657-96509667(+) agacaatgggg >hg38_chr6:96541199-96541209(-) aaacaataaaa >hg38_chr6:96541789-96541799(-) ATACAATAGCA >hg38_chr6:96542353-96542363(+) acacaatgatc >hg38_chr6:96543323-96543333(-) atacaataagt >hg38_chr6:96567333-96567343(-) gaacaatggag >hg38_chr6:96580297-96580307(+) ATACAATGGCT >hg38_chr6:96580345-96580355(+) ATATAATGGTT >hg38_chr6:96586080-96586090(+) CTACAATGGTC >hg38_chr6:96594986-96594996(-) aaacaataata >hg38_chr6:96595299-96595309(-) caacaatagaa >hg38_chr6:96748998-96749008(-) ACACAATGTGT >hg38_chr6:96793402-96793412(-) GTACAATAATA >hg38_chr6:96812062-96812072(+) ATACAATAATC >hg38_chr6:96820351-96820361(-) TAATAATAGTA >hg38_chr6:96824959-96824969(+) ACACAATAGTC >hg38_chr6:96825223-96825233(+) CAACAATGTAG >hg38_chr6:96883636-96883646(+) TAACAATATCT >hg38_chr6:96883670-96883680(+) ACACAAAGGAT >hg38_chr6:96896101-96896111(-) caacaatgtga >hg38_chr6:96898678-96898688(+) AGACAATAAGA >hg38_chr6:96906039-96906049(-) GGACAATGGAG >hg38_chr6:96924947-96924957(-) GCACAATGGCT >hg38_chr6:96931434-96931444(-) CTACAATATAC >hg38_chr6:96931479-96931489(+) ATACAATGGAT >hg38_chr6:96938839-96938849(-) agacaatgaaa >hg38_chr6:96950261-96950271(+) GAACAATGTCT >hg38_chr6:96959892-96959902(-) GTACAAAGGAA >hg38_chr6:97049378-97049388(+) ctacaatgagg >hg38_chr6:97058651-97058661(-) aaacaatagat >hg38_chr6:97058669-97058679(+) aaacaatgcca >hg38_chr6:97069097-97069107(-) GAACAATGCCT >hg38_chr6:97091645-97091655(+) GTACAATGGAG >hg38_chr6:97126569-97126579(+) CCACAATAGCA >hg38_chr6:97147200-97147210(+) GGACAATGATG >hg38_chr6:97147217-97147227(+) TTACAATGGGA >hg38_chr6:97165256-97165266(+) GCACAATGCAT >hg38_chr6:97165684-97165694(+) GGACAAAGGAA >hg38_chr6:97165714-97165724(+) AGACAAAGGCA >hg38_chr6:97166042-97166052(-) atacaatatta >hg38_chr6:97172547-97172557(-) TAACAATGGAG >hg38_chr6:97186644-97186654(-) GGACAATGTGG >hg38_chr6:97200418-97200428(-) TAATAATGGAG >hg38_chr6:97281090-97281100(-) TAACAATAATC >hg38_chr6:97283729-97283739(+) caacaatagca >hg38_chr6:97307207-97307217(+) TTACAATAGTG >hg38_chr6:97317185-97317195(-) GAACAATAGTC >hg38_chr6:97370035-97370045(+) GGACAATGACT >hg38_chr6:97405758-97405768(+) aaaCAATCGTG >hg38_chr6:97405817-97405827(+) TAACAATGAAA >hg38_chr6:97430989-97430999(-) acataatggaa >hg38_chr6:97497500-97497510(-) AAACAATAATA >hg38_chr6:97524944-97524954(+) CAACAATGGCA >hg38_chr6:97527690-97527700(-) ATACAATAAAA >hg38_chr6:97656452-97656462(-) GAACAATGCTC >hg38_chr6:97705419-97705429(-) GTACAATACAA >hg38_chr6:97705650-97705660(+) AAATAATGGTC >hg38_chr6:97778514-97778524(+) gtacaattgac >hg38_chr6:97814190-97814200(+) taacaatgtgg >hg38_chr6:97849887-97849897(-) CAATAATGGAA >hg38_chr6:97851057-97851067(-) ACACAATAGCA >hg38_chr6:97879104-97879114(+) AAACAATGGAC >hg38_chr6:98014935-98014945(+) ACACAATAGCC >hg38_chr6:98038435-98038445(+) GAACAATAAGT >hg38_chr6:98041236-98041246(-) GGACAAAGGAA >hg38_chr6:98056364-98056374(-) TGACAATGTCC >hg38_chr6:98057223-98057233(-) CAACAATGTGA >hg38_chr6:98068455-98068465(+) GGACAATGAGA >hg38_chr6:98125052-98125062(+) GCACAATAGAA >hg38_chr6:98129567-98129577(-) AAACAAAGGAA >hg38_chr6:98237312-98237322(+) AGACAAAGGTC >hg38_chr6:98296040-98296050(-) AGACAATGACA >hg38_chr6:98296737-98296747(-) CTACAATGTGT >hg38_chr6:98344879-98344889(+) ccacaatggac >hg38_chr6:98366636-98366646(-) GAACAATGACG >hg38_chr6:98382430-98382440(+) TTACAATGGAG >hg38_chr6:98444305-98444315(+) ttacaatgaat >hg38_chr6:98444347-98444357(-) gaacaatgaga >hg38_chr6:98452972-98452982(+) TCACAATAGGT >hg38_chr6:98458819-98458829(-) AGACAAAGGAA >hg38_chr6:98458880-98458890(+) ATACAATGGTG >hg38_chr6:98483331-98483341(-) GAACAATAGAT >hg38_chr6:98483349-98483359(-) AAACAATAAAA >hg38_chr6:98516422-98516432(-) TTATAATGGTT >hg38_chr6:98517015-98517025(-) GAACAATAGTT >hg38_chr6:98526105-98526115(+) AGACAATGGGG >hg38_chr6:98526139-98526149(-) ACACAAAGGCA >hg38_chr6:98558645-98558655(+) TTACAATGTTG >hg38_chr6:98575557-98575567(+) ggacaatagct >hg38_chr6:98637108-98637118(-) ACACAATACCG >hg38_chr6:98637131-98637141(-) TTACAATGAAA >hg38_chr6:98640666-98640676(+) TCACAATGGGC >hg38_chr6:98641232-98641242(-) GCACAATGGGG >hg38_chr6:98641555-98641565(+) GAACAATGTAA >hg38_chr6:98641883-98641893(+) GTACAGTGGGA >hg38_chr6:98642056-98642066(-) GCACAATGGAC >hg38_chr6:98645557-98645567(+) ATACAATAGGA >hg38_chr6:98645598-98645608(-) TGACAATAGCT >hg38_chr6:98649961-98649971(-) agacaatgtct >hg38_chr6:98672852-98672862(-) TCACAAAGGAA >hg38_chr6:98679771-98679781(-) AAACAAAGGCC >hg38_chr6:98679780-98679790(-) ATACACTGGAA >hg38_chr6:98716647-98716657(+) CCACAATGCAT >hg38_chr6:98718190-98718200(-) TGACAATgagt >hg38_chr6:98720845-98720855(-) AAACAATGAAT >hg38_chr6:98725379-98725389(-) TCACAAtggtt >hg38_chr6:98774748-98774758(+) ctacaatagaa >hg38_chr6:98784778-98784788(+) ctataatggag >hg38_chr6:98789413-98789423(-) TTACAATGAGA >hg38_chr6:98789452-98789462(+) AGACAATAGGA >hg38_chr6:98812338-98812348(+) ATACAATGAGC >hg38_chr6:98827910-98827920(+) GGACAATGGCC >hg38_chr6:98829390-98829400(-) TAACAATGGAG >hg38_chr6:98842184-98842194(-) TTACAATGGCT >hg38_chr6:98871835-98871845(-) tcacaatagaa >hg38_chr6:98872035-98872045(-) aaacaatgttg >hg38_chr6:98883628-98883638(-) gaacaatgtgg >hg38_chr6:98883658-98883668(-) atacaatgaag >hg38_chr6:98896318-98896328(+) TGACAATGGAG >hg38_chr6:98931838-98931848(-) AGACAATGGCT >hg38_chr6:98950485-98950495(-) acacaatggag >hg38_chr6:98951363-98951373(-) gtacaataaag >hg38_chr6:98963565-98963575(-) GTACAATAGTT >hg38_chr6:98963982-98963992(+) gaacaatcgcc >hg38_chr6:98978034-98978044(-) TAACAATGAAG >hg38_chr6:98978047-98978057(+) GAACAATGGCA >hg38_chr6:98978073-98978083(-) AAACAATGCCC >hg38_chr6:98986282-98986292(+) ACACAATAAAT >hg38_chr6:98988672-98988682(-) GTATAATGAAA >hg38_chr6:99011724-99011734(+) TTATAATGGAA >hg38_chr6:99011813-99011823(+) ttataatggag >hg38_chr6:99011833-99011843(-) agacaataggc >hg38_chr6:99020234-99020244(+) gaacaatggca >hg38_chr6:99020266-99020276(+) gtacaatgtcc >hg38_chr6:99050697-99050707(+) aaacaatgtat >hg38_chr6:99050702-99050712(-) taacaatacat >hg38_chr6:99066268-99066278(-) GAATAATGGGC >hg38_chr6:99072227-99072237(+) acacaaagggt >hg38_chr6:99072294-99072304(+) tgacaatggcc >hg38_chr6:99086510-99086520(-) GAACAATAGTC >hg38_chr6:99101513-99101523(-) acacactggta >hg38_chr6:99101534-99101544(-) caacAAtgatt >hg38_chr6:99214269-99214279(+) aaacaatgtgt >hg38_chr6:99214300-99214310(+) ggacaatagaa >hg38_chr6:99269472-99269482(+) tgataatggtg >hg38_chr6:99269481-99269491(+) tgacaatgaCa >hg38_chr6:99269487-99269497(+) tgaCaatggta >hg38_chr6:99269496-99269506(+) taacaatgata >hg38_chr6:99334730-99334740(+) ttacaatgcaa >hg38_chr6:99344395-99344405(-) GAACAATGCGA >hg38_chr6:99348122-99348132(-) ACACAATAGGT >hg38_chr6:99412615-99412625(-) CTACAATGGAA >hg38_chr6:99414004-99414014(+) ctacaatagaa >hg38_chr6:99415768-99415778(-) ATACAAAGGCT >hg38_chr6:99416054-99416064(+) TTACAATGACA >hg38_chr6:99416682-99416692(+) AAACAATGTCA >hg38_chr6:99420541-99420551(-) ATACTATGGTG >hg38_chr6:99471242-99471252(-) TAACAATGAGG >hg38_chr6:99471855-99471865(+) AGACAATGCAG >hg38_chr6:99549424-99549434(+) GTACAATAGGG >hg38_chr6:99575157-99575167(+) GAACAATAATC >hg38_chr6:99709194-99709204(+) ttacaatgtgt >hg38_chr6:99853801-99853811(-) GCACAATGAGC >hg38_chr6:99853842-99853852(+) AGACAATAAAT >hg38_chr6:99866766-99866776(+) aaacaatggtg >hg38_chr6:99867805-99867815(+) taataatgata >hg38_chr6:99889147-99889157(+) CAACAATGGAT >hg38_chr6:99934712-99934722(+) CAACAATGCTT >hg38_chr6:99998305-99998315(-) CAACAATGCAT >hg38_chr6:100010546-100010556(+) AGACAATGTAT >hg38_chr6:100013881-100013891(+) TAACTATGGAC >hg38_chr6:100013951-100013961(-) AGACAAAGGCA >hg38_chr6:100045601-100045611(+) CAACAATAGGA >hg38_chr6:100078613-100078623(+) ctacaatgagc >hg38_chr6:100086147-100086157(-) aaacaataact >hg38_chr6:100099988-100099998(+) GGACAATGGTG >hg38_chr6:100118570-100118580(+) gaataatggga >hg38_chr6:100161421-100161431(-) cgacaatgaaa >hg38_chr6:100167791-100167801(+) AGACGATGGTT >hg38_chr6:100210675-100210685(-) GAACAATAGAG >hg38_chr6:100216682-100216692(+) CCACAATAGAC >hg38_chr6:100228679-100228689(+) ACACAAAGGAG >hg38_chr6:100228692-100228702(+) ACACAAAGGAA >hg38_chr6:100235949-100235959(+) TCACAATAGAT >hg38_chr6:100240229-100240239(+) caacaatggtg >hg38_chr6:100255203-100255213(-) atacaatacaa >hg38_chr6:100255208-100255218(-) atacaatacaa >hg38_chr6:100255213-100255223(-) atacaatacaa >hg38_chr6:100255218-100255228(-) atacaatacaa >hg38_chr6:100255223-100255233(-) atacaatacaa >hg38_chr6:100255228-100255238(-) atacaatacaa >hg38_chr6:100255233-100255243(-) atacaatacaa >hg38_chr6:100255238-100255248(-) atacaatacaa >hg38_chr6:100255243-100255253(-) atacaatacaa >hg38_chr6:100255248-100255258(-) atacaatacaa >hg38_chr6:100255253-100255263(-) atacaatacaa >hg38_chr6:100255258-100255268(-) atacaatacaa >hg38_chr6:100256331-100256341(+) AGACTATGGAA >hg38_chr6:100256355-100256365(-) GTACAAAGATA >hg38_chr6:100258005-100258015(+) AAACACTGGAC >hg38_chr6:100287477-100287487(-) TGACAATGGAG >hg38_chr6:100289496-100289506(+) tgacaatgctg >hg38_chr6:100290586-100290596(+) TAACAATGTTA >hg38_chr6:100297375-100297385(+) AAACAATACTA >hg38_chr6:100297442-100297452(-) AAACAATGGAC >hg38_chr6:100307411-100307421(-) AAACAATGCAG >hg38_chr6:100324115-100324125(+) ctacaatgctt >hg38_chr6:100324564-100324574(+) ccacaatagga >hg38_chr6:100344640-100344650(-) CTACAATGCAT >hg38_chr6:100349540-100349550(-) TAACAATGCCC >hg38_chr6:100349590-100349600(-) AGACAATGTTA >hg38_chr6:100408360-100408370(-) aaacaatatta >hg38_chr6:100442238-100442248(+) ATACAATAATA >hg38_chr6:100442635-100442645(-) aaacaatataa >hg38_chr6:100445400-100445410(+) TAACAATGTGC >hg38_chr6:100493349-100493359(-) ATACAGTGGGG >hg38_chr6:100549327-100549337(+) AAACAATGTTA >hg38_chr6:100553484-100553494(-) ATATAATGGAC >hg38_chr6:100558448-100558458(+) AAACAATGTTC >hg38_chr6:100566601-100566611(+) ttacaatggat >hg38_chr6:100573334-100573344(-) GAATAATGGTG >hg38_chr6:100573973-100573983(+) GGACAATGTCA >hg38_chr6:100593735-100593745(+) TAACAATGACA >hg38_chr6:100610614-100610624(+) ATACAATGTCT >hg38_chr6:100633253-100633263(-) ggacaaaggga >hg38_chr6:100638706-100638716(+) ATACAATGTAT >hg38_chr6:100647965-100647975(+) aaacaatgtgt >hg38_chr6:100656525-100656535(+) AAACAGTGGAT >hg38_chr6:100658123-100658133(+) ctacaatgaca >hg38_chr6:100658148-100658158(-) GCACAATGGAT >hg38_chr6:100668581-100668591(+) atataatggca >hg38_chr6:100668625-100668635(+) atacaataaca >hg38_chr6:100668631-100668641(+) taacaatatct >hg38_chr6:100668864-100668874(+) TGACAATATAC >hg38_chr6:100673680-100673690(+) CAACAATGTCC >hg38_chr6:100678099-100678109(+) aaacaatgcct >hg38_chr6:100678389-100678399(+) GTACAATAAGT >hg38_chr6:100714426-100714436(+) TCACAATAGTT >hg38_chr6:100714967-100714977(-) AAACAATGTGA >hg38_chr6:100716472-100716482(+) TGACAATGATT >hg38_chr6:100717096-100717106(-) ACACAAAGGGA >hg38_chr6:100780746-100780756(+) AAACAATGGAA >hg38_chr6:100792173-100792183(-) GTACAATACTA >hg38_chr6:100800405-100800415(-) AAACAATATCG >hg38_chr6:100823885-100823895(+) GAACAATGCTA >hg38_chr6:100824940-100824950(+) atacaataaag >hg38_chr6:100825022-100825032(+) ctacaatgatt >hg38_chr6:100827931-100827941(-) TGATAATGGAT >hg38_chr6:100827945-100827955(+) AGACAAAGGCA >hg38_chr6:100830632-100830642(-) agacaaaggac >hg38_chr6:100834367-100834377(-) TCACAATGACA >hg38_chr6:100835239-100835249(-) gaacaataaac >hg38_chr6:100842167-100842177(+) AAACAATGTAC >hg38_chr6:100845648-100845658(-) TGACAATAGTA >hg38_chr6:100846976-100846986(+) atacaataagc >hg38_chr6:100846987-100846997(+) atacaataaat >hg38_chr6:100850418-100850428(-) GTACAATGTAC >hg38_chr6:100850431-100850441(+) ATACAATGGTA >hg38_chr6:100850452-100850462(-) CTACAGTGGTA >hg38_chr6:100857087-100857097(+) taacaataggt >hg38_chr6:100857618-100857628(-) aaacaatagtg >hg38_chr6:100864510-100864520(+) TAACAATATTA >hg38_chr6:100864519-100864529(-) TAACAATAATA >hg38_chr6:100876435-100876445(+) GAACAAAGGTT >hg38_chr6:100883319-100883329(+) ggacaatgtgc >hg38_chr6:100883351-100883361(-) acacaatggta >hg38_chr6:100928943-100928953(+) ctacaatgcac >hg38_chr6:100939203-100939213(+) gcacaaaggta >hg38_chr6:100978448-100978458(-) GGACAATAGCC >hg38_chr6:100979718-100979728(-) CCACAATGACC >hg38_chr6:100979727-100979737(+) GGACACTGGTA >hg38_chr6:100996960-100996970(+) gaacaatgtgt >hg38_chr6:101033296-101033306(+) acataatggaa >hg38_chr6:101036659-101036669(+) caacaatgcac >hg38_chr6:101045014-101045024(-) AAACAAAGGTG >hg38_chr6:101081543-101081553(+) CTATAATGGAC >hg38_chr6:101083847-101083857(+) GTACAATGGGC >hg38_chr6:101084927-101084937(-) TTACAATGCAT >hg38_chr6:101089430-101089440(-) gaacaatgaag >hg38_chr6:101089857-101089867(-) ccacaatgatt >hg38_chr6:101090315-101090325(-) ccacaatgaca >hg38_chr6:101090356-101090366(-) agacaatggac >hg38_chr6:101118252-101118262(-) GAACAATAAAA >hg38_chr6:101150310-101150320(-) TAACAATGTGT >hg38_chr6:101169206-101169216(-) acacaatgcaa >hg38_chr6:101179655-101179665(+) TTACTATGGCT >hg38_chr6:101195744-101195754(-) TGACAAAGGAA >hg38_chr6:101196440-101196450(-) AAACAATGTCA >hg38_chr6:101241815-101241825(-) aaacaatgcaa >hg38_chr6:101286970-101286980(+) TGACAATATAC >hg38_chr6:101287023-101287033(-) GGACTATGGGA >hg38_chr6:101299695-101299705(+) TAACAATAAAT >hg38_chr6:101305307-101305317(-) tcacaatagct >hg38_chr6:101305324-101305334(+) atacaataaac >hg38_chr6:101311639-101311649(+) ATACAATATGC >hg38_chr6:101311692-101311702(-) ATACAAAGGCG >hg38_chr6:101318343-101318353(-) atacagtggaa >hg38_chr6:101319317-101319327(-) agacaatatag >hg38_chr6:101319329-101319339(-) ctacaatgatc >hg38_chr6:101326286-101326296(-) aaacaatgggt >hg38_chr6:101329130-101329140(-) atacaataaga >hg38_chr6:101349665-101349675(+) tgacaatgctg >hg38_chr6:101381069-101381079(+) AGACAATGCCA >hg38_chr6:101381119-101381129(+) TCACAATGACC >hg38_chr6:101387813-101387823(+) GAACAATGCAT >hg38_chr6:101396662-101396672(-) TGACAATGTGT >hg38_chr6:101407020-101407030(+) GCACAATGAGA >hg38_chr6:101423081-101423091(+) TAACAATGACC >hg38_chr6:101435250-101435260(-) AGACAAAGGTA >hg38_chr6:101445568-101445578(-) GGACAATAGAT >hg38_chr6:101479639-101479649(+) GAACAATAAGT >hg38_chr6:101533702-101533712(+) AGACAATGTGA >hg38_chr6:101534267-101534277(+) AGACACTGGTA >hg38_chr6:101544510-101544520(-) CAACAATGTTA >hg38_chr6:101572379-101572389(-) TCACAATGATT >hg38_chr6:101572434-101572444(+) ATACAATATGC >hg38_chr6:101583012-101583022(+) GAACAATGAAA >hg38_chr6:101583465-101583475(-) aaataatgggg >hg38_chr6:101598313-101598323(-) AGACAAAGGAA >hg38_chr6:101615091-101615101(+) AAACAAAGGAG >hg38_chr6:101620815-101620825(+) CAACAATGATT >hg38_chr6:101641945-101641955(-) CCACAATAGAT >hg38_chr6:101650923-101650933(-) AAACAATGCTC >hg38_chr6:101655763-101655773(+) GAACAATGTAT >hg38_chr6:101668631-101668641(+) ATACAATGCAT >hg38_chr6:101673936-101673946(+) GAACAATGTTG >hg38_chr6:101676118-101676128(+) ATACAATACAA >hg38_chr6:101712851-101712861(+) ACACAAAGGCA >hg38_chr6:101730560-101730570(-) TAACAATGATT >hg38_chr6:101759465-101759475(-) atacaatgtgt >hg38_chr6:101761230-101761240(+) AAACAATGTGA >hg38_chr6:101763144-101763154(+) GGACAATGTAC >hg38_chr6:101776892-101776902(+) TAACAGTGGGT >hg38_chr6:101777144-101777154(+) GCATAATGGCA >hg38_chr6:101811268-101811278(+) AAACTATGGCT >hg38_chr6:101830938-101830948(+) gaacaatagat >hg38_chr6:101846395-101846405(-) gaacaaagggc >hg38_chr6:101849281-101849291(-) GAACAAAGGAT >hg38_chr6:101849310-101849320(-) TTACTATGGAC >hg38_chr6:101876580-101876590(-) CAACAATGGTG >hg38_chr6:101901429-101901439(+) AAACAATATTA >hg38_chr6:101912466-101912476(+) caacaatgatt >hg38_chr6:101912510-101912520(+) gaataatggct >hg38_chr6:101913435-101913445(+) AAACAATAGTA >hg38_chr6:101919032-101919042(+) AAACAAAGGCA >hg38_chr6:101919048-101919058(+) AGACAATGGGC >hg38_chr6:101953960-101953970(-) atacaaaggga >hg38_chr6:101969221-101969231(-) atacaatagct >hg38_chr6:101969489-101969499(+) GAACAATGACC >hg38_chr6:102002675-102002685(+) ATACAATAATC >hg38_chr6:102017579-102017589(+) ggacaatgccc >hg38_chr6:102026575-102026585(+) ACACAATGAAC >hg38_chr6:102085389-102085399(-) ACACTATGGGT >hg38_chr6:102156649-102156659(-) gaacaataggt >hg38_chr6:102163961-102163971(-) TAACAATGGCG >hg38_chr6:102344771-102344781(-) ACACAAAGGTC >hg38_chr6:102553484-102553494(-) TGACAATATAC >hg38_chr6:102562830-102562840(+) TCACAATGTCA >hg38_chr6:102571348-102571358(+) aaacaaaggag >hg38_chr6:102574497-102574507(-) tgacaatggaa >hg38_chr6:102848541-102848551(+) acacaatgaaa >hg38_chr6:102849010-102849020(-) AAACAATGATT >hg38_chr6:102850752-102850762(-) CAACAATGATA >hg38_chr6:102850801-102850811(+) CAACAATGTCC >hg38_chr6:102852019-102852029(-) CCACAATGAAA >hg38_chr6:103029064-103029074(+) tgacaatgaga >hg38_chr6:103085961-103085971(+) AAACAATAACT >hg38_chr6:103534988-103534998(+) aaacaaagggc >hg38_chr6:103583740-103583750(+) taacaataaat >hg38_chr6:103622043-103622053(+) GAACAATGGGA >hg38_chr6:103648416-103648426(-) AGACAATAGGC >hg38_chr6:103673598-103673608(+) gtacaatgaac >hg38_chr6:103719858-103719868(-) ATACAATAACT >hg38_chr6:103864074-103864084(-) agacagtggaa >hg38_chr6:103872952-103872962(-) AAACAAAGGCA >hg38_chr6:103893282-103893292(-) TTACAATAATC >hg38_chr6:103893347-103893357(+) GGACAATGCTG >hg38_chr6:103925567-103925577(+) AAATAATGGCC >hg38_chr6:103925594-103925604(+) ACACAAAGGAT >hg38_chr6:103942618-103942628(+) aaacaatgggc >hg38_chr6:104131996-104132006(-) gtacaataaga >hg38_chr6:104132011-104132021(-) gaacaataaag >hg38_chr6:104170137-104170147(+) TAACAATGCCG >hg38_chr6:104232172-104232182(-) ctacaatgcac >hg38_chr6:104302108-104302118(-) CGACAATGAGA >hg38_chr6:104348244-104348254(-) gcataatggca >hg38_chr6:104367452-104367462(+) gaacaatgtac >hg38_chr6:104414138-104414148(+) TCATAATGGAG >hg38_chr6:104450157-104450167(-) GAACAATAGCC >hg38_chr6:104477808-104477818(-) AGACAATGCTC >hg38_chr6:104508650-104508660(+) TGACAAAGGAC >hg38_chr6:104516757-104516767(-) CAACAATAGTG >hg38_chr6:104517105-104517115(+) GTACAATAAGA >hg38_chr6:104549129-104549139(+) TGACAATGAGA >hg38_chr6:104549205-104549215(+) TCACAATATAA >hg38_chr6:104575650-104575660(+) ttacaatatta >hg38_chr6:104585410-104585420(-) GTACAATGGCA >hg38_chr6:104620004-104620014(+) GCACAATGTAT >hg38_chr6:104631217-104631227(+) TAACAATGACA >hg38_chr6:104648058-104648068(+) TTACAATGTTC >hg38_chr6:104651512-104651522(+) TAACAATGaat >hg38_chr6:104651519-104651529(+) Gaataatggct >hg38_chr6:104683299-104683309(+) GGACAATGAAG >hg38_chr6:104683329-104683339(-) GAACAATAATA >hg38_chr6:104690624-104690634(+) gaacaatagag >hg38_chr6:104693194-104693204(+) ACACAAAGGGA >hg38_chr6:104729218-104729228(+) ATACAATAGTT >hg38_chr6:104770224-104770234(-) GAACAATACTA >hg38_chr6:104810679-104810689(+) caacaatgcat >hg38_chr6:104847470-104847480(-) TAACAATGTGC >hg38_chr6:104847488-104847498(+) GAACAGTGGAC >hg38_chr6:104852646-104852656(-) GGATAATGGGA >hg38_chr6:104860317-104860327(-) TTACAATGAAG >hg38_chr6:104860334-104860344(+) TGACAATAGCT >hg38_chr6:104935298-104935308(+) taacaatgtat >hg38_chr6:104935358-104935368(-) aaacaatagta >hg38_chr6:104943969-104943979(+) ACACAATGATG >hg38_chr6:104943982-104943992(+) CGACAAAGTCG >hg38_chr6:104956273-104956283(+) GAACAATCGCC >hg38_chr6:104957492-104957502(-) AAACAATAGCC >hg38_chr6:104969522-104969532(+) GCACAATAGTT >hg38_chr6:104971119-104971129(+) AAACAATAGTT >hg38_chr6:104976447-104976457(+) ggaCAATGGAA >hg38_chr6:105015892-105015902(+) ttactatgggg >hg38_chr6:105015904-105015914(+) gtataatgaaa >hg38_chr6:105027920-105027930(+) AAACAATAAAT >hg38_chr6:105036567-105036577(+) AGACAATGTGC >hg38_chr6:105036598-105036608(-) ACACAATGTCT >hg38_chr6:105056828-105056838(-) agacaatgaaa >hg38_chr6:105075294-105075304(+) GAACAAAGGGG >hg38_chr6:105086209-105086219(+) GGACAATGCCC >hg38_chr6:105104831-105104841(+) GGACAATGGGT >hg38_chr6:105135127-105135137(-) GGACAATGGGA >hg38_chr6:105135159-105135169(+) ggacaatgttt >hg38_chr6:105164728-105164738(+) GCACAATGGAT >hg38_chr6:105174633-105174643(-) ttataatggtg >hg38_chr6:105174665-105174675(-) tcacaatggtt >hg38_chr6:105178741-105178751(-) TGACAATAGAA >hg38_chr6:105178756-105178766(+) GAACAATGCCA >hg38_chr6:105178767-105178777(-) GTACAATAACT >hg38_chr6:105184686-105184696(-) aaactatggca >hg38_chr6:105184701-105184711(-) agataatggat >hg38_chr6:105184743-105184753(-) tcacaatagtc >hg38_chr6:105185011-105185021(-) aaacaatgaga >hg38_chr6:105227637-105227647(+) GAACAATGAGC >hg38_chr6:105252540-105252550(+) atacagtggac >hg38_chr6:105313367-105313377(-) AGACAATAGGA >hg38_chr6:105319463-105319473(+) GAACAATGATG >hg38_chr6:105319513-105319523(-) TCACAAAGGAA >hg38_chr6:105319653-105319663(+) GAACAAAGGGC >hg38_chr6:105326936-105326946(-) AAACAATGAAA >hg38_chr6:105402714-105402724(+) AAACAAAGGCC >hg38_chr6:105406289-105406299(-) CAACAATAGTC >hg38_chr6:105453790-105453800(+) acacaataggt >hg38_chr6:105460067-105460077(-) GAACAAtgggc >hg38_chr6:105475182-105475192(-) caacaatgaga >hg38_chr6:105484892-105484902(+) ATACAAAGGAA >hg38_chr6:105488408-105488418(+) ATACAATGGCT >hg38_chr6:105499456-105499466(-) TGACAATGCAA >hg38_chr6:105499859-105499869(+) TAACAATGATG >hg38_chr6:105499911-105499921(-) TGACAATGGTA >hg38_chr6:105515249-105515259(-) gaacaatggga >hg38_chr6:105547142-105547152(+) AGACAATAGGT >hg38_chr6:105547167-105547177(+) ATACAAAGGTA >hg38_chr6:105553424-105553434(-) AAACAATAAAT >hg38_chr6:105579057-105579067(-) AAACAATGGAA >hg38_chr6:105593543-105593553(-) ACACAAAGGTC >hg38_chr6:105608147-105608157(-) GCACAATGTGC >hg38_chr6:105639342-105639352(-) TGACAATGGGA >hg38_chr6:105656230-105656240(+) ttacaaaggct >hg38_chr6:105660743-105660753(+) taacaattgta >hg38_chr6:105660777-105660787(-) atacaatggct >hg38_chr6:105740697-105740707(+) GTACAATGCCT >hg38_chr6:105767708-105767718(+) AAACAATGGTT >hg38_chr6:105799178-105799188(-) AAACAATGCAG >hg38_chr6:105851075-105851085(-) ATACAAAGGAG >hg38_chr6:105864693-105864703(-) tgacaatagca >hg38_chr6:105870070-105870080(-) taacaatgcag >hg38_chr6:105958163-105958173(+) AGACAATGCCC >hg38_chr6:105991379-105991389(+) TAAcaataata >hg38_chr6:105991415-105991425(-) gcacaatgact >hg38_chr6:105993333-105993343(+) TAACAATAGGA >hg38_chr6:106002218-106002228(+) GAACAATGGGT >hg38_chr6:106003183-106003193(+) gtataatgtat >hg38_chr6:106015197-106015207(-) TTACAATGTGT >hg38_chr6:106015465-106015475(+) aaacaatgaat >hg38_chr6:106016182-106016192(-) acacaatggga >hg38_chr6:106039516-106039526(-) GCACAATGTTT >hg38_chr6:106054011-106054021(-) ACACAATGATC >hg38_chr6:106056924-106056934(+) GGACAATGGGT >hg38_chr6:106085140-106085150(-) ATACAATGAAA >hg38_chr6:106101546-106101556(-) GGACAATGAAA >hg38_chr6:106101582-106101592(+) TAACAATAACC >hg38_chr6:106116292-106116302(+) agacaatgctc >hg38_chr6:106157409-106157419(+) AAACAATGTCA >hg38_chr6:106167197-106167207(+) TCACAATAGAC >hg38_chr6:106170712-106170722(-) caacaatgaaa >hg38_chr6:106187585-106187595(+) ATACAATGTCT >hg38_chr6:106195144-106195154(+) GAACAATGACC >hg38_chr6:106212937-106212947(+) CTACAATGATA >hg38_chr6:106213685-106213695(+) taacaaaggtt >hg38_chr6:106217811-106217821(+) ATACAATATCT >hg38_chr6:106237487-106237497(-) agacaaaggac >hg38_chr6:106239184-106239194(+) ACACAATAGGA >hg38_chr6:106280351-106280361(+) tcacaatagtt >hg38_chr6:106288643-106288653(+) gaacaatatca >hg38_chr6:106301123-106301133(+) ATACAATGTTA >hg38_chr6:106309900-106309910(+) AAACAATATTA >hg38_chr6:106310904-106310914(+) CTACAATGGAA >hg38_chr6:106360429-106360439(+) CGACAATGGCC >hg38_chr6:106390132-106390142(-) GAACAATAACA >hg38_chr6:106443239-106443249(+) TGACAATGCAA >hg38_chr6:106477983-106477993(+) GTACAAAGGAG >hg38_chr6:106487423-106487433(-) ttacaatagga >hg38_chr6:106519962-106519972(+) GAACAATGAGA >hg38_chr6:106520009-106520019(-) GGACAAAGGAG >hg38_chr6:106528514-106528524(+) GTACAATGCTG >hg38_chr6:106547333-106547343(-) atacaatgctt >hg38_chr6:106574895-106574905(-) CCACAATAGGC >hg38_chr6:106574932-106574942(+) GCACAATGAGT >hg38_chr6:106574963-106574973(+) TGACAATGGAA >hg38_chr6:106585688-106585698(+) TGACAATGAGG >hg38_chr6:106593911-106593921(-) TCACAATGAGC >hg38_chr6:106614890-106614900(-) ATACAATAGCT >hg38_chr6:106615129-106615139(+) TAACAATACAA >hg38_chr6:106617585-106617595(-) caacaatggcc >hg38_chr6:106650399-106650409(-) TAACAATAACA >hg38_chr6:106650411-106650421(-) GAACAATGCTC >hg38_chr6:106692298-106692308(-) TTACAAAGGAG >hg38_chr6:106712468-106712478(-) gtacaatgaag >hg38_chr6:106721473-106721483(-) ACACAATGAAA >hg38_chr6:106748805-106748815(+) aaacaatgctt >hg38_chr6:106870782-106870792(-) ACATAATGGCT >hg38_chr6:106871078-106871088(+) gtacaaaggtg >hg38_chr6:106871089-106871099(+) gaacaatggat >hg38_chr6:106895238-106895248(-) GAACAATGGCT >hg38_chr6:106895260-106895270(+) GTACAATGAGT >hg38_chr6:106904866-106904876(+) ccacaatggcc >hg38_chr6:106927662-106927672(-) GAACAATGGAA >hg38_chr6:106966098-106966108(-) tgacaatgagt >hg38_chr6:106972705-106972715(+) GAACAAAGGCA >hg38_chr6:106974354-106974364(-) GAACAATGCAG >hg38_chr6:106974772-106974782(+) CCACAATAGCC >hg38_chr6:106975103-106975113(-) AAACAAAGGAA >hg38_chr6:107088348-107088358(+) ttacaaagggt >hg38_chr6:107105952-107105962(+) AAACAATAGGG >hg38_chr6:107117428-107117438(+) ATACAATAATT >hg38_chr6:107217115-107217125(+) AGACAAAGGAC >hg38_chr6:107217122-107217132(+) GGACAATGAGG >hg38_chr6:107217187-107217197(+) TAACAATGCTC >hg38_chr6:107264187-107264197(+) AGACAATAGCA >hg38_chr6:107307708-107307718(-) caataatggta >hg38_chr6:107314808-107314818(-) AGATAATGGTT >hg38_chr6:107323120-107323130(+) AAACAAAGGGT >hg38_chr6:107341688-107341698(+) GCACAATGGAG >hg38_chr6:107341715-107341725(+) GTACAATGCAC >hg38_chr6:107383726-107383736(+) ccacaatgaca >hg38_chr6:107383776-107383786(+) caacaatagca >hg38_chr6:107488814-107488824(+) GAACAATGGAC >hg38_chr6:107488834-107488844(-) ACACAATGCGA >hg38_chr6:107491415-107491425(-) GAACAATGGAC >hg38_chr6:107496191-107496201(+) AAACAATGTAA >hg38_chr6:107497310-107497320(+) GGATAATGGGT >hg38_chr6:107506291-107506301(+) ATACAATATAA >hg38_chr6:107511891-107511901(+) ttactatggta >hg38_chr6:107530918-107530928(-) TTACAAAGGAC >hg38_chr6:107539607-107539617(-) ACACAATGTGG >hg38_chr6:107560951-107560961(-) TGACAATGGTC >hg38_chr6:107585914-107585924(-) TGACAATGCTT >hg38_chr6:107585942-107585952(-) AAACAATGAGC >hg38_chr6:107606611-107606621(-) ACACAAAGGCA >hg38_chr6:107645915-107645925(+) GAACAAAGGGC >hg38_chr6:107666900-107666910(+) AGACAATGAGC >hg38_chr6:107675419-107675429(+) GAACAATAGGA >hg38_chr6:107691369-107691379(-) GCATAATGGTG >hg38_chr6:107691386-107691396(+) GGACAATGGTG >hg38_chr6:107696377-107696387(+) TTACAATGAGC >hg38_chr6:107700206-107700216(-) TAACAATGGAC >hg38_chr6:107753083-107753093(+) ccacaatgcga >hg38_chr6:107768550-107768560(+) GAACAATAATA >hg38_chr6:107797448-107797458(+) atacaattgat >hg38_chr6:107797463-107797473(+) gtacaatgact >hg38_chr6:107807146-107807156(-) ATACAATGCAA >hg38_chr6:107808084-107808094(-) ACACAAAGGGT >hg38_chr6:107820666-107820676(+) CAACAATGAAA >hg38_chr6:107872349-107872359(+) ATACAATAGGA >hg38_chr6:107882111-107882121(+) TAACAATAGAC >hg38_chr6:107957646-107957656(+) CAACAATGGAG >hg38_chr6:107957682-107957692(+) GGACAATGAAG >hg38_chr6:107960157-107960167(-) TCACAATGCTA >hg38_chr6:107965325-107965335(+) caacaatgtta >hg38_chr6:107980558-107980568(+) CTACAAAGGGA >hg38_chr6:107992254-107992264(-) gaacaaaggcc >hg38_chr6:108030315-108030325(+) GAACTATGGGT >hg38_chr6:108030324-108030334(+) GTACAAAGGAC >hg38_chr6:108030331-108030341(+) GGACAAAGGAG >hg38_chr6:108030366-108030376(+) TTACAATGTAG >hg38_chr6:108119390-108119400(+) GGACAAAGGCG >hg38_chr6:108120520-108120530(-) CCACAAAGGCG >hg38_chr6:108134395-108134405(-) TAACAAAGACG >hg38_chr6:108134463-108134473(-) GCACAATGGGC >hg38_chr6:108143552-108143562(-) CAACAATGTAG >hg38_chr6:108153610-108153620(-) gaacaatgctg >hg38_chr6:108157816-108157826(-) GAACAATGGGC >hg38_chr6:108158399-108158409(-) TTACAAAGGCA >hg38_chr6:108158414-108158424(-) GGACAATAGCT >hg38_chr6:108158446-108158456(-) GTACAAAGCGT >hg38_chr6:108167825-108167835(-) GGACAATGAGG >hg38_chr6:108170929-108170939(+) TTACAATAATA >hg38_chr6:108180517-108180527(+) GAACAATAGAG >hg38_chr6:108227270-108227280(+) TTACAAAGGGG >hg38_chr6:108231522-108231532(-) caacaatgcaa >hg38_chr6:108233152-108233162(-) GGACAATGTAG >hg38_chr6:108242408-108242418(+) atacagtggca >hg38_chr6:108261268-108261278(+) CCACAATGCAC >hg38_chr6:108365081-108365091(+) TAACAATGTAC >hg38_chr6:108365615-108365625(+) GAACAATGAAA >hg38_chr6:108365676-108365686(+) GCACAATAGTA >hg38_chr6:108388069-108388079(-) CAACAATAGCT >hg38_chr6:108425919-108425929(-) TAACAATAGTC >hg38_chr6:108441255-108441265(+) ACACAATAGAT >hg38_chr6:108441271-108441281(+) GGACAATATAA >hg38_chr6:108446790-108446800(-) GGACAATggtg >hg38_chr6:108455906-108455916(-) taacaatagca >hg38_chr6:108457068-108457078(-) ATAcagtggca >hg38_chr6:108457985-108457995(-) aaacaatgcaa >hg38_chr6:108477184-108477194(-) TTACTATGGGA >hg38_chr6:108492670-108492680(+) TAACAAAGGAG >hg38_chr6:108496452-108496462(+) GTACAATGACG >hg38_chr6:108496492-108496502(-) CTACAATGCCA >hg38_chr6:108580773-108580783(-) AAACAATGACA >hg38_chr6:108582572-108582582(-) TAACAAAGGGA >hg38_chr6:108588701-108588711(+) AAACAATGGGC >hg38_chr6:108606308-108606318(-) GAACAAAGGGG >hg38_chr6:108609344-108609354(-) AGACAATGCCC >hg38_chr6:108609354-108609364(-) CCACAATAGCA >hg38_chr6:108623635-108623645(-) ATACAAAGGGG >hg38_chr6:108633634-108633644(+) GTACAATGAAG >hg38_chr6:108641895-108641905(-) ATACAATGAAT >hg38_chr6:108673829-108673839(-) TCACAATGTTT >hg38_chr6:108673888-108673898(-) AAACAATAGGG >hg38_chr6:108698841-108698851(+) TTACAAAGGGG >hg38_chr6:108702856-108702866(+) ctacaatatac >hg38_chr6:108731098-108731108(-) TGACAATGTAA >hg38_chr6:108731410-108731420(+) GAATAATGGGA >hg38_chr6:108731423-108731433(+) TGACAATAGAA >hg38_chr6:108788959-108788969(-) aaacaatagta >hg38_chr6:108856832-108856842(-) atacaatggag >hg38_chr6:108884730-108884740(-) AAACAATGGAC >hg38_chr6:108893553-108893563(-) TTACAATAAGA >hg38_chr6:108902514-108902524(+) ATACAATAGGA >hg38_chr6:108962108-108962118(-) GCACAATGAAA >hg38_chr6:108962120-108962130(+) GAACAATGGTG >hg38_chr6:108965582-108965592(+) ttacaatggag >hg38_chr6:108998625-108998635(+) TCACAATGAAT >hg38_chr6:109007374-109007384(-) CAACAATGCTT >hg38_chr6:109009041-109009051(+) CGACAATGTTA >hg38_chr6:109015434-109015444(-) AAACAATGGTA >hg38_chr6:109029278-109029288(+) GAACAATAGAA >hg38_chr6:109035992-109036002(-) ATACAAAGGGC >hg38_chr6:109036441-109036451(-) TAACAATATTA >hg38_chr6:109065571-109065581(+) TAACAATATGA >hg38_chr6:109065618-109065628(-) TTACAATGGCC >hg38_chr6:109076920-109076930(-) acacaatgatt >hg38_chr6:109092012-109092022(+) ACACAATATAA >hg38_chr6:109092043-109092053(+) GTACAATAGAT >hg38_chr6:109092629-109092639(+) CAACAATAGTT >hg38_chr6:109097956-109097966(+) gcacaatgagt >hg38_chr6:109105025-109105035(-) gtacaatggaa >hg38_chr6:109105042-109105052(-) taacaaaggaa >hg38_chr6:109105056-109105066(-) acacaaaggtc >hg38_chr6:109120758-109120768(-) TAACAATAGTG >hg38_chr6:109120800-109120810(-) GAATAATGGTT >hg38_chr6:109156605-109156615(-) TAATAATGGCC >hg38_chr6:109165696-109165706(+) AGACAATGAAG >hg38_chr6:109195278-109195288(-) ggacaatgggc >hg38_chr6:109221164-109221174(-) acacaaaggca >hg38_chr6:109225861-109225871(-) AGACAATGCGG >hg38_chr6:109265767-109265777(-) AAACAAAGGTG >hg38_chr6:109343697-109343707(+) GTACAGTGGCG >hg38_chr6:109344725-109344735(+) AAACAATGTAA >hg38_chr6:109368243-109368253(+) GAACAATAATC >hg38_chr6:109430059-109430069(-) TGACAATGCTA >hg38_chr6:109455545-109455555(+) CGACACTGGAC >hg38_chr6:109457888-109457898(-) gaacaatgtat >hg38_chr6:109459276-109459286(+) gtacaatggtg >hg38_chr6:109479485-109479495(-) ttacaatagtg >hg38_chr6:109479506-109479516(-) tcacaatggtt >hg38_chr6:109508324-109508334(-) ggacaatgact >hg38_chr6:109512701-109512711(-) TCACAATGAGA >hg38_chr6:109512714-109512724(-) GAACAGTGGGT >hg38_chr6:109513841-109513851(-) taacaatagcc >hg38_chr6:109524556-109524566(+) AGACAATAGGT >hg38_chr6:109524567-109524577(+) GAACAATAATT >hg38_chr6:109731399-109731409(-) atacaatgctg >hg38_chr6:109733727-109733737(-) ATACAATGAAA >hg38_chr6:109776389-109776399(-) AGACAATGTTT >hg38_chr6:109793370-109793380(+) TCACAATGTTA >hg38_chr6:109799317-109799327(-) TGACAATGTAA >hg38_chr6:109893183-109893193(-) ggacaaaggga >hg38_chr6:109901697-109901707(-) AAACAATGAAA >hg38_chr6:109953135-109953145(-) AAACAATAATA >hg38_chr6:110014818-110014828(+) acacaaaggca >hg38_chr6:110014830-110014840(-) aaacaatacgt >hg38_chr6:110014854-110014864(+) taacaaaggtc >hg38_chr6:110021543-110021553(+) taacactggaa >hg38_chr6:110071981-110071991(-) ctataatggaa >hg38_chr6:110079646-110079656(+) CAACAATGGGG >hg38_chr6:110079690-110079700(+) GCACAATGCTC >hg38_chr6:110089636-110089646(+) ggacaataaga >hg38_chr6:110095666-110095676(+) TTACAATGGAA >hg38_chr6:110095709-110095719(-) AAACAATATAA >hg38_chr6:110097631-110097641(+) TAACAAAGGCA >hg38_chr6:110097783-110097793(+) ATACAATGCAT >hg38_chr6:110101039-110101049(-) ATACAATGGAT >hg38_chr6:110135579-110135589(+) gaataatggtc >hg38_chr6:110167099-110167109(+) acacaatgtag >hg38_chr6:110178813-110178823(+) GCACAATGGGC >hg38_chr6:110184830-110184840(+) aaacaatgtaa >hg38_chr6:110199731-110199741(+) GCACAATGATT >hg38_chr6:110199777-110199787(+) GAACAATGGGG >hg38_chr6:110214685-110214695(-) caacaatgttg >hg38_chr6:110214737-110214747(-) atacaatagct >hg38_chr6:110226351-110226361(-) AAATAATGGCA >hg38_chr6:110226376-110226386(+) TTACAATATTA >hg38_chr6:110241566-110241576(-) TAACAATGCTC >hg38_chr6:110270401-110270411(+) AAACAAAGGGT >hg38_chr6:110285719-110285729(-) AGACAATGGGT >hg38_chr6:110292135-110292145(+) ccacaatggtg >hg38_chr6:110292180-110292190(+) aaacaatgctg >hg38_chr6:110295642-110295652(+) AAACAATAGTT >hg38_chr6:110295993-110296003(-) ggacaatgata >hg38_chr6:110327819-110327829(-) TCACAATGATT >hg38_chr6:110328303-110328313(+) AGACAATGTGC >hg38_chr6:110328510-110328520(+) AGATAATGGAT >hg38_chr6:110343679-110343689(+) gaacaatggag >hg38_chr6:110355945-110355955(-) CTACAAAGGAC >hg38_chr6:110364640-110364650(+) CCACAATGAGG >hg38_chr6:110364666-110364676(+) TGACAATGAAA >hg38_chr6:110368503-110368513(-) TAACAATAGTG >hg38_chr6:110415795-110415805(+) GAATAATGGGT >hg38_chr6:110484507-110484517(+) gcacaatgaca >hg38_chr6:110484526-110484536(+) taacaataccg >hg38_chr6:110511607-110511617(+) gaacaatggat >hg38_chr6:110513172-110513182(-) caacaatagct >hg38_chr6:110520401-110520411(+) GAACAATAACT >hg38_chr6:110520445-110520455(-) AAACAATGAAC >hg38_chr6:110528255-110528265(+) GCACAATGTGA >hg38_chr6:110537297-110537307(+) GCACAATGTGC >hg38_chr6:110537328-110537338(-) AGACAATGCCT >hg38_chr6:110613104-110613114(+) TTACAATGGGA >hg38_chr6:110654233-110654243(-) AAACAATGAAG >hg38_chr6:110656654-110656664(+) AAACAATGCTT >hg38_chr6:110656688-110656698(+) TAACAATGTGT >hg38_chr6:110656910-110656920(+) CTACAAAGGTA >hg38_chr6:110674261-110674271(-) agacaatagag >hg38_chr6:110674310-110674320(+) ctacaatggcc >hg38_chr6:110702808-110702818(-) TTATAATGACG >hg38_chr6:110702869-110702879(+) AGACAAAGGTT >hg38_chr6:110708604-110708614(+) TAACAATGGGC >hg38_chr6:110793612-110793622(-) GAACAAAGGGT >hg38_chr6:110823564-110823574(+) caacaatgatc >hg38_chr6:110825356-110825366(-) ATAcaatagtc >hg38_chr6:110866475-110866485(-) tcacaatgggg >hg38_chr6:110874149-110874159(+) AGACAATGCTT >hg38_chr6:110874162-110874172(-) ATACAATAGCC >hg38_chr6:110880402-110880412(-) atacaataaaa >hg38_chr6:110984131-110984141(-) CAACAATGGGG >hg38_chr6:111025046-111025056(+) AAACAATAGTC >hg38_chr6:111089456-111089466(+) aaacaatgctg >hg38_chr6:111098822-111098832(-) CAACAATGACA >hg38_chr6:111148695-111148705(+) gaacaatgtat >hg38_chr6:111183971-111183981(+) tgacaatgata >hg38_chr6:111183993-111184003(+) tgacaatgata >hg38_chr6:111230928-111230938(+) GCACAATAGTT >hg38_chr6:111252191-111252201(+) AGACAATAGAT >hg38_chr6:111299788-111299798(+) AAACAATGTTT >hg38_chr6:111299823-111299833(-) TTACAATGTAC >hg38_chr6:111301575-111301585(+) taacaatgagc >hg38_chr6:111344713-111344723(+) AGACAATGGCA >hg38_chr6:111355858-111355868(+) TGACAATGACA >hg38_chr6:111362309-111362319(+) GAACAAAGGAG >hg38_chr6:111362319-111362329(+) GGACAATATTA >hg38_chr6:111378863-111378873(+) tgacaatgctc >hg38_chr6:111412102-111412112(+) AGACAATGTAC >hg38_chr6:111412578-111412588(-) GAACAATGTTT >hg38_chr6:111417383-111417393(-) GGACAATGCTC >hg38_chr6:111418930-111418940(+) GGACAAAGGAA >hg38_chr6:111435547-111435557(+) atacaatgggg >hg38_chr6:111436142-111436152(+) agacaatgaat >hg38_chr6:111436149-111436159(+) gaataatggat >hg38_chr6:111445989-111445999(+) gaacaatggag >hg38_chr6:111449840-111449850(+) gaacaatgtga >hg38_chr6:111449850-111449860(-) tcataatgggt >hg38_chr6:111467929-111467939(+) acacaATGAAA >hg38_chr6:111481567-111481577(-) AGATAATAGCG >hg38_chr6:111489936-111489946(-) GGACAATGATT >hg38_chr6:111524097-111524107(+) caacaatggcc >hg38_chr6:111531552-111531562(-) GCACAAAGGAA >hg38_chr6:111541338-111541348(+) ACACAATGCTT >hg38_chr6:111553234-111553244(-) ATACAAAGGAG >hg38_chr6:111576562-111576572(-) gaacaatagat >hg38_chr6:111588592-111588602(+) TCACAATGAGG >hg38_chr6:111604478-111604488(+) GGACAATAGCA >hg38_chr6:111609321-111609331(+) GAACAAAGGGA >hg38_chr6:111686550-111686560(+) AGACAATGGCT >hg38_chr6:111695728-111695738(+) TAACAATGCTG >hg38_chr6:111702025-111702035(-) GGACAAAGGCA >hg38_chr6:111702040-111702050(+) GAACAATAGAA >hg38_chr6:111706603-111706613(+) GAACAATGGAT >hg38_chr6:111706969-111706979(+) ATACAAAGGAA >hg38_chr6:111711478-111711488(+) TTACAACGGGT >hg38_chr6:111718535-111718545(+) GGACAATGGCT >hg38_chr6:111734730-111734740(-) TTACTATGGTG >hg38_chr6:111745646-111745656(+) GCACAATGGAT >hg38_chr6:111750874-111750884(+) ACACAATGGCC >hg38_chr6:111750951-111750961(-) GCACAATAGAC >hg38_chr6:111783366-111783376(+) GAACAAAGGCT >hg38_chr6:111833685-111833695(-) GTACAAAGGAA >hg38_chr6:111840996-111841006(-) TTACAATGGAG >hg38_chr6:111841932-111841942(+) TAACAATGATT >hg38_chr6:111843830-111843840(+) CCACAATGACA >hg38_chr6:111845535-111845545(+) GAACAATGTTT >hg38_chr6:111847799-111847809(-) GAACAATATAT >hg38_chr6:111858637-111858647(+) gaacaatgtct >hg38_chr6:111862108-111862118(-) GAACAATAGCC >hg38_chr6:111862910-111862920(+) AAACAAAGGCC >hg38_chr6:111888990-111889000(+) GCACAATGCGG >hg38_chr6:111889199-111889209(-) CTACAATACCG >hg38_chr6:111890412-111890422(+) GAACAAAGGCT >hg38_chr6:111921329-111921339(+) GAACAATGCTG >hg38_chr6:111950833-111950843(+) ATACAATGGAG >hg38_chr6:111974155-111974165(-) TTACTATGGAA >hg38_chr6:111997409-111997419(+) GAACAAAGGCG >hg38_chr6:111997437-111997447(-) AAACAATGAGA >hg38_chr6:112014538-112014548(+) TAACAATGATT >hg38_chr6:112029349-112029359(-) ACACAATGGAA >hg38_chr6:112043543-112043553(+) TGACAATGTGA >hg38_chr6:112043568-112043578(+) TGACTATGGGA >hg38_chr6:112087903-112087913(+) GAACAATGGCG >hg38_chr6:112092909-112092919(+) caataatggaa >hg38_chr6:112127132-112127142(-) gaacaatgcat >hg38_chr6:112128214-112128224(-) TTACAATGGTT >hg38_chr6:112131008-112131018(-) GTACAATGATG >hg38_chr6:112209249-112209259(-) TTACAATGGGC >hg38_chr6:112214860-112214870(+) TGACAATGTCA >hg38_chr6:112214866-112214876(-) TTACAATGACA >hg38_chr6:112217475-112217485(-) ctacaatgggt >hg38_chr6:112223854-112223864(-) gtacaatagaa >hg38_chr6:112231599-112231609(-) GAACAAAGGAG >hg38_chr6:112231623-112231633(+) TGACAATGGGC >hg38_chr6:112244964-112244974(+) GAACAATATAA >hg38_chr6:112253303-112253313(-) TTACAATAGCT >hg38_chr6:112269361-112269371(+) GAACAATAGGC >hg38_chr6:112291232-112291242(-) TGACTATGGAT >hg38_chr6:112291273-112291283(-) ATACAATGTGT >hg38_chr6:112291281-112291291(-) GAACAATAATA >hg38_chr6:112330185-112330195(+) ACACAATGAAA >hg38_chr6:112430839-112430849(-) ACACAATGACT >hg38_chr6:112431222-112431232(-) agacaataggg >hg38_chr6:112435813-112435823(-) AAACAATGACC >hg38_chr6:112458559-112458569(-) agacaaaggaa >hg38_chr6:112460939-112460949(+) taacaatcggc >hg38_chr6:112475062-112475072(-) AAACAAAGGGT >hg38_chr6:112475339-112475349(-) ATACAATGCAG >hg38_chr6:112476383-112476393(-) ATACAATACAT >hg38_chr6:112476396-112476406(+) ACACAATGCCT >hg38_chr6:112499917-112499927(+) AGACAATGCCT >hg38_chr6:112503203-112503213(-) ACACAATGCCA >hg38_chr6:112503512-112503522(-) ATACAATAGCC >hg38_chr6:112503561-112503571(+) CAACAATGGGC >hg38_chr6:112505153-112505163(-) GAACAATAGAT >hg38_chr6:112511570-112511580(+) atacaatgtag >hg38_chr6:112512314-112512324(-) aaataatgggg >hg38_chr6:112513638-112513648(+) atacaatgtgg >hg38_chr6:112525356-112525366(+) AGACAATGGCT >hg38_chr6:112525375-112525385(-) TAACAATGTCC >hg38_chr6:112527472-112527482(+) aaacaatgcct >hg38_chr6:112538751-112538761(-) CAACAATGAGA >hg38_chr6:112569052-112569062(+) gtacaatggac >hg38_chr6:112586827-112586837(-) tcacaatgaag >hg38_chr6:112619594-112619604(-) GAACAATGCAG >hg38_chr6:112646355-112646365(-) GAACAATGTGG >hg38_chr6:112686098-112686108(-) taacaatacat >hg38_chr6:112686143-112686153(-) gcacaatgtga >hg38_chr6:112695171-112695181(-) GAACAAAGGAG >hg38_chr6:112736073-112736083(+) aaacaaaggaa >hg38_chr6:112761896-112761906(+) CAACAATGTGT >hg38_chr6:112781369-112781379(-) aaacaatgcca >hg38_chr6:112781417-112781427(-) ctacaatgcac >hg38_chr6:112799455-112799465(-) TAACAATAGCA >hg38_chr6:112805983-112805993(-) AAACAAAGGAC >hg38_chr6:112838613-112838623(+) CTACAATGGTA >hg38_chr6:112882200-112882210(-) CAACAATGGAG >hg38_chr6:112927752-112927762(-) ctacaatgtag >hg38_chr6:112957030-112957040(+) AGACAATGGAA >hg38_chr6:112980689-112980699(-) gcacaatgcta >hg38_chr6:112980721-112980731(+) gtacaataaca >hg38_chr6:112986733-112986743(+) GAACAATGAAA >hg38_chr6:113002254-113002264(+) ggacaaaggaa >hg38_chr6:113004305-113004315(+) GAACAATGGCA >hg38_chr6:113005111-113005121(+) AAACAATAGAC >hg38_chr6:113038313-113038323(-) ggataATGGGA >hg38_chr6:113038343-113038353(-) atactatgggt >hg38_chr6:113050472-113050482(+) GGACAATAGAA >hg38_chr6:113065147-113065157(+) tcacaatagct >hg38_chr6:113073156-113073166(+) agacaatgtag >hg38_chr6:113073783-113073793(+) aaacaataaaa >hg38_chr6:113145863-113145873(+) AGACAATGGCT >hg38_chr6:113160586-113160596(+) ACACAATGAGT >hg38_chr6:113160609-113160619(+) CTACAATAGCA >hg38_chr6:113172604-113172614(-) ATACAATGACA >hg38_chr6:113203581-113203591(-) TTACAATAGCT >hg38_chr6:113258538-113258548(+) ATACACTGGGA >hg38_chr6:113258606-113258616(-) CTACAATAGTT >hg38_chr6:113289318-113289328(+) TTACAATGGTA >hg38_chr6:113293916-113293926(-) TGACAATAGTT >hg38_chr6:113306783-113306793(-) GAACAATAGCT >hg38_chr6:113312221-113312231(+) TGACAATGACC >hg38_chr6:113326917-113326927(+) gaacaaagggg >hg38_chr6:113331200-113331210(-) ACATAATGGAA >hg38_chr6:113331252-113331262(+) GGACAAtgagc >hg38_chr6:113448882-113448892(-) TGACAATGATT >hg38_chr6:113457923-113457933(+) AAACAAAGGTC >hg38_chr6:113472475-113472485(-) taacaatggag >hg38_chr6:113487912-113487922(-) GGACAATGTGT >hg38_chr6:113487944-113487954(-) TTACAATATAT >hg38_chr6:113496466-113496476(+) GAACTATGGGA >hg38_chr6:113539461-113539471(+) TGACAATGGCT >hg38_chr6:113564896-113564906(-) TAACAATAAGC >hg38_chr6:113570831-113570841(+) aaacaatagca >hg38_chr6:113586454-113586464(-) TTACAATGATG >hg38_chr6:113603610-113603620(-) AGACAATAGAA >hg38_chr6:113603625-113603635(+) GGACAATAGAG >hg38_chr6:113608229-113608239(-) GAACAATGTAT >hg38_chr6:113640288-113640298(-) TTACTATGGAC >hg38_chr6:113646860-113646870(-) TTACAATAATA >hg38_chr6:113646882-113646892(+) GTATAATGTAT >hg38_chr6:113674652-113674662(-) atacaatgaga >hg38_chr6:113694258-113694268(-) ggacaatgggg >hg38_chr6:113694318-113694328(+) ttacaataaaa >hg38_chr6:113699431-113699441(+) taacaaaggtg >hg38_chr6:113702099-113702109(-) GAACAATGTTG >hg38_chr6:113707185-113707195(-) GAACAATGTGA >hg38_chr6:113724950-113724960(-) TAACAATGAGG >hg38_chr6:113732734-113732744(-) GAACCATGGTA >hg38_chr6:113777118-113777128(+) GCACAATAGAG >hg38_chr6:113777171-113777181(-) AAACAATGCCT >hg38_chr6:113790994-113791004(-) TAACAAAGGAA >hg38_chr6:113807850-113807860(+) gtacaatgaag >hg38_chr6:113807863-113807873(+) ttacaatgtca >hg38_chr6:113841399-113841409(+) gaacaatgtta >hg38_chr6:113855373-113855383(+) TAACAAAGGAG >hg38_chr6:113857236-113857246(-) GAACAATGGAG >hg38_chr6:113858178-113858188(+) GCACAATGGTG >hg38_chr6:113875769-113875779(-) gcacaatggat >hg38_chr6:113879410-113879420(-) CAACAATAGAA >hg38_chr6:113961907-113961917(+) AAACAATAACT >hg38_chr6:113995802-113995812(+) CAACAATGGTT >hg38_chr6:113995817-113995827(-) CGACAATGCTC >hg38_chr6:114023038-114023048(-) AGATAATGGGT >hg38_chr6:114050469-114050479(+) gcacaatgcat >hg38_chr6:114159380-114159390(+) aaacaatggaa >hg38_chr6:114159400-114159410(+) cgacaatgaga >hg38_chr6:114175853-114175863(-) ATACAATGGCC >hg38_chr6:114178162-114178172(+) GAACAAAGGTT >hg38_chr6:114225603-114225613(+) ATACAATGATC >hg38_chr6:114229502-114229512(+) TAACAATAGTT >hg38_chr6:114251751-114251761(-) tcacaatataa >hg38_chr6:114251762-114251772(+) caataatggag >hg38_chr6:114281774-114281784(-) AAACAATGCAG >hg38_chr6:114304468-114304478(+) ATACAATACAT >hg38_chr6:114319936-114319946(+) TAACAATGGAC >hg38_chr6:114344655-114344665(-) caacaatgagc >hg38_chr6:114344667-114344677(+) caACAATAGCA >hg38_chr6:114364633-114364643(+) GTATAATGAAT >hg38_chr6:114376541-114376551(-) AAACAATAGCT >hg38_chr6:114387756-114387766(+) TAACAATGCTT >hg38_chr6:114399323-114399333(-) GGACAATGCAA >hg38_chr6:114405015-114405025(-) AGATAATGGCT >hg38_chr6:114419124-114419134(+) aaacaatgcct >hg38_chr6:114421294-114421304(+) GAACAATAACC >hg38_chr6:114472657-114472667(+) ttacaatgagg >hg38_chr6:114577291-114577301(+) ACATAATGGTT >hg38_chr6:114589037-114589047(+) CAACAATGAAC >hg38_chr6:114655205-114655215(-) CTACAATGTTA >hg38_chr6:114730217-114730227(-) gaacaatgcta >hg38_chr6:114748121-114748131(+) GGACAAAGGAA >hg38_chr6:114783304-114783314(-) tcacaatgcaa >hg38_chr6:114792491-114792501(-) AGACAATAGAA >hg38_chr6:114793688-114793698(-) gaacaaaggaa >hg38_chr6:114866542-114866552(-) ttacaatgcca >hg38_chr6:114888221-114888231(-) AAACAATGAAT >hg38_chr6:114941250-114941260(+) gaacaatgttt >hg38_chr6:114996612-114996622(-) tgacaaaggga >hg38_chr6:115091619-115091629(-) gcacaatggta >hg38_chr6:115148621-115148631(-) AAACAATGAGA >hg38_chr6:115208846-115208856(-) ccacaatgtgt >hg38_chr6:115221385-115221395(-) ttacaatgcag >hg38_chr6:115247280-115247290(-) taacactggat >hg38_chr6:115276991-115277001(+) AAACAAAGGGC >hg38_chr6:115277005-115277015(+) AGACAATGGGG >hg38_chr6:115318664-115318674(+) ACACAATGATC >hg38_chr6:115457037-115457047(-) ggacaatggag >hg38_chr6:115457965-115457975(+) AAACAATGTGT >hg38_chr6:115461753-115461763(-) TAATAATGGGT >hg38_chr6:115471216-115471226(-) TCACAATGCAC >hg38_chr6:115533599-115533609(-) gaacaatggtt >hg38_chr6:115534862-115534872(-) tgacaatggct >hg38_chr6:115539063-115539073(+) GTAGAATGGAC >hg38_chr6:115551723-115551733(+) ATACACTGGAA >hg38_chr6:115570870-115570880(+) GGACAATGGGG >hg38_chr6:115602559-115602569(+) TTAcaatgtgg >hg38_chr6:115616835-115616845(+) CAACAATGTAT >hg38_chr6:115616871-115616881(-) AAACAATGCAT >hg38_chr6:115663950-115663960(+) ACACTATGGAA >hg38_chr6:115663991-115664001(+) GAACAATAGGC >hg38_chr6:115675532-115675542(+) caacaatgtga >hg38_chr6:115782281-115782291(-) CCACAATGGCA >hg38_chr6:115782316-115782326(+) ACACAATGCTC >hg38_chr6:115795180-115795190(+) gaacaatgcct >hg38_chr6:115797047-115797057(+) TCACAATAGAA >hg38_chr6:115798371-115798381(+) taacaaaggcc >hg38_chr6:115882299-115882309(-) GGATAATGGTA >hg38_chr6:115904847-115904857(+) atacaatatct >hg38_chr6:115904868-115904878(+) tcacaatggcc >hg38_chr6:115905281-115905291(+) ATACAATAGGT >hg38_chr6:115976834-115976844(+) GTACAATGTGT >hg38_chr6:115976877-115976887(-) TGACAATGCTA >hg38_chr6:116022858-116022868(+) caacaatgtcc >hg38_chr6:116036554-116036564(+) GTACAATGATG >hg38_chr6:116050632-116050642(-) tgacaatgccc >hg38_chr6:116054739-116054749(+) ACACAAAGGGA >hg38_chr6:116118839-116118849(+) CAACAATGAGT >hg38_chr6:116131537-116131547(-) acacaatgttc >hg38_chr6:116131585-116131595(-) atacaatggag >hg38_chr6:116131601-116131611(-) aaactatggtg >hg38_chr6:116133617-116133627(+) TAACAATGAAA >hg38_chr6:116139405-116139415(+) GAACAATTGTA >hg38_chr6:116141140-116141150(-) atacaataaaa >hg38_chr6:116143838-116143848(-) TGACAATGTTG >hg38_chr6:116157861-116157871(-) GTACAATACAA >hg38_chr6:116232827-116232837(-) CTACAATGAAG >hg38_chr6:116254197-116254207(-) ATACGATGGAT >hg38_chr6:116254207-116254217(-) AGACAAAGGAA >hg38_chr6:116255395-116255405(+) AGACAATACGG >hg38_chr6:116255633-116255643(-) TTACAAAGACG >hg38_chr6:116269112-116269122(-) ATACAAAGGTG >hg38_chr6:116277043-116277053(-) GTACAATATAG >hg38_chr6:116287002-116287012(-) taacaataacc >hg38_chr6:116300673-116300683(-) GGACAATAGTT >hg38_chr6:116328275-116328285(+) CTACAATGTAT >hg38_chr6:116328284-116328294(+) ATACAATAAGT >hg38_chr6:116351158-116351168(-) TTATAATGGCG >hg38_chr6:116353550-116353560(-) acacaatgcta >hg38_chr6:116355829-116355839(-) AAACAAAGGAG >hg38_chr6:116355847-116355857(-) GAACAATGAGC >hg38_chr6:116360521-116360531(-) GGATAATGGTG >hg38_chr6:116376868-116376878(-) CTACAATGGAT >hg38_chr6:116386103-116386113(-) ATACACTGGAC >hg38_chr6:116401720-116401730(+) AAACAATGTTC >hg38_chr6:116424142-116424152(+) GTACAATGACA >hg38_chr6:116432164-116432174(+) AAACAAAGGCT >hg38_chr6:116481250-116481260(+) TGACAATAGGA >hg38_chr6:116511556-116511566(-) AAACAATGTCA >hg38_chr6:116511903-116511913(+) GAACAATAGGT >hg38_chr6:116513720-116513730(+) CAACAATAGGT >hg38_chr6:116530512-116530522(-) CTACAATAGGT >hg38_chr6:116533930-116533940(-) gaacaatgggc >hg38_chr6:116533985-116533995(-) agactatggat >hg38_chr6:116542436-116542446(+) ATACAATGAAC >hg38_chr6:116559080-116559090(-) AGATAATGGAT >hg38_chr6:116581898-116581908(-) aaacaatgaca >hg38_chr6:116607822-116607832(+) acacaatggag >hg38_chr6:116616247-116616257(-) GAAGAATGGCG >hg38_chr6:116713653-116713663(-) gcataatggaa >hg38_chr6:116713897-116713907(-) tcactatggaa >hg38_chr6:116713925-116713935(-) aaacaatgtct >hg38_chr6:116714142-116714152(-) ttacaataatc >hg38_chr6:116714150-116714160(+) taactatggtt >hg38_chr6:116714160-116714170(+) taactatggaa >hg38_chr6:116714791-116714801(+) aaacaaaggca >hg38_chr6:116730655-116730665(-) atacaatgcca >hg38_chr6:116732486-116732496(-) ctacaaTGAAA >hg38_chr6:116748542-116748552(-) acacaatggag >hg38_chr6:116764161-116764171(+) AAACAATAACA >hg38_chr6:116765914-116765924(-) aaacgatggaa >hg38_chr6:116783302-116783312(-) gaacaataaga >hg38_chr6:116801412-116801422(+) gaacaataggc >hg38_chr6:116811736-116811746(+) caacaatagat >hg38_chr6:116828058-116828068(-) aaacaaaggcc >hg38_chr6:116841169-116841179(-) ggataatggcc >hg38_chr6:116867406-116867416(-) TAACAATAGAA >hg38_chr6:116885559-116885569(+) taacaatgctg >hg38_chr6:116922351-116922361(-) ATATAATGGAA >hg38_chr6:116922610-116922620(-) TCACAATGGGT >hg38_chr6:116970801-116970811(-) ggacaaaggag >hg38_chr6:116970853-116970863(-) ccacaatgaat >hg38_chr6:116971568-116971578(-) TGACAATGCTG >hg38_chr6:117007821-117007831(+) AAACAATGGAG >hg38_chr6:117117739-117117749(-) AAACAATGTTA >hg38_chr6:117126416-117126426(+) GAATAATGGTT >hg38_chr6:117188502-117188512(-) atacaaaggta >hg38_chr6:117298158-117298168(+) aaacaatgtgt >hg38_chr6:117298195-117298205(+) agacaatgaaa >hg38_chr6:117315350-117315360(+) agacaatgaaa >hg38_chr6:117322899-117322909(+) GCACAATAGGT >hg38_chr6:117478552-117478562(-) CTACAAAGGTC >hg38_chr6:117485909-117485919(+) GTACAATATCT >hg38_chr6:117490940-117490950(+) AAACAAAGGAA >hg38_chr6:117513358-117513368(-) GGACAAAGGGA >hg38_chr6:117513378-117513388(-) AGACAATGGGC >hg38_chr6:117561681-117561691(+) AGACAATGCTA >hg38_chr6:117561711-117561721(+) TAACAATATAC >hg38_chr6:117564768-117564778(+) ATACAATGAAA >hg38_chr6:117565397-117565407(+) tgacaatgtgg >hg38_chr6:117579075-117579085(+) AAACAATAGAA >hg38_chr6:117579677-117579687(+) GTACAATTGAT >hg38_chr6:117579686-117579696(-) AAACAATGTAT >hg38_chr6:117580860-117580870(+) TTACAATGCTG >hg38_chr6:117580910-117580920(+) GTACAGTGGAT >hg38_chr6:117591604-117591614(+) agacaaagggt >hg38_chr6:117599454-117599464(+) TGACAATGAAC >hg38_chr6:117599668-117599678(-) TCACAATGTGA >hg38_chr6:117599703-117599713(+) AAACAATGCAA >hg38_chr6:117601013-117601023(+) ATATAATGGGC >hg38_chr6:117687276-117687286(-) TAACAATAATG >hg38_chr6:117687298-117687308(+) GTACATTGGTA >hg38_chr6:117776247-117776257(-) aaACAATAACA >hg38_chr6:117783463-117783473(+) ACACAATGGGC >hg38_chr6:117783477-117783487(+) GGACAATGGCT >hg38_chr6:117821940-117821950(-) TTACAATAGAA >hg38_chr6:117829774-117829784(+) agacaaaggga >hg38_chr6:117859622-117859632(-) AAACAATGGCT >hg38_chr6:117880361-117880371(-) GAACAATAAAA >hg38_chr6:117889627-117889637(+) AAACAATAAGA >hg38_chr6:117889658-117889668(+) ATACAATACAT >hg38_chr6:117908888-117908898(-) ACACAATGCCA >hg38_chr6:117933720-117933730(-) CTACAATGGCT >hg38_chr6:117957508-117957518(-) GAACAATGGAC >hg38_chr6:117961167-117961177(+) TTACAATGGGA >hg38_chr6:117962610-117962620(+) CAACAATGCAG >hg38_chr6:117962658-117962668(+) AGACACTGGAC >hg38_chr6:117985972-117985982(+) tcacaaaggac >hg38_chr6:118083800-118083810(-) CAACAATGAAC >hg38_chr6:118096544-118096554(-) CAACAATGCTT >hg38_chr6:118096572-118096582(+) GCACAATAGAT >hg38_chr6:118110657-118110667(-) aaacaaaggca >hg38_chr6:118110675-118110685(-) agacaatagga >hg38_chr6:118165023-118165033(+) GAACAATAGGA >hg38_chr6:118165592-118165602(-) TTACAATGCAT >hg38_chr6:118202722-118202732(+) atacaataggt >hg38_chr6:118215472-118215482(+) AGACAATGGTG >hg38_chr6:118248233-118248243(-) AAATAATGGAA >hg38_chr6:118248292-118248302(+) gaataatggcc >hg38_chr6:118249287-118249297(-) AAACAATGGAA >hg38_chr6:118279576-118279586(+) AGACAATGGCA >hg38_chr6:118296793-118296803(+) GGACAATGCCT >hg38_chr6:118298486-118298496(+) agataatggca >hg38_chr6:118298538-118298548(+) acataatggtt >hg38_chr6:118301020-118301030(-) ACACAAAGGAA >hg38_chr6:118317474-118317484(-) TAACAATAGAA >hg38_chr6:118368212-118368222(+) aaacaataaga >hg38_chr6:118368246-118368256(-) gcacaatgttg >hg38_chr6:118394691-118394701(+) agacaatggca >hg38_chr6:118394766-118394776(-) gaacaatggtg >hg38_chr6:118471113-118471123(-) ATACAATGAAA >hg38_chr6:118478119-118478129(+) CCACAATAGAA >hg38_chr6:118478561-118478571(-) AAACAATGGCT >hg38_chr6:118484571-118484581(+) aaacaatgtta >hg38_chr6:118484599-118484609(+) gaacaatgtta >hg38_chr6:118512598-118512608(+) ctacaatgaac >hg38_chr6:118513534-118513544(+) agacaatgaag >hg38_chr6:118514219-118514229(+) ggacaataaga >hg38_chr6:118542092-118542102(+) TTATAATGGCA >hg38_chr6:118543015-118543025(+) ttacaataacc >hg38_chr6:118563168-118563178(+) GCATAATGGCT >hg38_chr6:118563188-118563198(+) TCACAAAGGAA >hg38_chr6:118570147-118570157(+) AAACAAAGGTA >hg38_chr6:118597788-118597798(-) TGACAATGGCT >hg38_chr6:118634115-118634125(-) AAATAATGGTA >hg38_chr6:118650222-118650232(-) AAACAATGCCA >hg38_chr6:118652590-118652600(+) TAACAAAGGCC >hg38_chr6:118659148-118659158(+) CTACAATGAAA >hg38_chr6:118701102-118701112(-) TAACAATGTAG >hg38_chr6:118705964-118705974(-) CAACAATGTGG >hg38_chr6:118713143-118713153(+) AGACAATGAGA >hg38_chr6:118748294-118748304(-) TTACAATACGC >hg38_chr6:118764712-118764722(-) AAATAATGGGA >hg38_chr6:118784745-118784755(-) AGACAATGGAG >hg38_chr6:118810811-118810821(-) TCACAATGTAG >hg38_chr6:118855360-118855370(+) ggacaatgttc >hg38_chr6:118894036-118894046(-) GGACAAAGGCG >hg38_chr6:118900192-118900202(-) GAACAATGGTA >hg38_chr6:118902752-118902762(+) GTACAATGTAT >hg38_chr6:118902789-118902799(-) TGATAATGGTG >hg38_chr6:118978315-118978325(+) CAACAATAGTT >hg38_chr6:119027643-119027653(+) gaacaatagcc >hg38_chr6:119034477-119034487(+) ctacaatagct >hg38_chr6:119052453-119052463(-) ctacaatggtc >hg38_chr6:119067352-119067362(+) TAACAATAGCT >hg38_chr6:119120366-119120376(-) atacaatggag >hg38_chr6:119120386-119120396(-) atacaatatgt >hg38_chr6:119124555-119124565(-) ATATAATGGTC >hg38_chr6:119124598-119124608(+) TTACAAAGGGT >hg38_chr6:119172310-119172320(+) GGACTATGGGT >hg38_chr6:119240678-119240688(+) TCACAATGCAT >hg38_chr6:119240699-119240709(-) GAACAAAGGAT >hg38_chr6:119259008-119259018(+) Caacaatagtt >hg38_chr6:119293926-119293936(+) ggacaatgaat >hg38_chr6:119293960-119293970(+) ACACAATAGCT >hg38_chr6:119317308-119317318(+) tgacaatgtat >hg38_chr6:119317315-119317325(+) gtataatggca >hg38_chr6:119317335-119317345(-) atactatggta >hg38_chr6:119335129-119335139(+) CAACAATAGAA >hg38_chr6:119353396-119353406(-) acacaatgttt >hg38_chr6:119433949-119433959(-) GAACAATGACA >hg38_chr6:119516497-119516507(+) tgacaatgctg >hg38_chr6:119516519-119516529(+) ggacaatgtcc >hg38_chr6:119516528-119516538(-) gtataatgggg >hg38_chr6:119521348-119521358(+) AAACAAAGGTG >hg38_chr6:119584934-119584944(+) GAACAATGGCA >hg38_chr6:119612843-119612853(+) AGACAAAGGGA >hg38_chr6:119612922-119612932(-) TAACAATGACT >hg38_chr6:119621993-119622003(-) ATACAAAGGAT >hg38_chr6:119653498-119653508(-) ctacaatgctg >hg38_chr6:119672874-119672884(-) CAACAATGAGT >hg38_chr6:119672928-119672938(+) TAACAAAGGCT >hg38_chr6:119703060-119703070(+) aaacaaaggta >hg38_chr6:119703138-119703148(-) acacaatagat >hg38_chr6:119703458-119703468(+) tgaCAATGAGC >hg38_chr6:119703471-119703481(+) TGACAATGAGT >hg38_chr6:119705816-119705826(-) AGATAATGGGA >hg38_chr6:119757520-119757530(-) acacaatatac >hg38_chr6:119846223-119846233(+) aaacaataggt >hg38_chr6:119993684-119993694(+) TAACAATGACC >hg38_chr6:119993696-119993706(+) AAACAATAACA >hg38_chr6:120027353-120027363(+) TTACAGTGGGA >hg38_chr6:120102906-120102916(+) GGACAGTGGAA >hg38_chr6:120102918-120102928(-) CAACAATGGCC >hg38_chr6:120122575-120122585(+) acacaatggat >hg38_chr6:120122604-120122614(+) gaacaatagga >hg38_chr6:120180746-120180756(-) gaacaatgaat >hg38_chr6:120353095-120353105(-) gaacaaaggga >hg38_chr6:120566178-120566188(+) tgacaatggta >hg38_chr6:120570872-120570882(+) GTACACTGGAT >hg38_chr6:120738408-120738418(+) GGACAATGCCA >hg38_chr6:121073703-121073713(+) gaacaaaggca >hg38_chr6:121076415-121076425(-) gtacaatagcc >hg38_chr6:121079290-121079300(+) TTACAATGCCC >hg38_chr6:121079323-121079333(-) CAACAATAGCT >hg38_chr6:121080001-121080011(-) GAACAATGATA >hg38_chr6:121082381-121082391(-) TAACAATAACT >hg38_chr6:121099168-121099178(+) GTACAATATAA >hg38_chr6:121126511-121126521(+) TGACAATGCAT >hg38_chr6:121187980-121187990(+) gtacaatatat >hg38_chr6:121199437-121199447(+) TAACAGTGGTT >hg38_chr6:121218049-121218059(-) agacaatgggc >hg38_chr6:121226264-121226274(+) ttacaataGCG >hg38_chr6:121285092-121285102(-) ccacaatggct >hg38_chr6:121296682-121296692(+) atacaatgtct >hg38_chr6:121310723-121310733(-) TTACAATATAT >hg38_chr6:121315415-121315425(+) CAACTATGGTA >hg38_chr6:121315440-121315450(-) GAACAAAGGGG >hg38_chr6:121319989-121319999(+) TAACAATGAAA >hg38_chr6:121332135-121332145(+) CTACAATGACC >hg38_chr6:121357285-121357295(+) atacaatacaa >hg38_chr6:121357296-121357306(+) caacaatgaTC >hg38_chr6:121407419-121407429(+) ATACAATATTA >hg38_chr6:121444179-121444189(-) GAACAAAGGCT >hg38_chr6:121482173-121482183(-) GCACAATGGTA >hg38_chr6:121485469-121485479(-) TGACAATGCAA >hg38_chr6:121515951-121515961(+) TTACAATATAG >hg38_chr6:121531608-121531618(+) GAATAATGGCT >hg38_chr6:121619152-121619162(+) gaacaaagggg >hg38_chr6:121628734-121628744(-) ATACAATGAGT >hg38_chr6:121689142-121689152(+) TAACAATATCC >hg38_chr6:121691782-121691792(+) TTACAATGCCC >hg38_chr6:121721334-121721344(-) GGACAAAGGAG >hg38_chr6:121756550-121756560(+) AAACAATATTA >hg38_chr6:121756556-121756566(-) GCACAATAATA >hg38_chr6:121756570-121756580(+) TAACAATGGGG >hg38_chr6:121757662-121757672(-) GCACAATGGGT >hg38_chr6:121824475-121824485(+) TTACAATGATC >hg38_chr6:121826541-121826551(-) gaacaataaag >hg38_chr6:121851188-121851198(-) AAACAAAGGCA >hg38_chr6:121854321-121854331(+) GGACAAAGGAG >hg38_chr6:121867238-121867248(+) CGACAATAAGG >hg38_chr6:121882658-121882668(+) GGACAAAGGGA >hg38_chr6:121920878-121920888(-) gaataatggaa >hg38_chr6:121952665-121952675(-) GTACAATGATT >hg38_chr6:121972583-121972593(+) GTACAATGAAA >hg38_chr6:121972598-121972608(+) TAACAATGAAG >hg38_chr6:122002088-122002098(-) aaacaatagag >hg38_chr6:122008825-122008835(-) CAACAATGAAG >hg38_chr6:122008846-122008856(+) TAACAATACAA >hg38_chr6:122008851-122008861(+) ATACAAAGGTT >hg38_chr6:122032527-122032537(-) GGACAAAGGAA >hg38_chr6:122032585-122032595(+) ACACAATGCGA >hg38_chr6:122043569-122043579(+) TCACAATAGCA >hg38_chr6:122057236-122057246(+) atacaaagggt >hg38_chr6:122058590-122058600(+) TGACAATGACA >hg38_chr6:122062059-122062069(-) TGACAATGCAT >hg38_chr6:122075270-122075280(+) GCACAATAGCT >hg38_chr6:122084575-122084585(+) taacaatgatt >hg38_chr6:122084990-122085000(+) ggacaatagta >hg38_chr6:122085051-122085061(+) ccacaatggca >hg38_chr6:122085563-122085573(+) acacaataaac >hg38_chr6:122085598-122085608(-) atacaatgtac >hg38_chr6:122099493-122099503(+) GAACAAAGGGT >hg38_chr6:122099512-122099522(-) ACACAATGCTT >hg38_chr6:122113972-122113982(-) caacaatgaac >hg38_chr6:122129415-122129425(+) atacaaaggaa >hg38_chr6:122141426-122141436(-) GGACAATAGGT >hg38_chr6:122154503-122154513(-) TAACAATAGAC >hg38_chr6:122195836-122195846(+) AGACAatggga >hg38_chr6:122291936-122291946(-) TAACAATAGCA >hg38_chr6:122313253-122313263(-) ATACGATGGAT >hg38_chr6:122348066-122348076(+) AGACAATGCTC >hg38_chr6:122390165-122390175(-) gcacaatagat >hg38_chr6:122393594-122393604(-) AAACAAAGGCT >hg38_chr6:122420378-122420388(-) ttacaataatc >hg38_chr6:122442253-122442263(+) ggacaaaggca >hg38_chr6:122442264-122442274(+) atacaatgtag >hg38_chr6:122449009-122449019(-) ttacaatagta >hg38_chr6:122450352-122450362(-) caacaatgggc >hg38_chr6:122479850-122479860(+) CTACAATGCCA >hg38_chr6:122497498-122497508(-) agactatgggt >hg38_chr6:122530936-122530946(+) ttacaatggct >hg38_chr6:122531734-122531744(-) gaacaatgtgc >hg38_chr6:122548665-122548675(+) ACACAATGACT >hg38_chr6:122567362-122567372(+) tcacagtggta >hg38_chr6:122581416-122581426(-) TAACAATGCCT >hg38_chr6:122581484-122581494(+) TCACAAAGGAC >hg38_chr6:122604820-122604830(+) AAACAATGCCC >hg38_chr6:122604861-122604871(+) CTACAATGGTA >hg38_chr6:122632983-122632993(+) ATACAATTGAT >hg38_chr6:122639271-122639281(+) aaacaaaggag >hg38_chr6:122639379-122639389(-) TGACAATGACT >hg38_chr6:122639947-122639957(+) ATACAATAAGG >hg38_chr6:122665228-122665238(-) AGACAAAGGTT >hg38_chr6:122665235-122665245(-) CAACAATAGAC >hg38_chr6:122703158-122703168(+) GTATAATGTAC >hg38_chr6:122716160-122716170(+) GAACAATGATG >hg38_chr6:122718233-122718243(+) ATACAAAGGTG >hg38_chr6:122728007-122728017(-) AGACAATGGCA >hg38_chr6:122760319-122760329(-) ggacaatgaac >hg38_chr6:122779344-122779354(-) GCACAATGGGG >hg38_chr6:122798344-122798354(-) ACACAATGTGT >hg38_chr6:122819027-122819037(+) GAACAATGACT >hg38_chr6:122819508-122819518(-) GAACAAAGGAA >hg38_chr6:122822038-122822048(-) AAACAATGAAA >hg38_chr6:122822053-122822063(-) GCACAATATAC >hg38_chr6:122825309-122825319(+) GAACCATGGTA >hg38_chr6:122825336-122825346(+) AAATAATGGAA >hg38_chr6:122850466-122850476(-) gaacaatgttc >hg38_chr6:122879041-122879051(-) AAACCATGGTA >hg38_chr6:122897989-122897999(-) gtataatgtaa >hg38_chr6:122898012-122898022(+) ggacaaaggag >hg38_chr6:122922170-122922180(+) acacaatgagt >hg38_chr6:122947493-122947503(+) gaacaaaggag >hg38_chr6:122953947-122953957(+) aaacaatgagt >hg38_chr6:123149833-123149843(-) ggacaatgggc >hg38_chr6:123172842-123172852(+) aaacaatagct >hg38_chr6:123215387-123215397(+) TTACAAAgggc >hg38_chr6:123215463-123215473(+) taacaatgtgc >hg38_chr6:123276785-123276795(+) aaacaatgcca >hg38_chr6:123369892-123369902(+) tcacaatgtct >hg38_chr6:123402149-123402159(-) tcacaatgtga >hg38_chr6:123417657-123417667(+) GCACAATGTCA >hg38_chr6:123441665-123441675(+) TCACAATGGGC >hg38_chr6:123467492-123467502(+) ACACAATGGGT >hg38_chr6:123467502-123467512(+) TAACAATGGGA >hg38_chr6:123576163-123576173(-) AAACAATATAG >hg38_chr6:123638618-123638628(-) CTACAATGAAA >hg38_chr6:123640885-123640895(+) TAACAAAGGCC >hg38_chr6:123640898-123640908(-) CAACAAAGGCG >hg38_chr6:123640959-123640969(-) GGATAATGGTA >hg38_chr6:123769125-123769135(-) TAACAATAGAA >hg38_chr6:123805681-123805691(+) AAACAATGAGG >hg38_chr6:123806135-123806145(+) atacaATGGTG >hg38_chr6:123806376-123806386(+) AAACAAAGGAC >hg38_chr6:123812820-123812830(+) CAACAATGTTG >hg38_chr6:123830307-123830317(-) aaataatgcga >hg38_chr6:123831028-123831038(+) GAACAATGCAA >hg38_chr6:123855755-123855765(+) TCACAATGTCT >hg38_chr6:123888528-123888538(-) ATACAATATTA >hg38_chr6:123966133-123966143(+) GGACGATGGTA >hg38_chr6:123995168-123995178(+) ttacaatatac >hg38_chr6:124008327-124008337(+) ACACAATGTAA >hg38_chr6:124025176-124025186(-) GTACAATAACC >hg38_chr6:124034311-124034321(-) aaacaatagac >hg38_chr6:124034330-124034340(-) aaacaatgggt >hg38_chr6:124045630-124045640(-) AGACAAAGGTT >hg38_chr6:124083367-124083377(-) TAACAATGACA >hg38_chr6:124083376-124083386(-) TGACAATAATA >hg38_chr6:124089376-124089386(-) GAACAATAGCT >hg38_chr6:124099694-124099704(+) ttacaatgtat >hg38_chr6:124099778-124099788(+) ttacaatgcac >hg38_chr6:124101027-124101037(-) TGACAATGGAA >hg38_chr6:124101059-124101069(-) GAACAATTGTA >hg38_chr6:124101076-124101086(+) CAACAATGCAT >hg38_chr6:124147233-124147243(+) ATACACTGGAA >hg38_chr6:124158204-124158214(-) gaacaaaggaa >hg38_chr6:124189963-124189973(+) AAACAATGAAG >hg38_chr6:124223524-124223534(-) CTACAATAGCA >hg38_chr6:124339808-124339818(-) GCACAAtagta >hg38_chr6:124420254-124420264(-) AGACAAAGGAA >hg38_chr6:124488192-124488202(+) GAATAATGGCA >hg38_chr6:124494120-124494130(-) ttacaatagag >hg38_chr6:124586415-124586425(+) gaacaatagac >hg38_chr6:124590162-124590172(+) GAATAATGGCA >hg38_chr6:124689736-124689746(-) aaacaaagacg >hg38_chr6:124699250-124699260(-) caacaatggct >hg38_chr6:124722180-124722190(-) atacaatggaa >hg38_chr6:124769664-124769674(-) GAACAATAAGT >hg38_chr6:124770431-124770441(+) GTACAATGTGC >hg38_chr6:124788262-124788272(-) aaacaataaca >hg38_chr6:124823798-124823808(-) ACACAATGTAG >hg38_chr6:124826183-124826193(-) GTACAATCGCT >hg38_chr6:124826194-124826204(-) AAACAATGTTT >hg38_chr6:124826213-124826223(-) ATACAATGAAC >hg38_chr6:124868536-124868546(-) CAACAATGCTT >hg38_chr6:124869728-124869738(+) gtacaatacca >hg38_chr6:124869756-124869766(+) aaactatggac >hg38_chr6:124870467-124870477(+) AGATAATGGCT >hg38_chr6:124884747-124884757(+) aaacaatagca >hg38_chr6:124884770-124884780(+) ttacaatagag >hg38_chr6:124905960-124905970(-) gaacaatatca >hg38_chr6:124913240-124913250(-) gtacaatggaa >hg38_chr6:124927978-124927988(-) GAACAAAGGGC >hg38_chr6:124929170-124929180(-) ACACAATGGGC >hg38_chr6:124948056-124948066(-) CAACAATGAAT >hg38_chr6:124949510-124949520(+) TAACAATGCag >hg38_chr6:124949523-124949533(+) taacaataggc >hg38_chr6:124949535-124949545(+) taacaataaca >hg38_chr6:124957953-124957963(+) ttacaatgcca >hg38_chr6:124958961-124958971(+) ccacaatgaga >hg38_chr6:124980648-124980658(+) ATACAATAGCT >hg38_chr6:124984938-124984948(-) atactatggat >hg38_chr6:124986089-124986099(+) TCACAAAGGTA >hg38_chr6:125002281-125002291(+) GAACAATGTGC >hg38_chr6:125010474-125010484(-) ACACAATGCTC >hg38_chr6:125037151-125037161(-) gaacaatggaa >hg38_chr6:125070666-125070676(-) ggacaaaggac >hg38_chr6:125103158-125103168(+) CAACAATAGCA >hg38_chr6:125116071-125116081(-) TCACAATGATA >hg38_chr6:125128029-125128039(-) gaacaatgttt >hg38_chr6:125128037-125128047(-) aaacaatagaa >hg38_chr6:125128920-125128930(+) tcacaatggct >hg38_chr6:125136492-125136502(+) caataatgggt >hg38_chr6:125137820-125137830(-) GAACAAAGGCC >hg38_chr6:125191530-125191540(-) TCACAATGGGT >hg38_chr6:125250709-125250719(+) GTACAATGTCA >hg38_chr6:125259099-125259109(-) GAACAATATag >hg38_chr6:125310262-125310272(+) CCACAATGGGC >hg38_chr6:125311337-125311347(-) GAACAATAAAT >hg38_chr6:125316823-125316833(+) caacaaaggAC >hg38_chr6:125316831-125316841(-) TTACAATGGTc >hg38_chr6:125355337-125355347(-) caacaatggcc >hg38_chr6:125357423-125357433(-) TAACAATATCA >hg38_chr6:125363659-125363669(+) GAACAATGCGT >hg38_chr6:125364007-125364017(-) AGACAATGGCA >hg38_chr6:125379301-125379311(+) GAACAAAGGAG >hg38_chr6:125393712-125393722(+) CCACAATGATG >hg38_chr6:125463921-125463931(+) AAACAATGTCA >hg38_chr6:125474377-125474387(-) ACACAATGGAA >hg38_chr6:125482398-125482408(+) gcacaatgcTA >hg38_chr6:125519152-125519162(+) acacaatgaat >hg38_chr6:125534135-125534145(-) AAACAATGGCC >hg38_chr6:125557112-125557122(+) atacaatatca >hg38_chr6:125558608-125558618(-) GGACAATGGAA >hg38_chr6:125589316-125589326(+) GAACAATGGCC >hg38_chr6:125597240-125597250(+) TAACAATGTCC >hg38_chr6:125656877-125656887(-) TAACAAAGGGA >hg38_chr6:125670818-125670828(+) TAACAAAGGCA >hg38_chr6:125684805-125684815(-) TAACAATGGAT >hg38_chr6:125701261-125701271(+) ACACAAAGGCA >hg38_chr6:125714131-125714141(-) gtacaataatt >hg38_chr6:125733916-125733926(-) TTACAATGGAG >hg38_chr6:125733960-125733970(+) GTACAATGATA >hg38_chr6:125751751-125751761(-) TAACAATAGTT >hg38_chr6:125773717-125773727(-) gaacaatgaaa >hg38_chr6:125774925-125774935(+) AGACAAAGGAC >hg38_chr6:125775588-125775598(+) TAACAATATCA >hg38_chr6:125841567-125841577(-) tgacaatgacc >hg38_chr6:125867605-125867615(-) ACATAATGGAA >hg38_chr6:125868366-125868376(+) taacaatagca >hg38_chr6:125910683-125910693(+) tcacaatgcaa >hg38_chr6:125918026-125918036(-) ggacaatgtaa >hg38_chr6:125964440-125964450(-) tcacaatggaa >hg38_chr6:125964621-125964631(-) acacaatggag >hg38_chr6:125999377-125999387(+) ATACAATGGTC >hg38_chr6:126010206-126010216(+) TAACAAAGGCC >hg38_chr6:126017154-126017164(-) GTACAATTGTA >hg38_chr6:126024341-126024351(-) agacagtggac >hg38_chr6:126024371-126024381(-) Gcacaaaggaa >hg38_chr6:126029372-126029382(-) caacaatggcc >hg38_chr6:126029439-126029449(-) agacaatgatt >hg38_chr6:126033060-126033070(-) CCACAATACGT >hg38_chr6:126039655-126039665(-) ACACAATGTGG >hg38_chr6:126042149-126042159(+) TTATAATGGAA >hg38_chr6:126056049-126056059(+) AAACAATGGCA >hg38_chr6:126069627-126069637(-) TAACAAAGGGG >hg38_chr6:126071512-126071522(-) TGACAATGTGT >hg38_chr6:126091661-126091671(-) GCACAAAGGAC >hg38_chr6:126091711-126091721(-) AAACAATAGAG >hg38_chr6:126102536-126102546(-) GAACAAAGGGC >hg38_chr6:126102577-126102587(-) CGACAATAAAG >hg38_chr6:126107662-126107672(+) TGACAATGCTC >hg38_chr6:126110617-126110627(+) GTACAATGGAG >hg38_chr6:126144102-126144112(-) AAACAATGCAT >hg38_chr6:126157944-126157954(-) ttacaaaggct >hg38_chr6:126170147-126170157(-) AAACAATAGAA >hg38_chr6:126176580-126176590(+) AGACAATGGCC >hg38_chr6:126180813-126180823(-) AGACAATAGGA >hg38_chr6:126181634-126181644(-) GAACAATGGTG >hg38_chr6:126188653-126188663(+) TCATAATGGGT >hg38_chr6:126198278-126198288(-) CTACAATGGTT >hg38_chr6:126199180-126199190(+) CAACAAAGGAC >hg38_chr6:126208790-126208800(-) aaacaataata >hg38_chr6:126215425-126215435(-) gtataatgata >hg38_chr6:126215432-126215442(+) atacaatgaca >hg38_chr6:126229377-126229387(-) caacaatgagt >hg38_chr6:126233010-126233020(-) TTACAAAGGAA >hg38_chr6:126233061-126233071(+) AGACAATGTGG >hg38_chr6:126233606-126233616(-) gaacaatgaaa >hg38_chr6:126234151-126234161(-) agacaaaggtg >hg38_chr6:126266811-126266821(+) TTACAATGACT >hg38_chr6:126280809-126280819(+) TGACAATGGTC >hg38_chr6:126281111-126281121(-) GAACAATGTAA >hg38_chr6:126299714-126299724(-) ATACAATGGCC >hg38_chr6:126299749-126299759(-) AAACAAAGGGC >hg38_chr6:126301415-126301425(-) CAACAAAGGAC >hg38_chr6:126315151-126315161(+) TTACAATGTCT >hg38_chr6:126342511-126342521(-) AGATAATGGGA >hg38_chr6:126391470-126391480(-) tcacaatgagt >hg38_chr6:126397730-126397740(-) CAACAATGGGG >hg38_chr6:126415363-126415373(+) ttacaatgaat >hg38_chr6:126445565-126445575(-) TAACAATGGCA >hg38_chr6:126641828-126641838(+) AGACAATAAAA >hg38_chr6:126645166-126645176(+) GTACAATGTCA >hg38_chr6:126646114-126646124(+) CAATAATGGAA >hg38_chr6:126700702-126700712(-) GAACAATGCAC >hg38_chr6:126700726-126700736(+) TTACAATGATT >hg38_chr6:126754895-126754905(+) ttacaataaga >hg38_chr6:126755164-126755174(+) gaacaatgata >hg38_chr6:126755200-126755210(+) atacaatagga >hg38_chr6:126755214-126755224(+) agacaatagtg >hg38_chr6:126774664-126774674(-) GAACAATAGTg >hg38_chr6:126812659-126812669(+) GAACAATAGTT >hg38_chr6:126828553-126828563(-) ACACAATAGGA >hg38_chr6:126909958-126909968(-) GAACAATGAGC >hg38_chr6:126923461-126923471(-) TTACAGTGGAC >hg38_chr6:126936058-126936068(-) GTACAATGGTG >hg38_chr6:126963517-126963527(-) CAACAAAGGAC >hg38_chr6:126963542-126963552(+) AAACAAAGGCC >hg38_chr6:127026508-127026518(+) GCACAAAGGGA >hg38_chr6:127035270-127035280(-) atacgatgggc >hg38_chr6:127074765-127074775(+) gaacaatataa >hg38_chr6:127090227-127090237(+) AGACAATGGGA >hg38_chr6:127122976-127122986(-) ATATAATGGCT >hg38_chr6:127128445-127128455(-) aaacaatgtag >hg38_chr6:127128795-127128805(+) ACACAATAGGA >hg38_chr6:127161126-127161136(-) AAACAATAAGA >hg38_chr6:127161843-127161853(+) AAACAATGATC >hg38_chr6:127161855-127161865(-) AAACAATGGCA >hg38_chr6:127176132-127176142(-) AGACAATGTTA >hg38_chr6:127200368-127200378(+) GAACAGTGGAT >hg38_chr6:127201623-127201633(+) CAACAATGTAG >hg38_chr6:127203021-127203031(+) TAACTATGGAA >hg38_chr6:127205750-127205760(-) AAACAATGGTT >hg38_chr6:127217279-127217289(-) ACACAATGATG >hg38_chr6:127283425-127283435(+) ACACAAAGGGA >hg38_chr6:127283832-127283842(-) AAACAATGGAC >hg38_chr6:127292160-127292170(+) CTATAATGGAG >hg38_chr6:127298642-127298652(+) tgacaatgatc >hg38_chr6:127298661-127298671(+) ttataatgggg >hg38_chr6:127298703-127298713(-) ttacaatggcg >hg38_chr6:127298721-127298731(+) gaacaatgctt >hg38_chr6:127312360-127312370(+) tcacaatgagc >hg38_chr6:127312373-127312383(+) tcacaatggtt >hg38_chr6:127314144-127314154(-) ATACAATGCTC >hg38_chr6:127319571-127319581(+) CCACAATGGTT >hg38_chr6:127328242-127328252(+) caacaatgtat >hg38_chr6:127328484-127328494(+) ctacaatgttc >hg38_chr6:127328495-127328505(+) acacaatgaca >hg38_chr6:127331566-127331576(+) AGACAATGGCA >hg38_chr6:127338232-127338242(-) TAACAATGGGG >hg38_chr6:127342589-127342599(-) AAACAAAGGGC >hg38_chr6:127343398-127343408(-) AAACAAAGGCA >hg38_chr6:127343632-127343642(-) GTACAATAGGA >hg38_chr6:127343669-127343679(+) GCACAAAGGTA >hg38_chr6:127347386-127347396(+) AAACAATGATT >hg38_chr6:127347435-127347445(+) GAACAAAGGAT >hg38_chr6:127358521-127358531(-) AGACAATGACA >hg38_chr6:127358746-127358756(+) ggacaatgttt >hg38_chr6:127358765-127358775(-) gcacaatagaa >hg38_chr6:127384326-127384336(-) TAACAAAGGCA >hg38_chr6:127399912-127399922(+) GGACAATGGAG >hg38_chr6:127445319-127445329(-) GTACAATAACC >hg38_chr6:127482694-127482704(+) TTACAATGTAA >hg38_chr6:127483566-127483576(-) acacaatgagc >hg38_chr6:127487111-127487121(-) agacaatggtg >hg38_chr6:127487127-127487137(+) acacaatggat >hg38_chr6:127517977-127517987(-) TAACAATAGAT >hg38_chr6:127533180-127533190(-) GCACAATGCTG >hg38_chr6:127577181-127577191(+) GCACAATGGCT >hg38_chr6:127606258-127606268(+) TCACAATGACT >hg38_chr6:127627200-127627210(-) taacaaaggaa >hg38_chr6:128316433-128316443(+) AAACAATGAAG >hg38_chr6:128521666-128521676(+) AAACAATAGTC >hg38_chr6:128523396-128523406(+) AGACAAAGGTC >hg38_chr6:128525808-128525818(+) GGACAATAAAT >hg38_chr6:128528720-128528730(+) atacagtggtc >hg38_chr6:128553997-128554007(+) TAACAATGTTC >hg38_chr6:128560492-128560502(+) ctacaatgtgc >hg38_chr6:128565251-128565261(-) gaacaatgtgt >hg38_chr6:128617937-128617947(+) aaacaatagct >hg38_chr6:128640262-128640272(+) TTACAATGCAA >hg38_chr6:128656197-128656207(+) GGACAATGGTG >hg38_chr6:128691033-128691043(-) GCACAATGATG >hg38_chr6:128691371-128691381(-) GTACAAAGGAG >hg38_chr6:128691824-128691834(-) AAATAATGGAG >hg38_chr6:128719972-128719982(+) ttacaatggtg >hg38_chr6:128719980-128719990(-) atacaatgcac >hg38_chr6:128720018-128720028(+) aaacaatggac >hg38_chr6:128725771-128725781(-) CAACAATGTGG >hg38_chr6:128794892-128794902(-) tcacaatggtt >hg38_chr6:128801111-128801121(-) taacaatagca >hg38_chr6:128880231-128880241(+) taacaatatta >hg38_chr6:128931903-128931913(-) AAATAATGGAG >hg38_chr6:128931933-128931943(-) ATATAATGAAC >hg38_chr6:128966585-128966595(-) ATACAATGTCT >hg38_chr6:128966907-128966917(-) CTACAATGAAA >hg38_chr6:129016165-129016175(-) AGATAATGGTG >hg38_chr6:129060003-129060013(-) AAACAATGGAG >hg38_chr6:129060026-129060036(+) GAACAAAGGGT >hg38_chr6:129060049-129060059(-) TAACAATACGC >hg38_chr6:129062162-129062172(-) GTACAATATAC >hg38_chr6:129089489-129089499(-) CAACAATGGTG >hg38_chr6:129097561-129097571(-) AGACAACGGAT >hg38_chr6:129121131-129121141(-) GGACAATGGAG >hg38_chr6:129162253-129162263(-) aaacaatagtc >hg38_chr6:129162295-129162305(+) atacaatgttg >hg38_chr6:129164764-129164774(+) ATACAATAAAG >hg38_chr6:129183882-129183892(+) ACACAATGACT >hg38_chr6:129187226-129187236(+) AAACAATGATG >hg38_chr6:129256431-129256441(+) atacaaaggtg >hg38_chr6:129318047-129318057(+) TCACAATGCTA >hg38_chr6:129338901-129338911(-) atataatgccg >hg38_chr6:129341117-129341127(-) AAACAATAGCT >hg38_chr6:129345630-129345640(-) ttacagtggat >hg38_chr6:129349920-129349930(+) AAACAATATTA >hg38_chr6:129375346-129375356(-) ggacaatagct >hg38_chr6:129378063-129378073(+) ttacaaaggaa >hg38_chr6:129402870-129402880(-) TAACAATAAAT >hg38_chr6:129434249-129434259(+) TGACAAAGGAA >hg38_chr6:129434268-129434278(-) ACACAATGACA >hg38_chr6:129434315-129434325(-) TCACAATGAGG >hg38_chr6:129473774-129473784(+) TCATAATGGCG >hg38_chr6:129479802-129479812(-) GAACAATGAAG >hg38_chr6:129486535-129486545(+) GAACAATGAGG >hg38_chr6:129499172-129499182(-) AGATAATGGGG >hg38_chr6:129517367-129517377(+) AGACAATGGTT >hg38_chr6:129546668-129546678(+) GTACAATGGTT >hg38_chr6:129547271-129547281(+) ACACAATGAGA >hg38_chr6:129598501-129598511(+) GAACAATAGAA >hg38_chr6:129602271-129602281(+) aaacaatgttt >hg38_chr6:129603720-129603730(-) GAACAATAGTG >hg38_chr6:129618242-129618252(+) GAACAAAGGGG >hg38_chr6:129644167-129644177(-) GAACAATGGAG >hg38_chr6:129644174-129644184(-) AAACAATGAAC >hg38_chr6:129644817-129644827(-) TAACAATGGTG >hg38_chr6:129663776-129663786(+) CCACAATGATA >hg38_chr6:129667878-129667888(+) AAACAATGAAA >hg38_chr6:129667886-129667896(+) AAACAATGGAA >hg38_chr6:129681916-129681926(-) AAACAATAGAC >hg38_chr6:129697212-129697222(+) AAACAAAGGTG >hg38_chr6:129758982-129758992(-) CAACAATGAAA >hg38_chr6:129760980-129760990(+) tgacaatggtg >hg38_chr6:129775328-129775338(+) tgacaatgcct >hg38_chr6:129799537-129799547(+) aaacaatgctg >hg38_chr6:129889808-129889818(-) TCACAATAGAG >hg38_chr6:129956834-129956844(-) GAACAATGTAG >hg38_chr6:129974064-129974074(+) agacaataata >hg38_chr6:129996572-129996582(+) gaacaaAGGAA >hg38_chr6:130001352-130001362(-) CAACAAAGGTA >hg38_chr6:130071074-130071084(+) GGACAATCGTT >hg38_chr6:130102719-130102729(-) aaacaatgtgg >hg38_chr6:130146384-130146394(+) AAATAATGGGC >hg38_chr6:130147243-130147253(-) GAACAATGAGG >hg38_chr6:130163394-130163404(-) CGACAATAGAA >hg38_chr6:130250631-130250641(-) agacaaagggc >hg38_chr6:130250677-130250687(-) gtacaatgcca >hg38_chr6:130250684-130250694(+) gtacaatatgt >hg38_chr6:130299647-130299657(+) agacaaaggat >hg38_chr6:130362377-130362387(+) GTACCATGGAC >hg38_chr6:130362384-130362394(+) GGACAGTGGAA >hg38_chr6:130379397-130379407(+) ggacaaaggga >hg38_chr6:130428607-130428617(+) aaacaataggt >hg38_chr6:130434240-130434250(+) aaacaatagaa >hg38_chr6:130448931-130448941(+) ATACAATGGTA >hg38_chr6:130459519-130459529(+) CCACAATGACC >hg38_chr6:130467837-130467847(-) tcacaaTagca >hg38_chr6:130467882-130467892(+) ctacaatagat >hg38_chr6:130501335-130501345(+) tcacaatgagg >hg38_chr6:130502710-130502720(+) CTACAATGTCA >hg38_chr6:130533707-130533717(-) taacaataaag >hg38_chr6:130553952-130553962(+) gaacaatgaca >hg38_chr6:130588613-130588623(+) TCACAATGGAA >hg38_chr6:130594086-130594096(-) TGACAATGGGc >hg38_chr6:130613999-130614009(+) GGacaatgtgc >hg38_chr6:130614754-130614764(+) TAACAATAGAA >hg38_chr6:130630442-130630452(+) AAACAATGACC >hg38_chr6:130634047-130634057(-) TCATAATGGTT >hg38_chr6:130696111-130696121(-) GGACAATGGCC >hg38_chr6:130697171-130697181(-) GGACAATGAGA >hg38_chr6:130709716-130709726(-) ACACAATGGTA >hg38_chr6:130725184-130725194(+) aaacaatgcag >hg38_chr6:130736314-130736324(+) ttacaatacta >hg38_chr6:130736332-130736342(-) agacaatgtaa >hg38_chr6:130759121-130759131(+) tcacaatgatc >hg38_chr6:130789159-130789169(-) ctacaatagga >hg38_chr6:130792904-130792914(+) TAACAATGCAC >hg38_chr6:130796476-130796486(-) AGACAAAGGCA >hg38_chr6:130843648-130843658(-) GAACAGTGGTA >hg38_chr6:130843691-130843701(+) ATACAATGTCA >hg38_chr6:130888360-130888370(+) AAATAATGGAT >hg38_chr6:130903219-130903229(-) GAACAATGCAT >hg38_chr6:130927406-130927416(-) AGACAATGAGC >hg38_chr6:130933966-130933976(+) GCACAATGCAA >hg38_chr6:130939713-130939723(+) AAACAATGAAC >hg38_chr6:130945414-130945424(-) TAACAATGTAG >hg38_chr6:130947294-130947304(-) CAACAATGGAG >hg38_chr6:130960200-130960210(-) GCATAATGGAG >hg38_chr6:130976998-130977008(+) gcacaaaggaa >hg38_chr6:130978773-130978783(+) ctacaaaggga >hg38_chr6:130997710-130997720(+) GAACAATGTTT >hg38_chr6:131014375-131014385(-) ATACAAAGGGA >hg38_chr6:131030008-131030018(-) GAACAGTGGTT >hg38_chr6:131075322-131075332(+) ggacaatgttg >hg38_chr6:131075344-131075354(+) tgacaatgtgg >hg38_chr6:131075404-131075414(+) gtacaatgcct >hg38_chr6:131081737-131081747(+) ACACAATGGCA >hg38_chr6:131084336-131084346(+) AAACAATAGCT >hg38_chr6:131135992-131136002(-) CCACAATGCGT >hg38_chr6:131164068-131164078(-) GAACAATGTTC >hg38_chr6:131194653-131194663(-) atacaataata >hg38_chr6:131194694-131194704(-) atacaaaggaa >hg38_chr6:131195484-131195494(+) ttacaatagta >hg38_chr6:131213813-131213823(+) aaacaatgagc >hg38_chr6:131226277-131226287(-) ATACAATGGCA >hg38_chr6:131243795-131243805(-) TAACAATGTAA >hg38_chr6:131244161-131244171(-) GAACAAAGGCC >hg38_chr6:131259293-131259303(-) CTACAATAGCC >hg38_chr6:131263790-131263800(-) TTACAATGGTC >hg38_chr6:131336784-131336794(-) AGACAATGCCT >hg38_chr6:131344778-131344788(+) taacaaaggat >hg38_chr6:131392368-131392378(+) caacaaaggac >hg38_chr6:131413771-131413781(-) TTACAATATAC >hg38_chr6:131431901-131431911(+) AGATAATGGAA >hg38_chr6:131451666-131451676(+) taacaatgtgt >hg38_chr6:131510329-131510339(+) TCACAATGCCT >hg38_chr6:131534239-131534249(+) AAACAATGGCT >hg38_chr6:131534265-131534275(-) ATATAATGTAA >hg38_chr6:131534628-131534638(-) TTACAATATTA >hg38_chr6:131551486-131551496(-) acacaatgagt >hg38_chr6:131574573-131574583(-) AGACAATGAAC >hg38_chr6:131626993-131627003(-) CTACAATAGGA >hg38_chr6:131678553-131678563(-) AGACAATAGAG >hg38_chr6:131678567-131678577(+) ACACAGTGGAA >hg38_chr6:131682664-131682674(-) tgacaataggt >hg38_chr6:131693984-131693994(-) TGACAAAGGTC >hg38_chr6:131732057-131732067(-) TCACAAAGGAA >hg38_chr6:131741292-131741302(-) ggacaatgtgt >hg38_chr6:131779402-131779412(+) GAACAATGGAT >hg38_chr6:131779442-131779452(-) TAACAAAGGTG >hg38_chr6:131792542-131792552(-) atacaatgtat >hg38_chr6:131797734-131797744(+) GGACACTGGAC >hg38_chr6:131797742-131797752(-) ATACAATGGTC >hg38_chr6:131817104-131817114(+) CAACAATGGGC >hg38_chr6:131842789-131842799(-) ccacaatgtgc >hg38_chr6:131843505-131843515(-) CTACTATGGAA >hg38_chr6:131900588-131900598(-) AGACAATAGAA >hg38_chr6:131910519-131910529(-) caacaatgcat >hg38_chr6:131939029-131939039(+) AGACAATGAAT >hg38_chr6:131952148-131952158(-) GAACAATGGAT >hg38_chr6:131963751-131963761(-) gaacaaaggaa >hg38_chr6:131976653-131976663(+) GCACAATAGAA >hg38_chr6:132022567-132022577(-) TCACAATGCGC >hg38_chr6:132031383-132031393(+) GAACAATGGAA >hg38_chr6:132060328-132060338(-) AAACAATGTGT >hg38_chr6:132060902-132060912(+) CGACAATGATT >hg38_chr6:132062976-132062986(+) GTACAATGTTA >hg38_chr6:132087772-132087782(-) AGACAATGCCT >hg38_chr6:132122327-132122337(+) agacaaaggag >hg38_chr6:132132245-132132255(-) taacaatatca >hg38_chr6:132132254-132132264(-) gaacaatgcta >hg38_chr6:132132569-132132579(+) AAACAATGCCC >hg38_chr6:132133133-132133143(+) TAACAAAGGCa >hg38_chr6:132142797-132142807(+) AGACAATGTGC >hg38_chr6:132167756-132167766(-) TGACAATGAAA >hg38_chr6:132168186-132168196(-) TTATAATGGCA >hg38_chr6:132188892-132188902(-) caacaatgcaa >hg38_chr6:132202694-132202704(+) ACACAGTGGAC >hg38_chr6:132208165-132208175(-) acacaatagaa >hg38_chr6:132212591-132212601(-) gaacaatagta >hg38_chr6:132212599-132212609(-) aaacaaaggaa >hg38_chr6:132259064-132259074(-) CAACAATGCTC >hg38_chr6:132279233-132279243(-) GCATAATGGAA >hg38_chr6:132377293-132377303(+) TAACAATGTCT >hg38_chr6:132377306-132377316(+) AGACAATGAGA >hg38_chr6:132402057-132402067(-) GAACAAAGGCT >hg38_chr6:132444761-132444771(-) CTACAATGGCA >hg38_chr6:132446523-132446533(-) GGACAATGCGA >hg38_chr6:132481799-132481809(+) TAACAATGTTT >hg38_chr6:132481811-132481821(+) AAACAATGACC >hg38_chr6:132513486-132513496(+) GAACAATGAAG >hg38_chr6:132519503-132519513(-) tcacaatgaca >hg38_chr6:132521810-132521820(-) agacaatagcc >hg38_chr6:132523601-132523611(-) taacaatagca >hg38_chr6:132530555-132530565(-) GAACAATACTA >hg38_chr6:132540125-132540135(-) ATACAATATCT >hg38_chr6:132540174-132540184(+) TAACAAAGGAT >hg38_chr6:132569390-132569400(+) TAACAATGTCT >hg38_chr6:132571290-132571300(-) TTACAATAACT >hg38_chr6:132572290-132572300(-) ATACAATATAG >hg38_chr6:132573959-132573969(+) caacaatgttt >hg38_chr6:132643096-132643106(+) gtataatgaaa >hg38_chr6:132669927-132669937(+) TTACAATAGCG >hg38_chr6:132766882-132766892(+) caacaatgcca >hg38_chr6:132798100-132798110(+) CAACAATGGGT >hg38_chr6:132878736-132878746(+) aaacaaaggtt >hg38_chr6:132923995-132924005(-) ACACAATGGGT >hg38_chr6:132932014-132932024(-) CAACAATAGGG >hg38_chr6:132934943-132934953(+) TGACAATGGCA >hg38_chr6:132949254-132949264(+) ctacaatagca >hg38_chr6:132973631-132973641(+) ACACAAAGGAA >hg38_chr6:132999493-132999503(-) CCACAATGTGT >hg38_chr6:133011175-133011185(-) GGACAAAGGGT >hg38_chr6:133055795-133055805(+) GAACAAAGGGA >hg38_chr6:133169691-133169701(+) ATATAATGTAT >hg38_chr6:133169715-133169725(+) GCACAATGTAT >hg38_chr6:133171334-133171344(+) TAACAATGGTA >hg38_chr6:133184971-133184981(-) GTATAATGTAC >hg38_chr6:133191857-133191867(-) ACACAAAGGAC >hg38_chr6:133218867-133218877(+) ATAcaattgat >hg38_chr6:133219089-133219099(-) acacaaaggac >hg38_chr6:133241146-133241156(+) TGACAATAGGC >hg38_chr6:133242676-133242686(-) AAACAAAGGGA >hg38_chr6:133273834-133273844(-) ACACAATGGGC >hg38_chr6:133274573-133274583(+) AGACAATATTA >hg38_chr6:133277730-133277740(-) ggacaaaggaa >hg38_chr6:133286923-133286933(-) acacaatgtac >hg38_chr6:133307050-133307060(+) CCACAATAGTT >hg38_chr6:133313194-133313204(+) TTACAATGAAG >hg38_chr6:133313233-133313243(-) GAACAATGTCC >hg38_chr6:133313803-133313813(-) ATACAATAATG >hg38_chr6:133315130-133315140(+) GAACAATAAAG >hg38_chr6:133321141-133321151(-) taacaaaggac >hg38_chr6:133352231-133352241(+) ATACAATGTGA >hg38_chr6:133352252-133352262(+) ATACAATGTGA >hg38_chr6:133392765-133392775(-) GAACAATGCAA >hg38_chr6:133415186-133415196(-) ATACAATAAGG >hg38_chr6:133415197-133415207(+) TGACAAAGGAC >hg38_chr6:133432141-133432151(-) TGACAATGCAT >hg38_chr6:133448077-133448087(+) AGACAATGAAC >hg38_chr6:133457203-133457213(+) GAACAATAGCA >hg38_chr6:133469575-133469585(+) atacaaaggca >hg38_chr6:133503522-133503532(-) AGACAATAAAA >hg38_chr6:133549462-133549472(-) ACACAAAGGCA >hg38_chr6:133632986-133632996(+) GCACAAAGGAC >hg38_chr6:133646627-133646637(+) GGACACTGGTA >hg38_chr6:133682071-133682081(+) taacaaaggct >hg38_chr6:133734075-133734085(+) taacaataaaa >hg38_chr6:133734109-133734119(+) ggacaaaggca >hg38_chr6:133737795-133737805(-) GGACAAAGGTA >hg38_chr6:133737846-133737856(-) AGACAATAAAA >hg38_chr6:133741572-133741582(+) ATACAATAGCT >hg38_chr6:133764370-133764380(-) AAACAATGTAA >hg38_chr6:133809612-133809622(+) taacaaaggct >hg38_chr6:133846585-133846595(+) GCACAATGAGA >hg38_chr6:133846607-133846617(-) ATACAACGGAA >hg38_chr6:133915787-133915797(-) aaacaatgtgt >hg38_chr6:133916799-133916809(-) agacaatgctc >hg38_chr6:133924164-133924174(+) TCACAATAGGG >hg38_chr6:133926017-133926027(-) agacaatatac >hg38_chr6:133929059-133929069(+) agataatggac >hg38_chr6:133953195-133953205(-) CGACAATAAAT >hg38_chr6:133955254-133955264(-) AAACAATGAAG >hg38_chr6:133955298-133955308(+) TAACAATGCAG >hg38_chr6:133960216-133960226(+) GCACAATGACT >hg38_chr6:133973245-133973255(-) ACACAATAGGA >hg38_chr6:133978679-133978689(+) GTACAATTGAT >hg38_chr6:133979205-133979215(-) agataatggag >hg38_chr6:133985964-133985974(+) AAACAATGCAT >hg38_chr6:133986016-133986026(+) AAACAATAACA >hg38_chr6:133996909-133996919(-) TGACAATGTGA >hg38_chr6:134035935-134035945(+) CCACAATAGCT >hg38_chr6:134035944-134035954(-) AGACAATGCAG >hg38_chr6:134036729-134036739(+) ATATAATGGTT >hg38_chr6:134050544-134050554(+) GTACAATATAA >hg38_chr6:134056257-134056267(-) aaacaatacgg >hg38_chr6:134056313-134056323(+) CTACAATGGTT >hg38_chr6:134093396-134093406(-) taacaaaggag >hg38_chr6:134146540-134146550(+) TCACAATAGGC >hg38_chr6:134146572-134146582(+) GGACAATGGCC >hg38_chr6:134156939-134156949(-) ACACAATGATA >hg38_chr6:134161646-134161656(-) ttacaatgcac >hg38_chr6:134192341-134192351(-) GAACAATGCAG >hg38_chr6:134217907-134217917(-) TTACAAAGGCC >hg38_chr6:134247915-134247925(-) GCATAATGGAT >hg38_chr6:134268159-134268169(-) ACACAATGGGC >hg38_chr6:134268237-134268247(+) TAACAATGGCT >hg38_chr6:134272844-134272854(-) tgacaatgagg >hg38_chr6:134291559-134291569(-) gaacaatagaa >hg38_chr6:134312316-134312326(-) TAACAAAGGCC >hg38_chr6:134318478-134318488(+) ACACAATGAAC >hg38_chr6:134324316-134324326(-) ACACAATGACA >hg38_chr6:134324342-134324352(-) ACACAATGACA >hg38_chr6:134391654-134391664(-) AAACAATAGCA >hg38_chr6:134442920-134442930(-) GGACAATAGCC >hg38_chr6:134448210-134448220(+) atacaatggaa >hg38_chr6:134448264-134448274(+) aaacaatgaat >hg38_chr6:134465001-134465011(+) GAACAATGCCT >hg38_chr6:134540114-134540124(+) ACACAATGTTC >hg38_chr6:134550192-134550202(+) TAACAATAATG >hg38_chr6:134550761-134550771(+) ATACAATAGCG >hg38_chr6:134562406-134562416(-) ACACAATATAT >hg38_chr6:134585087-134585097(+) GCACAATAGGC >hg38_chr6:134585587-134585597(+) AAACAATAACA >hg38_chr6:134641128-134641138(-) AGACAATGAGC >hg38_chr6:134642185-134642195(+) GAACAATGCTT >hg38_chr6:134644737-134644747(+) acacaAAGGCA >hg38_chr6:134675253-134675263(+) TAACAATGAAT >hg38_chr6:134675317-134675327(-) AGACAATGACA >hg38_chr6:134706293-134706303(+) GAACAATGCTC >hg38_chr6:134758274-134758284(+) tgacaatgtag >hg38_chr6:134787328-134787338(+) AAACAATGGTT >hg38_chr6:134787391-134787401(+) AAACAATGTAA >hg38_chr6:134796883-134796893(-) aaacaatgaca >hg38_chr6:134796896-134796906(-) gaacaatgtgg >hg38_chr6:134799821-134799831(+) AAACAATGAAT >hg38_chr6:134799839-134799849(-) ATACAAAGGTT >hg38_chr6:134851107-134851117(-) GAACAATAGGC >hg38_chr6:134870808-134870818(+) CCACAATGACT >hg38_chr6:134961307-134961317(-) ATACAATGCAA >hg38_chr6:134971528-134971538(+) GGACAAAGGAT >hg38_chr6:134972404-134972414(+) aaacaatgaag >hg38_chr6:134984569-134984579(+) tgacaatggaG >hg38_chr6:134993860-134993870(-) TAACCATGGAT >hg38_chr6:134995738-134995748(-) AAACAATGTAA >hg38_chr6:135012117-135012127(-) gaacaatatca >hg38_chr6:135019923-135019933(-) CTACAATAGTT >hg38_chr6:135026001-135026011(+) caacaatagaa >hg38_chr6:135028376-135028386(+) agacaatgttt >hg38_chr6:135028388-135028398(+) gtacaatgcac >hg38_chr6:135032597-135032607(+) ATACAATGTAT >hg38_chr6:135033753-135033763(+) ACACAATAGTG >hg38_chr6:135043545-135043555(-) TTACAATGAAT >hg38_chr6:135084485-135084495(-) ATACAATGAAT >hg38_chr6:135102329-135102339(+) atacaatgagc >hg38_chr6:135102363-135102373(-) ccacaatgaga >hg38_chr6:135234140-135234150(-) agacaatgcac >hg38_chr6:135251039-135251049(+) CAACAATGCGT >hg38_chr6:135251049-135251059(-) CCACAATGAGA >hg38_chr6:135251087-135251097(+) GAACAATGTGA >hg38_chr6:135282140-135282150(-) TAACAATGGAC >hg38_chr6:135285392-135285402(+) TAACAATATAA >hg38_chr6:135285419-135285429(+) CAACAATAGTC >hg38_chr6:135285428-135285438(+) TCACAATGGTT >hg38_chr6:135291927-135291937(+) atacaatggac >hg38_chr6:135320306-135320316(+) ATACAATAAGG >hg38_chr6:135358472-135358482(-) AGATAATGGTG >hg38_chr6:135359225-135359235(-) TAACAATAAAT >hg38_chr6:135419314-135419324(-) tgataatggaa >hg38_chr6:135419766-135419776(+) caacaatgaaa >hg38_chr6:135438986-135438996(+) TAACAATGACT >hg38_chr6:135439043-135439053(+) ATACAATGAAA >hg38_chr6:135453739-135453749(-) aaacaatgaaa >hg38_chr6:135460629-135460639(+) agacaatgcag >hg38_chr6:135497039-135497049(+) TCACAATAGAT >hg38_chr6:135498291-135498301(-) GAACAGTGGAT >hg38_chr6:135499405-135499415(+) gaataatggat >hg38_chr6:135499444-135499454(-) ctacaatgcat >hg38_chr6:135537717-135537727(+) TCACAATGCAT >hg38_chr6:135537952-135537962(-) TGACAATGTAG >hg38_chr6:135550018-135550028(-) AAACAATGGTA >hg38_chr6:135577940-135577950(+) aaacaatgtag >hg38_chr6:135592978-135592988(+) GGACAATGTAT >hg38_chr6:135605813-135605823(-) TAACAATGTTC >hg38_chr6:135606603-135606613(-) aaacaatggtg >hg38_chr6:135618133-135618143(-) taataatggta >hg38_chr6:135639072-135639082(+) gcacaatagaa >hg38_chr6:135639103-135639113(-) taacaatgagt >hg38_chr6:135639120-135639130(-) ttacaatgaaa >hg38_chr6:135690999-135691009(+) ttactatggac >hg38_chr6:135698918-135698928(+) TAACAATAACA >hg38_chr6:135714835-135714845(+) gaacaatatag >hg38_chr6:135716794-135716804(-) AAACAATAGCT >hg38_chr6:135722301-135722311(-) TTACAATGTTA >hg38_chr6:135745000-135745010(-) TAACAATGGTT >hg38_chr6:135796455-135796465(-) TAACAATGCAA >hg38_chr6:135812246-135812256(-) AGACAATAGGA >hg38_chr6:135859790-135859800(-) AGACAATATAT >hg38_chr6:135928109-135928119(-) taacaatgggc >hg38_chr6:135994721-135994731(-) CAACAATGCCA >hg38_chr6:136035843-136035853(+) GAACAATGAAG >hg38_chr6:136124482-136124492(+) AAACAATGTCA >hg38_chr6:136259308-136259318(-) AGACAATAGGT >hg38_chr6:136268754-136268764(-) CTATAATGGTA >hg38_chr6:136269983-136269993(+) GAACAATGACA >hg38_chr6:136270049-136270059(+) ACATAATGGTT >hg38_chr6:136275228-136275238(+) TTACAATGACA >hg38_chr6:136291330-136291340(+) TAACAAAGGAG >hg38_chr6:136306599-136306609(-) TGACAATGGTC >hg38_chr6:136366627-136366637(+) ACACAATGTGA >hg38_chr6:136403490-136403500(+) aaacaatgagc >hg38_chr6:136403498-136403508(-) gcataatggct >hg38_chr6:136438234-136438244(-) gcacaatgcct >hg38_chr6:136468936-136468946(-) ACACAATGTCC >hg38_chr6:136471039-136471049(-) TAACAATAGCC >hg38_chr6:136503104-136503114(+) GAATAATGGAA >hg38_chr6:136519395-136519405(+) AGACAATGGTG >hg38_chr6:136605695-136605705(+) GAACAATAACA >hg38_chr6:136613203-136613213(-) AGACAATGAGC >hg38_chr6:136662326-136662336(-) AGACAATGTTC >hg38_chr6:136688847-136688857(-) TGACAATGAAA >hg38_chr6:136695903-136695913(+) ACACAATAATA >hg38_chr6:136696109-136696119(+) TCACAATAACG >hg38_chr6:136752826-136752836(+) ggacaatggct >hg38_chr6:136767864-136767874(+) agactatggga >hg38_chr6:136840601-136840611(+) ggataatggat >hg38_chr6:136892555-136892565(-) ATACAATGGGt >hg38_chr6:136927430-136927440(-) CAACAATGGTG >hg38_chr6:136940660-136940670(+) taacaaTAGAC >hg38_chr6:136947168-136947178(-) aaacaacgggg >hg38_chr6:136956954-136956964(-) TCACAAAGGAA >hg38_chr6:136956974-136956984(-) TAACAATGACA >hg38_chr6:137014370-137014380(-) gcacaatgaga >hg38_chr6:137056769-137056779(+) agacaatagat >hg38_chr6:137068862-137068872(-) GAACAATGCAA >hg38_chr6:137087993-137088003(+) CAACAATACGA >hg38_chr6:137117751-137117761(+) TGACAATGAAG >hg38_chr6:137136230-137136240(+) taacaatagta >hg38_chr6:137148826-137148836(-) GGACAATGCCT >hg38_chr6:137172025-137172035(-) TAACAATGCTG >hg38_chr6:137241322-137241332(+) CGACAAAGGCT >hg38_chr6:137241938-137241948(-) GAACAAAGGCA >hg38_chr6:137271725-137271735(-) GAACaatgcta >hg38_chr6:137277887-137277897(-) TCACAATGAAA >hg38_chr6:137300088-137300098(-) TAACAATATGT >hg38_chr6:137315800-137315810(+) TAACAATGCAA >hg38_chr6:137315827-137315837(-) CTACAATGTTG >hg38_chr6:137322297-137322307(+) ACACAATGTAA >hg38_chr6:137339053-137339063(+) caacaatgCTC >hg38_chr6:137340146-137340156(+) ACACAATAGCA >hg38_chr6:137342283-137342293(-) GCACAATAGCC >hg38_chr6:137357717-137357727(+) acacaatgtgg >hg38_chr6:137363138-137363148(+) AGACAAAGACG >hg38_chr6:137390097-137390107(+) GAACAAAGGCC >hg38_chr6:137423742-137423752(+) AAACAATGCAT >hg38_chr6:137494548-137494558(-) CCACAATGAAT >hg38_chr6:137512306-137512316(-) AAACAATGGCT >hg38_chr6:137543020-137543030(+) taacaatgtaa >hg38_chr6:137547353-137547363(+) TAACAATACAC >hg38_chr6:137589585-137589595(-) atacaatgatg >hg38_chr6:137631330-137631340(+) tcacaatgaga >hg38_chr6:137631368-137631378(+) caataatggga >hg38_chr6:137631517-137631527(-) tgactatggta >hg38_chr6:137660471-137660481(-) GAACAATGCAT >hg38_chr6:137668560-137668570(+) tcacaatgaac >hg38_chr6:137668583-137668593(+) atacaatgttt >hg38_chr6:137668594-137668604(-) tgacaatgatt >hg38_chr6:137729009-137729019(-) ACACAATGAGC >hg38_chr6:137729024-137729034(-) AGACAATGCAT >hg38_chr6:137740894-137740904(+) ACACAATATAC >hg38_chr6:137750180-137750190(+) GCACAATGGGT >hg38_chr6:137794384-137794394(+) GGACAATGAGG >hg38_chr6:137832201-137832211(+) GTACAATGTGC >hg38_chr6:137862014-137862024(-) AAACAATGGAC >hg38_chr6:137862627-137862637(-) acactatggat >hg38_chr6:137862975-137862985(+) TCACAATGGCT >hg38_chr6:137893926-137893936(+) tgacaatgaaa >hg38_chr6:137939031-137939041(-) gaacaaaggaa >hg38_chr6:137939050-137939060(-) caacaatagag >hg38_chr6:137997809-137997819(+) atacagtggat >hg38_chr6:137997859-137997869(+) caacaatgcag >hg38_chr6:138044571-138044581(+) AAACAATGACT >hg38_chr6:138067719-138067729(-) tcacaaaggat >hg38_chr6:138109507-138109517(+) AGACAATGCAA >hg38_chr6:138133413-138133423(-) aaataatgggt >hg38_chr6:138163845-138163855(-) GCACAATGAAT >hg38_chr6:138174185-138174195(+) TCACAATAGTG >hg38_chr6:138247227-138247237(+) TGACCATGGCG >hg38_chr6:138247243-138247253(+) GAACAGTGGAG >hg38_chr6:138278433-138278443(-) AGACAATGAAG >hg38_chr6:138346916-138346926(-) GAACAATAGCT >hg38_chr6:138347129-138347139(-) TTATAATGGGT >hg38_chr6:138360830-138360840(-) tcacaatagca >hg38_chr6:138374642-138374652(-) agacaataaga >hg38_chr6:138376693-138376703(+) TTACAATAGGA >hg38_chr6:138404160-138404170(+) AAACAAAGGCG >hg38_chr6:138429937-138429947(+) CGACAATGTGA >hg38_chr6:138455929-138455939(-) AGACAATGTCT >hg38_chr6:138481507-138481517(+) AGACAATAGAT >hg38_chr6:138499197-138499207(+) ACACAATAGGT >hg38_chr6:138508205-138508215(+) ACACAAAGGGA >hg38_chr6:138510823-138510833(-) ATACAATGATT >hg38_chr6:138527551-138527561(-) GGACACTGGTA >hg38_chr6:138527589-138527599(+) GAACAGTGGAA >hg38_chr6:138541847-138541857(-) AAACTATGGCA >hg38_chr6:138544598-138544608(+) GGACAAAGGAG >hg38_chr6:138545474-138545484(+) GAACAATGACT >hg38_chr6:138547009-138547019(-) gaacaatggcc >hg38_chr6:138553255-138553265(-) AGACAATAGAG >hg38_chr6:138553282-138553292(-) AGACAAAGGAG >hg38_chr6:138563764-138563774(-) taacaatgctc >hg38_chr6:138570584-138570594(-) AAACTATGGCA >hg38_chr6:138570849-138570859(-) TCACAAAGGAA >hg38_chr6:138572563-138572573(+) AAACAATAAAA >hg38_chr6:138577533-138577543(+) AGACAAAGGTG >hg38_chr6:138601018-138601028(+) AAACAAAGGAT >hg38_chr6:138723270-138723280(-) GAACAAAGGCA >hg38_chr6:138723295-138723305(-) ACACAATGAGG >hg38_chr6:138781354-138781364(+) acacaatggca >hg38_chr6:138816313-138816323(-) GGACAAAGGAT >hg38_chr6:138818082-138818092(+) AAACAAAGGCC >hg38_chr6:138889936-138889946(-) ttacaatagca >hg38_chr6:138921109-138921119(+) GAACAATAGCA >hg38_chr6:138922522-138922532(+) AGACAATGGTA >hg38_chr6:138948230-138948240(+) ATACAATAGGA >hg38_chr6:138971697-138971707(-) GAACAATAGCA >hg38_chr6:138973788-138973798(+) aaacaatgctg >hg38_chr6:139012967-139012977(-) ggacaatgtct >hg38_chr6:139029900-139029910(+) TAACAATGCCC >hg38_chr6:139030507-139030517(+) TCACAATAGGT >hg38_chr6:139079223-139079233(-) ggacaatgact >hg38_chr6:139175096-139175106(-) AGACAATGCCT >hg38_chr6:139186443-139186453(+) ccacaatgagg >hg38_chr6:139225444-139225454(-) ttacaataggc >hg38_chr6:139266188-139266198(+) agacaatggct >hg38_chr6:139266266-139266276(+) ctacaatgctg >hg38_chr6:139281230-139281240(+) TAACAATGAAA >hg38_chr6:139281248-139281258(-) AAACAATGTTG >hg38_chr6:139281306-139281316(+) TTACAAAGGTT >hg38_chr6:139295075-139295085(-) GGACAATGAGA >hg38_chr6:139315425-139315435(-) AAACAAtgtaa >hg38_chr6:139324019-139324029(-) TGACAATGGGG >hg38_chr6:139335014-139335024(-) CTACAATGGTT >hg38_chr6:139335028-139335038(-) GAACAAAGGCT >hg38_chr6:139367996-139368006(+) GCACAATGGTG >hg38_chr6:139368765-139368775(-) GAACAATGGCA >hg38_chr6:139372060-139372070(+) TTACAATGATA >hg38_chr6:139372069-139372079(+) TAACAATGAAG >hg38_chr6:139374635-139374645(+) CAACAATGAGC >hg38_chr6:139375223-139375233(-) ACACAAAGGCA >hg38_chr6:139398858-139398868(+) acacaatgctc >hg38_chr6:139414822-139414832(-) tgataatggtc >hg38_chr6:139449841-139449851(-) TGATAATGGCT >hg38_chr6:139476339-139476349(-) CAACAATGCAA >hg38_chr6:139480926-139480936(-) CAACAATGGGA >hg38_chr6:139483320-139483330(+) CTACAATAGCA >hg38_chr6:139520713-139520723(+) GAACAATGGTG >hg38_chr6:139587054-139587064(-) TTACAATGGTA >hg38_chr6:139587109-139587119(-) ATACAATGTAT >hg38_chr6:139603004-139603014(+) CGACAATAAGC >hg38_chr6:139625890-139625900(-) AGACAATGGCA >hg38_chr6:139645001-139645011(-) GCACAATGTTC >hg38_chr6:139648714-139648724(-) GAACAAAGGGT >hg38_chr6:139662827-139662837(-) TGACAATAGTT >hg38_chr6:139691153-139691163(+) ACACAATGTAT >hg38_chr6:139714282-139714292(-) caacaatagat >hg38_chr6:139743705-139743715(-) CAACAATGGGT >hg38_chr6:139743783-139743793(-) TAACAATGTGA >hg38_chr6:139773201-139773211(-) gaacaataacc >hg38_chr6:139773228-139773238(-) acacaatataa >hg38_chr6:139778828-139778838(-) ACACAATAGAT >hg38_chr6:139796444-139796454(-) aaactatggga >hg38_chr6:139802122-139802132(+) atacagtggaa >hg38_chr6:139826218-139826228(-) gtacaatagtg >hg38_chr6:139881420-139881430(+) AGACAAAGGGA >hg38_chr6:139883437-139883447(+) ATACAATGTAT >hg38_chr6:139889009-139889019(+) AGACAATGCTG >hg38_chr6:139890213-139890223(-) TAACAATGACA >hg38_chr6:139904415-139904425(+) AAACAATAGCA >hg38_chr6:139904757-139904767(+) AGACAAAGGTC >hg38_chr6:139904827-139904837(+) TCACAATGCAT >hg38_chr6:139905228-139905238(+) ATACAATGTGC >hg38_chr6:139905842-139905852(+) TTACAATAGGA >hg38_chr6:139918263-139918273(+) GAACAATGAAA >hg38_chr6:139960823-139960833(+) gaacaatggaa >hg38_chr6:139964978-139964988(-) TCACAATAGGT >hg38_chr6:139965046-139965056(+) AAATAATGGAA >hg38_chr6:139966507-139966517(-) ATACAATAGCA >hg38_chr6:139973137-139973147(-) CAACAATGTTT >hg38_chr6:139991218-139991228(+) TGACAATGTGT >hg38_chr6:140002586-140002596(+) GAACAATGGGT >hg38_chr6:140096608-140096618(-) ccacaatagaa >hg38_chr6:140106639-140106649(-) aaacaatagca >hg38_chr6:140106651-140106661(-) taacaataaca >hg38_chr6:140106670-140106680(-) GTacaataaaa >hg38_chr6:140106700-140106710(-) ACACAATGCCA >hg38_chr6:140121660-140121670(-) tcacaatggaa >hg38_chr6:140138782-140138792(-) ATACAAAGGCC >hg38_chr6:140154131-140154141(+) AGACAAAGGGA >hg38_chr6:140155520-140155530(+) TAACAATAAAA >hg38_chr6:140166324-140166334(-) tgacaatgccc >hg38_chr6:140166713-140166723(-) ggacaaaggat >hg38_chr6:140184602-140184612(-) gcacaatgatt >hg38_chr6:140213210-140213220(-) ATACAATGCCA >hg38_chr6:140213660-140213670(-) aaacaatgata >hg38_chr6:140213695-140213705(-) atacaatggaa >hg38_chr6:140224188-140224198(+) TGACAATGACT >hg38_chr6:140224442-140224452(+) TGACAATGAAG >hg38_chr6:140224973-140224983(+) ccacaatgaag >hg38_chr6:140269929-140269939(-) GAACAAAGGAT >hg38_chr6:140271881-140271891(-) GAACAATGTGA >hg38_chr6:140307097-140307107(-) GGACTATGGTT >hg38_chr6:140311305-140311315(+) CCACAATGAAT >hg38_chr6:140347456-140347466(+) aaacaaaggca >hg38_chr6:140349284-140349294(-) ACACAATGGGA >hg38_chr6:140349299-140349309(+) TCACAATGCTA >hg38_chr6:140351756-140351766(+) taacaatagtg >hg38_chr6:140375855-140375865(+) AAACAATGAAA >hg38_chr6:140375870-140375880(-) CTACAATGATG >hg38_chr6:140401849-140401859(+) CTACTATGGAA >hg38_chr6:140436633-140436643(-) taacaaaggcc >hg38_chr6:140475288-140475298(+) gtacaaaggca >hg38_chr6:140484981-140484991(-) GGACAATGGTG >hg38_chr6:140487098-140487108(-) tgataatggca >hg38_chr6:140495599-140495609(+) tgacaataata >hg38_chr6:140495662-140495672(-) tgacaatacgt >hg38_chr6:140538054-140538064(+) ACACAATGCCT >hg38_chr6:140542570-140542580(+) GTACAATAAAA >hg38_chr6:140542611-140542621(-) AGACAATGACA >hg38_chr6:140565398-140565408(+) gaacaatgtct >hg38_chr6:140566003-140566013(-) TGACAATAGCC >hg38_chr6:140566920-140566930(+) GAACAATAGGG >hg38_chr6:140567609-140567619(-) ACACAATAGAC >hg38_chr6:140572211-140572221(-) GAATAATGATA >hg38_chr6:140609491-140609501(-) TAACAATAGCT >hg38_chr6:140623261-140623271(+) gtacaatgcaa >hg38_chr6:140623319-140623329(+) atacaatgact >hg38_chr6:140670315-140670325(-) tcacaatgagc >hg38_chr6:140708109-140708119(-) atacaatgttc >hg38_chr6:140719125-140719135(-) TAACAATGCCA >hg38_chr6:140736415-140736425(-) AAACAATGATT >hg38_chr6:140756494-140756504(-) gtacaataagt >hg38_chr6:140844802-140844812(-) TAACAATGGGC >hg38_chr6:140846470-140846480(-) tgacaatgctg >hg38_chr6:140854192-140854202(+) atacaatattc >hg38_chr6:140881482-140881492(-) AAACAATCGAT >hg38_chr6:140881512-140881522(-) ATACAATGACA >hg38_chr6:140891006-140891016(+) TCACAATGTAG >hg38_chr6:140910017-140910027(+) TAACAATAGGC >hg38_chr6:140910399-140910409(-) GTACAATAGAA >hg38_chr6:140960260-140960270(+) agacaatggac >hg38_chr6:140970242-140970252(-) ATACAATACAC >hg38_chr6:140979773-140979783(-) GGACAATGAAT >hg38_chr6:141033384-141033394(-) AGACAATAAAT >hg38_chr6:141033567-141033577(-) TTACAATGATG >hg38_chr6:141103279-141103289(+) ACACAATGGCT >hg38_chr6:141103299-141103309(+) GCACAATGATA >hg38_chr6:141110612-141110622(-) CAACAATGTGG >hg38_chr6:141111095-141111105(+) TGACAATGGAG >hg38_chr6:141111121-141111131(+) CAACAATGGTG >hg38_chr6:141112016-141112026(-) CAACAATGTTT >hg38_chr6:141126921-141126931(-) ctacaatgact >hg38_chr6:141173796-141173806(-) CAACaatgata >hg38_chr6:141173808-141173818(-) TAACAATAATT >hg38_chr6:141173820-141173830(+) TAACAATAATG >hg38_chr6:141215669-141215679(-) TAACAATAAAC >hg38_chr6:141246641-141246651(+) aaacgatggag >hg38_chr6:141253489-141253499(+) ACACAATGTTC >hg38_chr6:141281531-141281541(+) GAACAAAGGAC >hg38_chr6:141282364-141282374(-) aaacaaaggct >hg38_chr6:141326512-141326522(-) ctacaatgcct >hg38_chr6:141326545-141326555(+) ttacaatagtc >hg38_chr6:141330456-141330466(+) agacaataaca >hg38_chr6:141338450-141338460(-) agacaatgggc >hg38_chr6:141388791-141388801(+) agacaaaggtt >hg38_chr6:141401926-141401936(-) ATACAATGCAT >hg38_chr6:141440603-141440613(-) aaataatggaa >hg38_chr6:141440629-141440639(-) ggacaaaggat >hg38_chr6:141450505-141450515(-) GCACAATGAGG >hg38_chr6:141450974-141450984(-) TTAcagtggtc >hg38_chr6:141452039-141452049(-) TCACAATGTTG >hg38_chr6:141485776-141485786(+) gaacaatggct >hg38_chr6:141499562-141499572(-) ttacaatgtgc >hg38_chr6:141513781-141513791(+) gaacaatggaa >hg38_chr6:141513789-141513799(+) gaacaatatca >hg38_chr6:141541418-141541428(+) ATACAATGGAT >hg38_chr6:141578354-141578364(+) CTACAATGAGC >hg38_chr6:141594266-141594276(-) tcacaatagca >hg38_chr6:141598219-141598229(+) AAATAATGGGA >hg38_chr6:141602664-141602674(+) ggacaatgaat >hg38_chr6:141604281-141604291(+) tgataatggta >hg38_chr6:141652708-141652718(+) ACACAATGGAA >hg38_chr6:141667494-141667504(-) GAACAATGGCA >hg38_chr6:141717272-141717282(-) gaacaatatga >hg38_chr6:141780443-141780453(+) TTACAATAata >hg38_chr6:141794992-141795002(+) agacaataaca >hg38_chr6:141795035-141795045(-) gtacaatggtt >hg38_chr6:141839963-141839973(-) acacaatgcag >hg38_chr6:141866609-141866619(-) tcacaatgaca >hg38_chr6:141877419-141877429(-) ttacaatagta >hg38_chr6:141877457-141877467(+) ttacaatataa >hg38_chr6:141889167-141889177(+) aaacaatgtca >hg38_chr6:141892043-141892053(-) atacaaaggac >hg38_chr6:141904493-141904503(+) GAACAATAGCT >hg38_chr6:141914739-141914749(+) tgacaatgaac >hg38_chr6:141914797-141914807(+) TGATAATGGTG >hg38_chr6:141936041-141936051(+) AGACAATGTCA >hg38_chr6:141950359-141950369(+) taacaatacac >hg38_chr6:141986741-141986751(-) TTACAACGGTC >hg38_chr6:141990309-141990319(+) CTACAATGTTC >hg38_chr6:142013532-142013542(+) aaacaatgaat >hg38_chr6:142022293-142022303(+) CGACAATGATG >hg38_chr6:142024532-142024542(+) acacaatggag >hg38_chr6:142025418-142025428(+) ttacaaagggc >hg38_chr6:142055373-142055383(+) agacaatgcgc >hg38_chr6:142082278-142082288(+) acacaatgcct >hg38_chr6:142088930-142088940(-) GAACAAAGACG >hg38_chr6:142112622-142112632(-) GTACAATATCG >hg38_chr6:142131866-142131876(+) AAACAATAGCA >hg38_chr6:142140524-142140534(+) aaacaataaca >hg38_chr6:142163086-142163096(+) taacaatggct >hg38_chr6:142164679-142164689(+) AAACAACGGTA >hg38_chr6:142191950-142191960(-) GAACAATAGAC >hg38_chr6:142191997-142192007(-) GTACAATATCT >hg38_chr6:142194746-142194756(-) gcacaatagtc >hg38_chr6:142194773-142194783(-) aaacaatggac >hg38_chr6:142201827-142201837(+) AGACAATATAG >hg38_chr6:142218173-142218183(-) GAACAATACAC >hg38_chr6:142273887-142273897(+) gtacaatgggg >hg38_chr6:142279622-142279632(+) GCACAATAATA >hg38_chr6:142304457-142304467(-) GCACAATGTCA >hg38_chr6:142304492-142304502(-) AGACAATAGCC >hg38_chr6:142308797-142308807(-) AAACAATGGTA >hg38_chr6:142308810-142308820(+) AGACAATATAA >hg38_chr6:142320511-142320521(+) CAACAATGTTC >hg38_chr6:142329619-142329629(-) AAACAATGATT >hg38_chr6:142329669-142329679(+) ACACAAAGGGT >hg38_chr6:142340085-142340095(+) GAACAATAGCT >hg38_chr6:142369402-142369412(+) atacaataggt >hg38_chr6:142369412-142369422(-) caacaatggca >hg38_chr6:142369438-142369448(+) agacaaaggta >hg38_chr6:142374054-142374064(-) agacaatatat >hg38_chr6:142374080-142374090(+) ctacaatggct >hg38_chr6:142378273-142378283(-) AGACAAAGGGA >hg38_chr6:142378289-142378299(-) ATACAATATCT >hg38_chr6:142378335-142378345(-) acacaatgttg >hg38_chr6:142378528-142378538(+) GAATAATGGGC >hg38_chr6:142396214-142396224(+) AAACAATGACT >hg38_chr6:142396621-142396631(+) AAACAATACTA >hg38_chr6:142417403-142417413(-) AAACAAAGGAT >hg38_chr6:142441072-142441082(+) GCACAATGACA >hg38_chr6:142445926-142445936(-) TTACAATGCAA >hg38_chr6:142570184-142570194(+) TTACAATAAAT >hg38_chr6:142780806-142780816(+) AAACAATAGGG >hg38_chr6:142780828-142780838(+) AGATAATGGCA >hg38_chr6:142800863-142800873(+) AGACAATAGCT >hg38_chr6:142822455-142822465(+) tcacaatggta >hg38_chr6:142822678-142822688(-) AGATAATGGAA >hg38_chr6:142822700-142822710(-) ACACAATGCAA >hg38_chr6:142833780-142833790(+) AAACAAAGGGC >hg38_chr6:142841398-142841408(-) ACACAATGCCA >hg38_chr6:142846165-142846175(+) GGACAATAGCA >hg38_chr6:142861155-142861165(+) TCACAAAGGAA >hg38_chr6:142900257-142900267(+) AAACAAAGGAC >hg38_chr6:142902687-142902697(+) CAACAATGGTG >hg38_chr6:142912299-142912309(-) TAACAATGGTT >hg38_chr6:142914756-142914766(+) ATACAAAGGGA >hg38_chr6:142915515-142915525(+) agacaatagat >hg38_chr6:142947868-142947878(+) TTACAAAGGAA >hg38_chr6:142985085-142985095(-) ACACAATGTGG >hg38_chr6:143037576-143037586(-) agataatggaa >hg38_chr6:143037593-143037603(-) caacaatagaa >hg38_chr6:143037624-143037634(-) caacaatgaga >hg38_chr6:143046894-143046904(-) gtacaatgtag >hg38_chr6:143065970-143065980(-) GAATAATGGGT >hg38_chr6:143095074-143095084(+) ccacaatgggg >hg38_chr6:143096599-143096609(-) TGACAATAATA >hg38_chr6:143170983-143170993(-) ctataatggga >hg38_chr6:143197023-143197033(+) TGACAATGGCT >hg38_chr6:143206197-143206207(-) TTACAATGGAC >hg38_chr6:143221108-143221118(-) CTACAATAATA >hg38_chr6:143225401-143225411(+) gaacaatgaat >hg38_chr6:143226100-143226110(-) GAACAATGATG >hg38_chr6:143234334-143234344(+) CAACAATGAGA >hg38_chr6:143265180-143265190(-) CAACAATGAAA >hg38_chr6:143279620-143279630(-) TGACAAAGGTC >hg38_chr6:143286489-143286499(+) AAACAATGGCC >hg38_chr6:143286511-143286521(-) ACATAATGGGA >hg38_chr6:143398049-143398059(+) tcACAATAGTC >hg38_chr6:143411014-143411024(+) TAACAATGTTG >hg38_chr6:143411419-143411429(+) GAACAAAGGCT >hg38_chr6:143428992-143429002(+) atacaatgctg >hg38_chr6:143455882-143455892(+) gAACAATAGGT >hg38_chr6:143455935-143455945(-) CTACAATGCAA >hg38_chr6:143459870-143459880(+) CCACAATAGTA >hg38_chr6:143483787-143483797(-) gtacagtggac >hg38_chr6:143497902-143497912(+) ttacaataaaa >hg38_chr6:143511040-143511050(-) GCACAATGGCC >hg38_chr6:143531921-143531931(+) agacaaaggaa >hg38_chr6:143569380-143569390(+) ccacaatggac >hg38_chr6:143601725-143601735(-) AAACAAAGGAC >hg38_chr6:143601748-143601758(-) TAACAATGCAG >hg38_chr6:143610700-143610710(-) TCACAATGCCA >hg38_chr6:143634550-143634560(-) GTACAATGAAC >hg38_chr6:143644151-143644161(-) ATACAATGGAA >hg38_chr6:143653999-143654009(+) ttacaatgcaa >hg38_chr6:143673921-143673931(+) GAACAATAGGA >hg38_chr6:143675616-143675626(+) gaacaaagggt >hg38_chr6:143676794-143676804(+) AGACAATGGAT >hg38_chr6:143683244-143683254(+) ATACAATACAT >hg38_chr6:143683518-143683528(+) agacaatgcca >hg38_chr6:143729610-143729620(-) gtacaaaggca >hg38_chr6:143729641-143729651(-) GAacaatatat >hg38_chr6:143729951-143729961(-) gaacaaaggtg >hg38_chr6:143745753-143745763(-) ACACAATGCGG >hg38_chr6:143751114-143751124(-) AAACAATGAAT >hg38_chr6:143766436-143766446(+) acacaaaggat >hg38_chr6:143825104-143825114(-) GAACAATGAAA >hg38_chr6:143842844-143842854(+) AAACAGTGGAA >hg38_chr6:143860263-143860273(-) CAACAATAGGA >hg38_chr6:143889069-143889079(+) aaacaatagca >hg38_chr6:143889104-143889114(-) ttacaatatat >hg38_chr6:143896338-143896348(-) AGACAATGATC >hg38_chr6:143896469-143896479(-) TTACAATGGTT >hg38_chr6:143924050-143924060(+) taacaatagta >hg38_chr6:143937199-143937209(-) TGACAATGGAT >hg38_chr6:143958942-143958952(-) AAACAAAGGAC >hg38_chr6:143962706-143962716(+) GAACAAAGGGA >hg38_chr6:143966100-143966110(+) tcacaatgctg >hg38_chr6:143972936-143972946(-) GAACAATGGAG >hg38_chr6:144028043-144028053(+) AAATAATGGCT >hg38_chr6:144061923-144061933(+) AAACAATGTAT >hg38_chr6:144086901-144086911(-) ctacaatagcc >hg38_chr6:144105119-144105129(+) GAACAATGGTT >hg38_chr6:144106433-144106443(+) gaacaaaggca >hg38_chr6:144219261-144219271(-) ATACATTGGTA >hg38_chr6:144238859-144238869(-) ccacaatgaga >hg38_chr6:144259963-144259973(-) GAATAATGGGA >hg38_chr6:144260172-144260182(+) ttacaataaaa >hg38_chr6:144261157-144261167(+) AGACAATAGAC >hg38_chr6:144265592-144265602(-) GAACAATGGGG >hg38_chr6:144307998-144308008(+) agataatggcc >hg38_chr6:144349976-144349986(-) AAACAATGAAA >hg38_chr6:144350017-144350027(-) GCACAATGGTA >hg38_chr6:144358349-144358359(+) GTATAATGGAG >hg38_chr6:144371921-144371931(+) TTACAATGTTT >hg38_chr6:144399292-144399302(+) GCATAATGGCA >hg38_chr6:144444704-144444714(+) acacaatgcct >hg38_chr6:144457506-144457516(-) GAACAATGGAG >hg38_chr6:144457515-144457525(-) TAACAATATGA >hg38_chr6:144501250-144501260(+) AAACAAAGGCA >hg38_chr6:144549775-144549785(+) GCACAAAGGAC >hg38_chr6:144560039-144560049(-) tgacaATGAGA >hg38_chr6:144560244-144560254(-) AGACAATaaga >hg38_chr6:144585881-144585891(-) TTACAATAGAC >hg38_chr6:144589171-144589181(+) GCACAATAGAC >hg38_chr6:144589231-144589241(+) CAACAATAGAA >hg38_chr6:144606166-144606176(+) AAACAATGTTT >hg38_chr6:144610913-144610923(+) aaacaataggg >hg38_chr6:144613000-144613010(+) ccataatggta >hg38_chr6:144618082-144618092(-) TTACAATGTAA >hg38_chr6:144619361-144619371(-) GGATAATGGCA >hg38_chr6:144627264-144627274(-) TTACAatggga >hg38_chr6:144634050-144634060(-) GAACAATAGGA >hg38_chr6:144649054-144649064(+) GCATAATGGAG >hg38_chr6:144657695-144657705(+) AGACTATGGTA >hg38_chr6:144672452-144672462(-) gaacaatgtga >hg38_chr6:144683886-144683896(-) TCACAATGAGG >hg38_chr6:144686958-144686968(-) aaacaataaca >hg38_chr6:144716851-144716861(+) gcataatggcc >hg38_chr6:144716861-144716871(-) ccacaatagag >hg38_chr6:144727012-144727022(+) GCACAATGCAC >hg38_chr6:144757912-144757922(-) AAACAAAGGGA >hg38_chr6:144779302-144779312(-) TGACAAAGGAA >hg38_chr6:144814756-144814766(-) acacaatagtc >hg38_chr6:144841245-144841255(-) GAACAATGTTT >hg38_chr6:144847975-144847985(+) AAACAATGAGC >hg38_chr6:144911992-144912002(+) taacaatgtat >hg38_chr6:144969879-144969889(+) TTACAATGTCA >hg38_chr6:144979941-144979951(+) gtacaaaggga >hg38_chr6:144984936-144984946(-) taacaatgaag >hg38_chr6:144987506-144987516(+) acacaatgaaa >hg38_chr6:145068834-145068844(-) tcacaatgcag >hg38_chr6:145137450-145137460(+) caacaatgagg >hg38_chr6:145139693-145139703(+) GTACAATGAGC >hg38_chr6:145200329-145200339(-) GGACAATAGAG >hg38_chr6:145286174-145286184(+) agacaatagcc >hg38_chr6:145321542-145321552(+) GAACAATAGGT >hg38_chr6:145332311-145332321(+) gaacaatgtat >hg38_chr6:145339410-145339420(-) GAACAATGTTT >hg38_chr6:145373200-145373210(+) gaataatggaa >hg38_chr6:145374008-145374018(+) TCATAATGGAG >hg38_chr6:145399139-145399149(+) TTACAATGTCA >hg38_chr6:145399216-145399226(-) AAATAATGGGA >hg38_chr6:145427205-145427215(-) AAACAATATTA >hg38_chr6:145447617-145447627(+) GAACAATAGAA >hg38_chr6:145447626-145447636(+) AAACACTGGAT >hg38_chr6:145469463-145469473(+) ctacaatgaga >hg38_chr6:145561608-145561618(+) TAACAATAGGC >hg38_chr6:145568862-145568872(+) GGACAATAAGA >hg38_chr6:145568977-145568987(+) ACACAATGGAA >hg38_chr6:145569294-145569304(-) ACATAATGGAC >hg38_chr6:145588570-145588580(-) GAACAATGAGT >hg38_chr6:145588608-145588618(-) ATACAATGCAT >hg38_chr6:145592824-145592834(+) taacaatggaa >hg38_chr6:145595300-145595310(+) gaacaatgaaa >hg38_chr6:145595860-145595870(+) gaacaatgtgc >hg38_chr6:145595879-145595889(+) caacaatagtg >hg38_chr6:145658242-145658252(-) ATACAATGCAA >hg38_chr6:145669115-145669125(+) GAACAATAAGT >hg38_chr6:145670967-145670977(+) GAACAATTGTA >hg38_chr6:145688394-145688404(+) taacaatgcct >hg38_chr6:145688433-145688443(+) atacagtggca >hg38_chr6:145698225-145698235(+) AGACAAAGGTC >hg38_chr6:145724124-145724134(-) ttacaaaggca >hg38_chr6:145725697-145725707(-) ccacaatgtca >hg38_chr6:145725715-145725725(+) aaactatggag >hg38_chr6:145754141-145754151(+) caacaatgacg >hg38_chr6:145754180-145754190(-) agacgatggag >hg38_chr6:145801668-145801678(+) GCACAACGGTA >hg38_chr6:145811317-145811327(-) ATACAATGAAG >hg38_chr6:145857532-145857542(+) agacaatggta >hg38_chr6:145886542-145886552(-) ATACAGTGGCT >hg38_chr6:145886558-145886568(+) GAACAATAAAC >hg38_chr6:145917894-145917904(-) GAACAATGTAA >hg38_chr6:145928729-145928739(+) CAACAATAGCT >hg38_chr6:145942264-145942274(+) Gaacaataaga >hg38_chr6:145964464-145964474(+) ATACAGTGGAG >hg38_chr6:145993207-145993217(+) TAACAATGCGA >hg38_chr6:145993238-145993248(+) TAACAATGGTT >hg38_chr6:146001326-146001336(-) aaacaatagtc >hg38_chr6:146001371-146001381(+) ctataatggac >hg38_chr6:146008676-146008686(-) gtacaataata >hg38_chr6:146018039-146018049(-) CAACAATGATA >hg38_chr6:146078107-146078117(-) CGACAAAGGAA >hg38_chr6:146078138-146078148(-) TGACAATGCTT >hg38_chr6:146200371-146200381(+) agacaatgcct >hg38_chr6:146239099-146239109(-) CCACAATGGTG >hg38_chr6:146245886-146245896(+) ATACAATAAGA >hg38_chr6:146258938-146258948(+) GAACAATGACT >hg38_chr6:146263623-146263633(+) GTACAATATTT >hg38_chr6:146282114-146282124(-) acacaaaggca >hg38_chr6:146301917-146301927(+) GAACAATAGGC >hg38_chr6:146305334-146305344(+) GAACAATGGTT >hg38_chr6:146358038-146358048(-) TAACAATAGCT >hg38_chr6:146358064-146358074(+) GAACAATGCTA >hg38_chr6:146389137-146389147(-) ACACAATGGCC >hg38_chr6:146429433-146429443(-) ATACAAAGGAC >hg38_chr6:146524124-146524134(-) ACACAAAGGAA >hg38_chr6:146524140-146524150(-) ACACAATAGAA >hg38_chr6:146567856-146567866(-) agacaaaggat >hg38_chr6:146617499-146617509(-) agacaatgatg >hg38_chr6:146626432-146626442(-) acacaataaaa >hg38_chr6:146667093-146667103(+) GAACAATGacc >hg38_chr6:146676892-146676902(-) AAACAATGACT >hg38_chr6:146676938-146676948(-) TCACAATGCGA >hg38_chr6:146693355-146693365(+) acacagtggaa >hg38_chr6:146695123-146695133(+) GAACAATGACC >hg38_chr6:146697089-146697099(+) AGACaatgaag >hg38_chr6:146697099-146697109(+) gaacaatgaag >hg38_chr6:146697162-146697172(+) TCACAATAGGG >hg38_chr6:146707110-146707120(-) aaacaatgtgc >hg38_chr6:146719051-146719061(+) TGACAATGGTT >hg38_chr6:146719955-146719965(-) ATACAATGCAA >hg38_chr6:146722791-146722801(+) ccacaatgaac >hg38_chr6:146772010-146772020(+) TTATAATAGCG >hg38_chr6:146790904-146790914(-) ccacaatgaga >hg38_chr6:146825802-146825812(-) CTACAATGGAA >hg38_chr6:146828292-146828302(+) aaacaatagcc >hg38_chr6:146840116-146840126(+) TCACAATGAGA >hg38_chr6:146860762-146860772(+) TAACAATGACT >hg38_chr6:146902973-146902983(+) CCACAATAGGC >hg38_chr6:146914241-146914251(+) GGACAAAGGAG >hg38_chr6:146983555-146983565(+) agacaataaac >hg38_chr6:146983632-146983642(+) atacaatgtag >hg38_chr6:147001035-147001045(+) TTACTATGGAT >hg38_chr6:147005676-147005686(-) TGACAATGAAT >hg38_chr6:147010498-147010508(+) aaacaatgggg >hg38_chr6:147013694-147013704(+) caacaatggtg >hg38_chr6:147013724-147013734(+) aaacaataatc >hg38_chr6:147044317-147044327(+) gaacaaaggaa >hg38_chr6:147053564-147053574(+) GCACAATGGGG >hg38_chr6:147104525-147104535(-) aaacaatggtt >hg38_chr6:147132665-147132675(+) TTACAAAGGCT >hg38_chr6:147132695-147132705(-) GAACAAAGGGG >hg38_chr6:147135896-147135906(+) GTACAATATAC >hg38_chr6:147162503-147162513(+) ATACAATGACC >hg38_chr6:147162518-147162528(+) AAACAATAAGA >hg38_chr6:147208528-147208538(-) TTACAATAGGA >hg38_chr6:147217178-147217188(-) GCACAAAGGAA >hg38_chr6:147229381-147229391(+) ATATAATGATA >hg38_chr6:147263126-147263136(-) AAACAATATAT >hg38_chr6:147263209-147263219(-) AAACAATGCTA >hg38_chr6:147292351-147292361(-) acacaATGAAG >hg38_chr6:147312353-147312363(-) ATACAATGTGC >hg38_chr6:147325727-147325737(-) AGACAATGAAC >hg38_chr6:147340502-147340512(+) GCACAATAGTC >hg38_chr6:147340526-147340536(-) ACACAATAAAT >hg38_chr6:147354313-147354323(+) ATACAATATAT >hg38_chr6:147385537-147385547(-) CCACAATGGAG >hg38_chr6:147392322-147392332(+) ATACAATAACG >hg38_chr6:147395965-147395975(-) TAACAATAGGA >hg38_chr6:147439308-147439318(-) AAACAAAGGAA >hg38_chr6:147457294-147457304(+) AGACAATGCAT >hg38_chr6:147468022-147468032(+) GAACAATATAA >hg38_chr6:147476525-147476535(-) tgacaaaggat >hg38_chr6:147503688-147503698(-) GAACAAAGGGG >hg38_chr6:147521629-147521639(-) tcacaatagcc >hg38_chr6:147522110-147522120(-) TAACAATAGAG >hg38_chr6:147545437-147545447(-) CCACAATGAGA >hg38_chr6:147560562-147560572(+) TCACAATAGAG >hg38_chr6:147613522-147613532(+) GAACAATGACC >hg38_chr6:147613564-147613574(+) GTATAATGAAA >hg38_chr6:147653871-147653881(-) TCACAATGAGT >hg38_chr6:147654217-147654227(-) TGACAATGTCT >hg38_chr6:147678285-147678295(-) TTACAATAACA >hg38_chr6:147678308-147678318(-) TAACAATGAGA >hg38_chr6:147689703-147689713(-) TTACAATAAGT >hg38_chr6:147722303-147722313(-) ATACAATGCTA >hg38_chr6:147740208-147740218(-) TGACAAAGGAA >hg38_chr6:147740269-147740279(+) GAACAATAGGA >hg38_chr6:147769487-147769497(+) TGATAATGGAA >hg38_chr6:147810771-147810781(-) ggacaataata >hg38_chr6:147819896-147819906(-) CGACTATGGGA >hg38_chr6:147820639-147820649(-) GTACAATAGAA >hg38_chr6:147849914-147849924(+) GGACAAAGGCA >hg38_chr6:147881634-147881644(+) ACACAATAGCT >hg38_chr6:147892054-147892064(+) CGACAATGCTG >hg38_chr6:147948047-147948057(+) GCACAATGTGG >hg38_chr6:148076012-148076022(-) aaacaatgtgt >hg38_chr6:148076041-148076051(-) ctacaatggag >hg38_chr6:148121910-148121920(-) AAACAATAAGT >hg38_chr6:148150960-148150970(-) agacaatgatc >hg38_chr6:148151994-148152004(+) TAACAAAGGAT >hg38_chr6:148169900-148169910(-) GAACAATATAA >hg38_chr6:148200589-148200599(+) GAACAATGTGA >hg38_chr6:148231965-148231975(-) taataatagta >hg38_chr6:148264851-148264861(-) aaacaatagct >hg38_chr6:148289799-148289809(-) TCACAATGGCT >hg38_chr6:148305137-148305147(-) GAACAATGACC >hg38_chr6:148307580-148307590(-) TAACAATAAAT >hg38_chr6:148403951-148403961(-) GTACTATGGAA >hg38_chr6:148413603-148413613(-) GCACAATGACG >hg38_chr6:148421955-148421965(-) TGATAATGGAC >hg38_chr6:148423455-148423465(+) TTACAATAGCA >hg38_chr6:148424107-148424117(-) CAACAATAGAA >hg38_chr6:148492433-148492443(-) gcacaatgcct >hg38_chr6:148498912-148498922(-) TAACAATAGTG >hg38_chr6:148507844-148507854(-) GCACAATGTGA >hg38_chr6:148509117-148509127(+) AGACAATGAGC >hg38_chr6:148523062-148523072(+) ATACAATAGGC >hg38_chr6:148538432-148538442(+) GAACAAAGGCC >hg38_chr6:148629344-148629354(+) AAACAATGCCT >hg38_chr6:148661163-148661173(-) gtacaatataa >hg38_chr6:148709028-148709038(-) GGACAATAAAA >hg38_chr6:148712261-148712271(-) ACACAAtgtaa >hg38_chr6:148729394-148729404(-) agacaatgtat >hg38_chr6:148762712-148762722(-) agacaatgggg >hg38_chr6:148784774-148784784(+) AAACAATAGAC >hg38_chr6:148819120-148819130(+) TCACAAAGGTA >hg38_chr6:148819758-148819768(-) GTACAATGAAA >hg38_chr6:148845495-148845505(-) ggacaatgaat >hg38_chr6:148845503-148845513(-) caacaatagga >hg38_chr6:148845539-148845549(-) tcacaatagcc >hg38_chr6:148851175-148851185(-) TGACAATAGCC >hg38_chr6:148854278-148854288(-) TCACAATGAAC >hg38_chr6:148874908-148874918(-) AGACAATGCTG >hg38_chr6:148886411-148886421(+) AAACAATAGCC >hg38_chr6:148963938-148963948(+) TTACAATATAG >hg38_chr6:148974875-148974885(+) TAACACTGGTT >hg38_chr6:149014872-149014882(-) TAACAATGGGG >hg38_chr6:149026919-149026929(+) ttacaaaggaa >hg38_chr6:149029001-149029011(-) GGACAAAGGTT >hg38_chr6:149051668-149051678(-) ATACAAAGGGG >hg38_chr6:149065735-149065745(+) TCATAATGGGT >hg38_chr6:149108139-149108149(+) AAACAATGAGC >hg38_chr6:149179710-149179720(-) TTACAAAGGAA >hg38_chr6:149201492-149201502(+) GAACAGTGGGA >hg38_chr6:149221339-149221349(+) taacaatgagc >hg38_chr6:149221348-149221358(+) gcacaatgact >hg38_chr6:149264750-149264760(+) GAACAATGTTT >hg38_chr6:149269118-149269128(-) AAACAATGTTA >hg38_chr6:149271446-149271456(-) gaacaataggc >hg38_chr6:149305837-149305847(+) TTACAATAGCA >hg38_chr6:149319460-149319470(+) AAACAATGGGA >hg38_chr6:149363334-149363344(+) caacaataggT >hg38_chr6:149432162-149432172(+) CTACAACGGCG >hg38_chr6:149454860-149454870(+) TGACAATGGGG >hg38_chr6:149507125-149507135(-) CTACAGTGGTA >hg38_chr6:149516360-149516370(+) TCACAATGCTT >hg38_chr6:149516805-149516815(-) TTACAATGTTA >hg38_chr6:149516820-149516830(+) GAACAATAGGA >hg38_chr6:149517503-149517513(+) GAACAATAATT >hg38_chr6:149518730-149518740(+) TCACTATGGAT >hg38_chr6:149533072-149533082(-) AAATAATGGGT >hg38_chr6:149544432-149544442(-) gtacaatgtct >hg38_chr6:149565793-149565803(+) ccacaatgaat >hg38_chr6:149575216-149575226(-) gcacaatgcat >hg38_chr6:149614309-149614319(-) caacaatggga >hg38_chr6:149616079-149616089(-) aaacaataact >hg38_chr6:149647652-149647662(-) TCACAATGATA >hg38_chr6:149657289-149657299(+) CCACAATGTAG >hg38_chr6:149658807-149658817(+) GGACAATGCAT >hg38_chr6:149676768-149676778(+) CAACAATGACA >hg38_chr6:149699666-149699676(-) TAACAATAATT >hg38_chr6:149714845-149714855(+) cgaaaatggta >hg38_chr6:149714855-149714865(+) atacaattgaa >hg38_chr6:149716758-149716768(+) ACACAATGCTA >hg38_chr6:149724073-149724083(+) gcacaatgggc >hg38_chr6:149763995-149764005(+) CAATAATGGAA >hg38_chr6:149780717-149780727(-) atacaatcgga >hg38_chr6:149780775-149780785(-) aaacactggaa >hg38_chr6:149831481-149831491(-) GCACAATGGCT >hg38_chr6:149840877-149840887(+) CAACAATGTTT >hg38_chr6:149856017-149856027(-) AAACAATGAAC >hg38_chr6:149856030-149856040(+) ACACAATGGTT >hg38_chr6:149963936-149963946(-) ATACACTGGGC >hg38_chr6:149990555-149990565(-) AAACAATGAAG >hg38_chr6:150116536-150116546(-) ACACAATAGCT >hg38_chr6:150116601-150116611(+) TTACAATGAGA >hg38_chr6:150149181-150149191(+) ttacaatgtgt >hg38_chr6:150176438-150176448(-) ATACAATGAAG >hg38_chr6:150177665-150177675(-) CAACAATGAGG >hg38_chr6:150262234-150262244(-) TAACAATGCCC >hg38_chr6:150286637-150286647(+) TTACAATAGCT >hg38_chr6:150306820-150306830(-) GTATAATGTAA >hg38_chr6:150343368-150343378(-) gcactatggaa >hg38_chr6:150377428-150377438(-) ATACTATGGCT >hg38_chr6:150495321-150495331(-) aaacactggat >hg38_chr6:150518817-150518827(-) caacaatggct >hg38_chr6:150525340-150525350(-) AAACAATGGAA >hg38_chr6:150525409-150525419(+) ttacaatgatt >hg38_chr6:150525688-150525698(-) ATACAATGTGG >hg38_chr6:150525767-150525777(-) GGACAAAGGAA >hg38_chr6:150557764-150557774(-) GCACAATGAAA >hg38_chr6:150558250-150558260(+) caacaatagaa >hg38_chr6:150567570-150567580(+) AAACAATGGTA >hg38_chr6:150600835-150600845(+) AAACAATGAGC >hg38_chr6:150637194-150637204(-) gcataatggct >hg38_chr6:150695619-150695629(+) gaacaatggaa >hg38_chr6:150712637-150712647(-) GAACAAAGGAA >hg38_chr6:150714158-150714168(-) GCACAATGAAC >hg38_chr6:150720917-150720927(-) GGACAATAGAC >hg38_chr6:150721756-150721766(+) GAACAATGATT >hg38_chr6:150753755-150753765(-) TGACAAAGGTC >hg38_chr6:150756409-150756419(-) AAACAATGAGG >hg38_chr6:150756423-150756433(+) ATACAATGAGC >hg38_chr6:150767439-150767449(+) TGACAATGATT >hg38_chr6:150767790-150767800(-) GAACAATGAAG >hg38_chr6:150775544-150775554(-) GTACAATGGTG >hg38_chr6:150777975-150777985(-) GTACAATGGGA >hg38_chr6:150803320-150803330(+) TTACAATGTTT >hg38_chr6:150842069-150842079(-) TCACAATGAAA >hg38_chr6:150882430-150882440(-) AGACAAAGGAC >hg38_chr6:150895912-150895922(+) agacaatgtta >hg38_chr6:150977137-150977147(+) gtacaatgcac >hg38_chr6:151009768-151009778(-) AAACAAAGGTA >hg38_chr6:151022395-151022405(-) ccacaatGGAA >hg38_chr6:151022477-151022487(-) GTacaatgtga >hg38_chr6:151036524-151036534(+) ACACAAAGGAA >hg38_chr6:151045080-151045090(-) GTACAAAGGGG >hg38_chr6:151052431-151052441(+) AAACAAAGGGC >hg38_chr6:151063465-151063475(-) GCACAATGTCA >hg38_chr6:151075107-151075117(+) ggacaataaga >hg38_chr6:151087828-151087838(-) CTACAATGAAG >hg38_chr6:151128857-151128867(+) agacaatgggg >hg38_chr6:151177367-151177377(-) TGATAATGGAC >hg38_chr6:151205911-151205921(+) AGACAATGAGG >hg38_chr6:151205931-151205941(+) AAACAAAGGCT >hg38_chr6:151244050-151244060(+) GAACAATGGTC >hg38_chr6:151244077-151244087(-) AGACAATGGCC >hg38_chr6:151254811-151254821(+) CAACAATGACA >hg38_chr6:151299453-151299463(-) TAATAATGGTT >hg38_chr6:151389664-151389674(-) ATACAATGGGT >hg38_chr6:151389690-151389700(+) GAACAATGCAG >hg38_chr6:151390478-151390488(+) ACACAATGCCG >hg38_chr6:151431456-151431466(+) TTACAATAGGC >hg38_chr6:151455095-151455105(-) GTACAATGTGT >hg38_chr6:151462970-151462980(-) cgacaatggca >hg38_chr6:151527983-151527993(-) ATACAATGTGC >hg38_chr6:151576748-151576758(-) AGACAATATAT >hg38_chr6:151606739-151606749(+) ttataatggaa >hg38_chr6:151628198-151628208(+) ttacaatagct >hg38_chr6:151659407-151659417(-) GAACAATGGAA >hg38_chr6:151663744-151663754(+) GAACAATGCAA >hg38_chr6:151677543-151677553(-) GAACAATGCAA >hg38_chr6:151677556-151677566(-) AAACAATGCGA >hg38_chr6:151689312-151689322(+) ACACAATAAAA >hg38_chr6:151689338-151689348(+) CCACAATGCGT >hg38_chr6:151692371-151692381(+) GAACAATCGCT >hg38_chr6:151764606-151764616(+) TTACAATGTGT >hg38_chr6:151765761-151765771(-) TAACAATAGAG >hg38_chr6:151771250-151771260(-) CAACAATAGGC >hg38_chr6:151774071-151774081(-) ATACAATAGCC >hg38_chr6:151774083-151774093(-) TGACAATGTGC >hg38_chr6:151807618-151807628(-) TTACAAAGGTG >hg38_chr6:151891667-151891677(-) TAAcaatgaaa >hg38_chr6:151914689-151914699(-) taacaatgact >hg38_chr6:151914774-151914784(-) gtactatggag >hg38_chr6:151958495-151958505(+) gcacaatgctg >hg38_chr6:151958561-151958571(-) TAACAATGAAC >hg38_chr6:151973318-151973328(-) ATATAAtggct >hg38_chr6:151995761-151995771(+) TCACAATGAGT >hg38_chr6:152046900-152046910(-) AAACAATGCAA >hg38_chr6:152059626-152059636(-) gtacAATAGAC >hg38_chr6:152066626-152066636(-) AGACAATGAAC >hg38_chr6:152087703-152087713(-) CTACAATGACT >hg38_chr6:152087711-152087721(-) TCACAATGCTA >hg38_chr6:152161582-152161592(+) ctacaatgtgt >hg38_chr6:152208451-152208461(+) ATACAATAAGG >hg38_chr6:152208460-152208470(-) GGACAATGACC >hg38_chr6:152219970-152219980(+) GAACAAAGGGC >hg38_chr6:152220440-152220450(-) acacaatagtt >hg38_chr6:152221834-152221844(-) AGACAATGGAC >hg38_chr6:152278217-152278227(-) CCACAATGAGA >hg38_chr6:152298168-152298178(+) tcacaatgctg >hg38_chr6:152365040-152365050(-) TGACAAAGGAC >hg38_chr6:152372812-152372822(+) TGACAATAGAC >hg38_chr6:152378431-152378441(-) AAACAAAGGGA >hg38_chr6:152379051-152379061(-) TTACAATGTTC >hg38_chr6:152379488-152379498(+) CAACAATGACA >hg38_chr6:152379516-152379526(+) AAACAATGCCC >hg38_chr6:152382545-152382555(+) TTACAATGACA >hg38_chr6:152440028-152440038(-) AGACAATGGAA >hg38_chr6:152458413-152458423(+) TCACAATGTTG >hg38_chr6:152527556-152527566(-) TAACAATAATT >hg38_chr6:152550945-152550955(+) TCACAATAGAG >hg38_chr6:152550971-152550981(+) ATACAGTGGGA >hg38_chr6:152573878-152573888(-) TAACAATGAAC >hg38_chr6:152591144-152591154(-) tcacaatagcc >hg38_chr6:152598669-152598679(+) GGACAATGTGG >hg38_chr6:152681997-152682007(+) gtacaatgatg >hg38_chr6:152693114-152693124(-) ttacaatgctc >hg38_chr6:152693122-152693132(+) taacaatatta >hg38_chr6:152716036-152716046(-) caacaatgtgc >hg38_chr6:152716436-152716446(+) AAACAATGTTA >hg38_chr6:152723280-152723290(-) CGACAACGGTG >hg38_chr6:152723291-152723301(-) TAACAATGTAA >hg38_chr6:152757457-152757467(-) ATACAAAGGTA >hg38_chr6:152793709-152793719(-) ATACAATGGTA >hg38_chr6:152832935-152832945(+) acacaaaggca >hg38_chr6:152832985-152832995(+) aaacaatgcat >hg38_chr6:152832996-152833006(+) ttacaatagaa >hg38_chr6:152849056-152849066(+) TTACAATGGAA >hg38_chr6:152853211-152853221(-) GAACAATGCTT >hg38_chr6:152854325-152854335(+) CTACAAAGGGA >hg38_chr6:152976879-152976889(-) ctacaatgcac >hg38_chr6:152977106-152977116(+) GCACAATGCTG >hg38_chr6:152977152-152977162(-) CAACAATGCTA >hg38_chr6:152977185-152977195(+) AAACAATGGGG >hg38_chr6:152985092-152985102(+) TCACAATAGGT >hg38_chr6:152985119-152985129(+) GTACAATGGTC >hg38_chr6:152994152-152994162(-) TAACAAAGGTA >hg38_chr6:153004458-153004468(-) CAACAATGTAT >hg38_chr6:153030856-153030866(-) tcacaatgcca >hg38_chr6:153031244-153031254(+) ggataatggca >hg38_chr6:153031308-153031318(-) TAATAATGGGC >hg38_chr6:153047486-153047496(+) cTACAATGGAC >hg38_chr6:153075550-153075560(+) gaacaatagag >hg38_chr6:153090740-153090750(-) ggacaaaggga >hg38_chr6:153093221-153093231(-) ACACAATAGCC >hg38_chr6:153093244-153093254(+) AAACAATGGCT >hg38_chr6:153484318-153484328(-) gtacaatggtt >hg38_chr6:153503818-153503828(+) ggacaatggaa >hg38_chr6:153569713-153569723(-) ctacaatgggg >hg38_chr6:153703055-153703065(-) ATACAATGAAA >hg38_chr6:153749745-153749755(+) AAACAATCGTT >hg38_chr6:153831949-153831959(-) aaacaatggag >hg38_chr6:153959123-153959133(-) agacaatggaa >hg38_chr6:154039540-154039550(+) GTACCATGGAC >hg38_chr6:154055918-154055928(-) GGACAAAGGAG >hg38_chr6:154080766-154080776(-) GGACAAAGGAG >hg38_chr6:154122750-154122760(-) TAAGAATGGTA >hg38_chr6:154127822-154127832(+) TAACAAAGGCT >hg38_chr6:154131425-154131435(-) GGACAATGGGT >hg38_chr6:154140173-154140183(-) CGATAATGACA >hg38_chr6:154147287-154147297(-) GAACAATATGA >hg38_chr6:154239301-154239311(-) AAATAATGGTT >hg38_chr6:154240395-154240405(-) TTACAATAGAT >hg38_chr6:154251216-154251226(+) AGACAATAGAC >hg38_chr6:154251231-154251241(+) TAACAATAATA >hg38_chr6:154288242-154288252(+) GGACAATAGTG >hg38_chr6:154297990-154298000(+) GAACAATGTGA >hg38_chr6:154359522-154359532(-) ATACAATGCCT >hg38_chr6:154390020-154390030(-) gtataatgaat >hg38_chr6:154403741-154403751(+) GAACAAAGGCT >hg38_chr6:154432728-154432738(+) AGACAATGAGA >hg38_chr6:154453661-154453671(-) GAACAATAGGT >hg38_chr6:154453677-154453687(-) AAACAATAGGA >hg38_chr6:154458093-154458103(+) ctacaatggca >hg38_chr6:154475191-154475201(-) agacaatgggt >hg38_chr6:154478632-154478642(+) TTACAATGCCA >hg38_chr6:154478700-154478710(-) TTACAAAGGGA >hg38_chr6:154500994-154501004(+) TAACAATGATG >hg38_chr6:154509933-154509943(-) TGACAATGCAA >hg38_chr6:154518503-154518513(-) taacaataacg >hg38_chr6:154537773-154537783(+) CCACAATAGCT >hg38_chr6:154572282-154572292(-) TGACAAAGGTA >hg38_chr6:154596224-154596234(+) TTACAATAGCT >hg38_chr6:154596231-154596241(-) CAACAATAGCT >hg38_chr6:154604201-154604211(-) GGACAAAGGAG >hg38_chr6:154605273-154605283(+) ggacaaaggaa >hg38_chr6:154632305-154632315(+) GAACAATGGAA >hg38_chr6:154724936-154724946(-) AAATAATGGTA >hg38_chr6:154732778-154732788(-) GCACAATGAGC >hg38_chr6:154738876-154738886(-) AAACAATAGAA >hg38_chr6:154749616-154749626(-) ACACAATGCCT >hg38_chr6:154749640-154749650(-) TGACAATGAGC >hg38_chr6:154750745-154750755(-) ACACAATAAAT >hg38_chr6:154767015-154767025(-) caacaatgaag >hg38_chr6:154767047-154767057(-) ggacaatgccc >hg38_chr6:154796133-154796143(-) TAACAATGAGT >hg38_chr6:154798512-154798522(-) gaacaaaggga >hg38_chr6:154821704-154821714(-) ctataatggtc >hg38_chr6:154842071-154842081(+) GGACAATGTTA >hg38_chr6:154997143-154997153(-) aaacaatggtc >hg38_chr6:155016226-155016236(-) aaacaatgctg >hg38_chr6:155040335-155040345(-) TGATAATGGCT >hg38_chr6:155041066-155041076(-) GAACAATGGGC >hg38_chr6:155084743-155084753(-) TGACAAAGGGA >hg38_chr6:155127242-155127252(+) aaacaaaggca >hg38_chr6:155133630-155133640(-) gtacaatggtg >hg38_chr6:155143137-155143147(-) TAACAATGACA >hg38_chr6:155149095-155149105(-) tcacaatgcaa >hg38_chr6:155201981-155201991(+) TAACAATAACA >hg38_chr6:155202029-155202039(+) TAACAATAAAA >hg38_chr6:155216602-155216612(-) TTACAATAGCA >hg38_chr6:155216782-155216792(-) AGACAATGGGA >hg38_chr6:155253265-155253275(-) AAACAATGAAA >hg38_chr6:155277422-155277432(-) AAACAATAGTG >hg38_chr6:155277461-155277471(-) TGACAATGACT >hg38_chr6:155279560-155279570(+) GGACAATGTTT >hg38_chr6:155322553-155322563(+) agacaatgcat >hg38_chr6:155324095-155324105(-) ttacaatgtaa >hg38_chr6:155324342-155324352(-) AGATAATGGAT >hg38_chr6:155341769-155341779(+) tcacaatgcct >hg38_chr6:155343686-155343696(+) tcacaatgcat >hg38_chr6:155377161-155377171(-) GCATAATGGCC >hg38_chr6:155381793-155381803(-) ttacaaaggac >hg38_chr6:155381824-155381834(-) aaacaatgaga >hg38_chr6:155384376-155384386(+) TAACAACGGCT >hg38_chr6:155405185-155405195(+) AGACAATGGGA >hg38_chr6:155449615-155449625(-) AAACAATGGTA >hg38_chr6:155481816-155481826(-) agacaaaggca >hg38_chr6:155518977-155518987(+) AAACAAAGGAT >hg38_chr6:155519274-155519284(-) GGACAAAGGAT >hg38_chr6:155519679-155519689(-) aaacactggaa >hg38_chr6:155523917-155523927(+) TCACAATGGCC >hg38_chr6:155543373-155543383(-) TTACAATGAAG >hg38_chr6:155572749-155572759(-) tcacaatgcat >hg38_chr6:155599789-155599799(-) GGACAATAGGG >hg38_chr6:155630481-155630491(-) GGACAATGGGG >hg38_chr6:155645648-155645658(+) AAACAAAGGTT >hg38_chr6:155667472-155667482(-) TAATAATGGGA >hg38_chr6:155677449-155677459(+) AAACAATATAA >hg38_chr6:155677497-155677507(-) CCACAATAGTT >hg38_chr6:155713124-155713134(+) ATACAATGAAC >hg38_chr6:155717716-155717726(-) atacaatgcaa >hg38_chr6:155717730-155717740(-) atacaataaag >hg38_chr6:155718380-155718390(+) gaacaataccg >hg38_chr6:155718392-155718402(+) ttacaatagct >hg38_chr6:155719735-155719745(+) tcacaatagcc >hg38_chr6:155720286-155720296(+) taataatgtat >hg38_chr6:155721051-155721061(+) aaacaatgttt >hg38_chr6:155746429-155746439(-) TTACAAAGGTC >hg38_chr6:155754555-155754565(+) GGATAATGGGA >hg38_chr6:155756585-155756595(-) ATACAAAGGCA >hg38_chr6:155760656-155760666(+) CCACAATGAGA >hg38_chr6:155824812-155824822(-) gaacaaaggtg >hg38_chr6:155876769-155876779(+) aaacaatggga >hg38_chr6:155887562-155887572(-) TGACAATGGAC >hg38_chr6:155894987-155894997(-) TGACAATAGAG >hg38_chr6:155924079-155924089(-) gaacaatggat >hg38_chr6:155924100-155924110(+) gaacaatgagg >hg38_chr6:155939380-155939390(+) TGACAATGTGG >hg38_chr6:155939407-155939417(+) GAACAATGCAT >hg38_chr6:155956838-155956848(-) ATACAATATTT >hg38_chr6:155970688-155970698(-) taacagtgggt >hg38_chr6:156001896-156001906(-) taacaaaggag >hg38_chr6:156002207-156002217(-) ttacaatgtct >hg38_chr6:156041662-156041672(-) gcacaatggtg >hg38_chr6:156176067-156176077(-) TAACAATAGCA >hg38_chr6:156197007-156197017(+) GAACAAAGGCA >hg38_chr6:156288657-156288667(+) TTACAATGACA >hg38_chr6:156298385-156298395(+) atacaatggac >hg38_chr6:156298420-156298430(-) tgacaataata >hg38_chr6:156347328-156347338(+) AGACAATAGGC >hg38_chr6:156349472-156349482(+) GAACAATGATC >hg38_chr6:156349511-156349521(+) ATACAATGCTG >hg38_chr6:156399236-156399246(-) GCATAATGGAG >hg38_chr6:156405499-156405509(+) GGACAATGCAC >hg38_chr6:156435026-156435036(-) AAACAATGGGT >hg38_chr6:156487995-156488005(-) TGACAATGTTC >hg38_chr6:156518772-156518782(+) ggacaatagag >hg38_chr6:156557477-156557487(+) gaacaatgcct >hg38_chr6:156580382-156580392(-) ggactatggac >hg38_chr6:156655721-156655731(+) TAACAATGGCT >hg38_chr6:156658650-156658660(-) atacaaaggac >hg38_chr6:156661112-156661122(+) ctacaatggac >hg38_chr6:156679656-156679666(+) caacaatggcc >hg38_chr6:156699705-156699715(+) ATACACTGGCA >hg38_chr6:156715551-156715561(+) ccacaatgaga >hg38_chr6:156731571-156731581(+) GCACAATGTTA >hg38_chr6:156731617-156731627(+) AGACAATAGTG >hg38_chr6:156774893-156774903(+) ACACAATGGAA >hg38_chr6:156777073-156777083(-) ATACAAAGGTG >hg38_chr6:156781292-156781302(-) AAACAATGAAG >hg38_chr6:156781520-156781530(+) TAACAATAATC >hg38_chr6:156789483-156789493(+) GGACAATGCAG >hg38_chr6:156812602-156812612(+) AAACAATGTTG >hg38_chr6:156832349-156832359(-) CAACAATGCTT >hg38_chr6:156852987-156852997(+) GGATAATGGCT >hg38_chr6:156906921-156906931(-) taacaatgaga >hg38_chr6:156933320-156933330(-) TCACAATGGGC >hg38_chr6:156946543-156946553(-) TTACAATGCGC >hg38_chr6:157013771-157013781(+) GCACAAAGGGA >hg38_chr6:157025375-157025385(+) TAACAATGATG >hg38_chr6:157025666-157025676(-) aaacaatggaa >hg38_chr6:157044880-157044890(+) taacaatgagt >hg38_chr6:157070889-157070899(-) TAACAAAGGCA >hg38_chr6:157078610-157078620(-) AAACAATGCAA >hg38_chr6:157089379-157089389(-) TCACAATGTTT >hg38_chr6:157089528-157089538(-) AAACAAAGGGA >hg38_chr6:157092896-157092906(-) AAATAATGGAG >hg38_chr6:157092909-157092919(-) GAACAATGCAA >hg38_chr6:157100325-157100335(+) gaacaatagga >hg38_chr6:157104598-157104608(+) aaacaataaac >hg38_chr6:157104620-157104630(+) atataatggtg >hg38_chr6:157116691-157116701(-) ACACAATGGTG >hg38_chr6:157143250-157143260(-) GCACAATGAAG >hg38_chr6:157143716-157143726(-) CAAcaatggat >hg38_chr6:157148538-157148548(-) CAACAATGCGA >hg38_chr6:157149025-157149035(-) GAACAATGCAG >hg38_chr6:157187627-157187637(-) AAACAATGGAA >hg38_chr6:157193994-157194004(-) ggacaatgatc >hg38_chr6:157194070-157194080(-) taataatggaa >hg38_chr6:157210168-157210178(+) TAACAATGCAA >hg38_chr6:157304573-157304583(+) ctacaatgcat >hg38_chr6:157304610-157304620(+) taacaatgacc >hg38_chr6:157328883-157328893(-) taacaatgctt >hg38_chr6:157375229-157375239(+) GAACAATGCTC >hg38_chr6:157375261-157375271(-) TGACAATAGGG >hg38_chr6:157389670-157389680(+) ttacaatgtgc >hg38_chr6:157403262-157403272(-) TGACAATAGTA >hg38_chr6:157403287-157403297(+) GAACAATAACC >hg38_chr6:157436367-157436377(-) CAACAATAGCC >hg38_chr6:157436394-157436404(+) AGACAATGGGA >hg38_chr6:157465447-157465457(+) AAACAATGGCC >hg38_chr6:157465465-157465475(+) TAACAATGCAT >hg38_chr6:157501627-157501637(-) AAACAATACTA >hg38_chr6:157535943-157535953(-) AAACAAAGGTA >hg38_chr6:157570364-157570374(+) GTACAATATTT >hg38_chr6:157570384-157570394(+) TGACAATGCAG >hg38_chr6:157624394-157624404(+) CAACAATGGCA >hg38_chr6:157708563-157708573(+) ttacaaaggag >hg38_chr6:157721534-157721544(+) ttacaatgcta >hg38_chr6:157721542-157721552(+) ctacaatggca >hg38_chr6:157721580-157721590(-) ACACAAAGGCA >hg38_chr6:157741478-157741488(+) taacaatggcc >hg38_chr6:157750271-157750281(+) acactatggta >hg38_chr6:157761146-157761156(-) cgataatagaa >hg38_chr6:157761165-157761175(-) ttacaatcgcc >hg38_chr6:157761174-157761184(+) aaacaatggca >hg38_chr6:157762896-157762906(-) gaacaaaggtt >hg38_chr6:157854636-157854646(-) ACACAATGAAG >hg38_chr6:157856306-157856316(-) ggataatggtt >hg38_chr6:157857161-157857171(-) ccacaatggac >hg38_chr6:157859724-157859734(-) atacaatggcc >hg38_chr6:157914806-157914816(+) taacaaaggct >hg38_chr6:157931416-157931426(+) AAATAATGGTT >hg38_chr6:157986385-157986395(+) gtacaatggcg >hg38_chr6:158036200-158036210(-) taacaatagcc >hg38_chr6:158101228-158101238(-) agacaatggat >hg38_chr6:158118331-158118341(+) GAACAATGCCC >hg38_chr6:158121999-158122009(+) caacaatgaac >hg38_chr6:158122015-158122025(+) atactatggtg >hg38_chr6:158124698-158124708(+) agacaatggac >hg38_chr6:158166081-158166091(+) agacaatggtc >hg38_chr6:158168309-158168319(+) CCACAATAGCG >hg38_chr6:158190848-158190858(-) ACACAATGTGT >hg38_chr6:158190875-158190885(-) TGACAAAGGAC >hg38_chr6:158201031-158201041(+) GCACAATGGAG >hg38_chr6:158205518-158205528(+) TGACAATGCCC >hg38_chr6:158214461-158214471(+) gcacaatgaat >hg38_chr6:158220989-158220999(-) AGACAATGGCC >hg38_chr6:158285692-158285702(-) TAACAATGGAC >hg38_chr6:158287829-158287839(+) GCACAATAGGT >hg38_chr6:158289266-158289276(-) tgacaatgaaa >hg38_chr6:158391424-158391434(-) TAACAATGAAC >hg38_chr6:158497793-158497803(+) CGACAAAGGAG >hg38_chr6:158517876-158517886(+) acacaatggga >hg38_chr6:158548639-158548649(+) AAACAATGAGG >hg38_chr6:158644078-158644088(+) CAACAATGAGC >hg38_chr6:158695543-158695553(+) gcacaatataa >hg38_chr6:158714887-158714897(+) TGACAATGGAC >hg38_chr6:158720675-158720685(-) gaacaatgcca >hg38_chr6:158720713-158720723(-) ttacaaaggct >hg38_chr6:158798188-158798198(-) acacaatggaa >hg38_chr6:158807902-158807912(+) GGACAATGTGC >hg38_chr6:158810530-158810540(+) acataatgggA >hg38_chr6:158810540-158810550(+) ATATAATAGCG >hg38_chr6:158853646-158853656(+) GTACAATGTCT >hg38_chr6:158892390-158892400(+) ttacaatgtac >hg38_chr6:158960306-158960316(-) ggacaatgaag >hg38_chr6:158998014-158998024(-) gtacaatgcct >hg38_chr6:159039602-159039612(-) AAACTATGGTG >hg38_chr6:159067593-159067603(-) TCACGATGGAA >hg38_chr6:159067653-159067663(-) GAACAATGTGG >hg38_chr6:159069429-159069439(-) gaacaaaggga >hg38_chr6:159163244-159163254(-) gcacAATAGGC >hg38_chr6:159196978-159196988(+) ATACAATGGAT >hg38_chr6:159236377-159236387(-) ACACAATGTCC >hg38_chr6:159302054-159302064(-) GAACAATGCCA >hg38_chr6:159383204-159383214(+) AAACAATGACA >hg38_chr6:159467844-159467854(-) ACACAATAGCA >hg38_chr6:159536720-159536730(+) ctacaatagtt >hg38_chr6:159561343-159561353(+) atacaatagaa >hg38_chr6:159561766-159561776(-) ATACAATATGC >hg38_chr6:159594900-159594910(-) CAACAATGAAA >hg38_chr6:159607811-159607821(-) taacaatagca >hg38_chr6:159621636-159621646(+) aaataatggta >hg38_chr6:159631089-159631099(-) acacaatgcct >hg38_chr6:159631116-159631126(+) acacaatgggg >hg38_chr6:159631129-159631139(+) ggacaaaggca >hg38_chr6:159638656-159638666(-) aaacaaaggct >hg38_chr6:159726731-159726741(+) GAACAATAGCT >hg38_chr6:159729109-159729119(-) TGACAATGCTC >hg38_chr6:159753704-159753714(-) TAACAATGTAC >hg38_chr6:159753735-159753745(-) TCACAATAATA >hg38_chr6:159762549-159762559(+) ACACAATGGCT >hg38_chr6:159787458-159787468(+) AAACAATGGGG >hg38_chr6:159810961-159810971(-) ctacaatgact >hg38_chr6:159970081-159970091(-) AGACAATGACA >hg38_chr6:159978877-159978887(-) GAATAATGGTT >hg38_chr6:159979389-159979399(-) GAACAATGAGG >hg38_chr6:159983773-159983783(+) AGACAATATAG >hg38_chr6:159984617-159984627(+) gaacaatagga >hg38_chr6:160120601-160120611(-) gaacaatggaa >hg38_chr6:160192037-160192047(+) aaacaatagta >hg38_chr6:160204126-160204136(+) gtacaatatca >hg38_chr6:160221634-160221644(-) ctacaatgccc >hg38_chr6:160222710-160222720(+) atacaatgtac >hg38_chr6:160222724-160222734(+) agacaatagga >hg38_chr6:160240534-160240544(-) ctacaaaggac >hg38_chr6:160344471-160344481(+) gaacaatgggg >hg38_chr6:160344493-160344503(-) atactatggat >hg38_chr6:160382754-160382764(-) ctacaataggt >hg38_chr6:160388677-160388687(-) GCACAATGTAG >hg38_chr6:160394242-160394252(+) CCACAATGATC >hg38_chr6:160733322-160733332(+) GGACAATGCAC >hg38_chr6:160808448-160808458(-) ATACGATGGAC >hg38_chr6:160839321-160839331(+) gtacaatgtga >hg38_chr6:160839347-160839357(-) ccacaatgaaa >hg38_chr6:160882867-160882877(+) ACACAAAGGGT >hg38_chr6:160934588-160934598(-) aaacaatgaaa >hg38_chr6:160937825-160937835(-) ttataatggat >hg38_chr6:160937861-160937871(-) atacaataaca >hg38_chr6:160938314-160938324(-) gaacaaaggaa >hg38_chr6:160953201-160953211(-) GGACAAAGACG >hg38_chr6:160960203-160960213(-) GAACAATGAAG >hg38_chr6:161032033-161032043(-) TAAGAATGGTA >hg38_chr6:161038498-161038508(+) AGACAAAGGAT >hg38_chr6:161062713-161062723(+) tgacaatgtga >hg38_chr6:161062744-161062754(-) aaacaaaggtc >hg38_chr6:161095176-161095186(-) GGACAAAGGAC >hg38_chr6:161096071-161096081(-) TTACAATGGCA >hg38_chr6:161163702-161163712(+) TAACAATGGCA >hg38_chr6:161234641-161234651(-) GGACAATGAAC >hg38_chr6:161251456-161251466(+) gaacaaaggag >hg38_chr6:161319397-161319407(-) GAACAATGAGG >hg38_chr6:161381257-161381267(+) GAACAATGGAA >hg38_chr6:161408775-161408785(+) CCACAATGACA >hg38_chr6:161439211-161439221(+) GAACAATGACT >hg38_chr6:161443940-161443950(+) TGACAAAGGTA >hg38_chr6:161549560-161549570(-) GAATAATGATA >hg38_chr6:161599171-161599181(+) ggacaatgaga >hg38_chr6:161607030-161607040(-) gaacaaagggg >hg38_chr6:161629916-161629926(+) TGACAATAGCG >hg38_chr6:161672138-161672148(+) TAACAATGGAC >hg38_chr6:161698691-161698701(+) gtacaaaggca >hg38_chr6:161815580-161815590(+) aaacaatggtt >hg38_chr6:161850755-161850765(-) TCACAATGAAG >hg38_chr6:161857330-161857340(+) agacaatgcat >hg38_chr6:161891589-161891599(-) AGACAAAGGGC >hg38_chr6:161968943-161968953(-) GAACAATGTGA >hg38_chr6:162108238-162108248(-) GAACAAAGGCT >hg38_chr6:162230659-162230669(+) GAACAATAGAG >hg38_chr6:162237287-162237297(+) AAACAATAGGG >hg38_chr6:162262726-162262736(+) GCACAATGTGA >hg38_chr6:162262735-162262745(+) GAACAATGCTC >hg38_chr6:162290150-162290160(-) GGACAATGGTC >hg38_chr6:162316017-162316027(+) AGACAATGGGC >hg38_chr6:162345446-162345456(-) GCACAATGTAT >hg38_chr6:162463464-162463474(+) agacaaaggta >hg38_chr6:162480232-162480242(-) gtacaatgcgt >hg38_chr6:162480250-162480260(+) ttaccatggcg >hg38_chr6:162494132-162494142(-) ccacaatggga >hg38_chr6:162497503-162497513(+) GAACTATGGCT >hg38_chr6:162508589-162508599(+) AAACAATGTCA >hg38_chr6:162543503-162543513(-) GAACAAAGGCT >hg38_chr6:162604654-162604664(+) AAACAATAGCC >hg38_chr6:162606082-162606092(+) CTACAATGAAC >hg38_chr6:162689283-162689293(+) AAACAATGCAC >hg38_chr6:162743753-162743763(+) atacagtggct >hg38_chr6:162832631-162832641(+) GAACAATGTAG >hg38_chr6:162853244-162853254(-) atacaaaggct >hg38_chr6:162857032-162857042(-) AAACAAAGGCT >hg38_chr6:162882943-162882953(+) AAACAATGGAA >hg38_chr6:162941581-162941591(-) CAACAATGACA >hg38_chr6:163053923-163053933(-) acacaataggt >hg38_chr6:163065609-163065619(+) gcacaatcgga >hg38_chr6:163099728-163099738(-) TAACGATGGCA >hg38_chr6:163192028-163192038(+) ACACAAAGGAT >hg38_chr6:163192341-163192351(-) AAACAATAGAT >hg38_chr6:163248289-163248299(-) AAACAATAGCA >hg38_chr6:163249963-163249973(-) CCACAATGGGC >hg38_chr6:163260480-163260490(-) taacaataggt >hg38_chr6:163260510-163260520(-) CTAcaatagca >hg38_chr6:163301969-163301979(-) GGACAAAGGCA >hg38_chr6:163315185-163315195(+) TTACAATAGTG >hg38_chr6:163356267-163356277(-) AAACAATGCAC >hg38_chr6:163362691-163362701(-) AAACAATAGCT >hg38_chr6:163375226-163375236(+) GGACAATGAGG >hg38_chr6:163392776-163392786(+) TCACAATGCAT >hg38_chr6:163398203-163398213(+) AAACAAAGGGG >hg38_chr6:163401411-163401421(+) GAACAATGGCC >hg38_chr6:163405049-163405059(+) TGACAATGGGG >hg38_chr6:163411453-163411463(+) gcacaaaggcg >hg38_chr6:163411462-163411472(-) gtaaaatggcg >hg38_chr6:163417818-163417828(+) ATATAATGACG >hg38_chr6:163420394-163420404(-) CAACAATGTCA >hg38_chr6:163422643-163422653(+) TCACAATGTAA >hg38_chr6:163424289-163424299(-) TGATAATGGCA >hg38_chr6:163431931-163431941(+) TTACAAAGGAT >hg38_chr6:163449388-163449398(+) GTACAATGATA >hg38_chr6:163476841-163476851(-) TCACAATAGCC >hg38_chr6:163487854-163487864(-) caacaatgatt >hg38_chr6:163496256-163496266(-) TAACAATAACA >hg38_chr6:163496295-163496305(-) taacaatgcct >hg38_chr6:163496995-163497005(-) gaacaatgaat >hg38_chr6:163498318-163498328(+) ggacaatgttg >hg38_chr6:163527006-163527016(+) AAACAATAAAA >hg38_chr6:163527039-163527049(-) GCACAAAGGAA >hg38_chr6:163528571-163528581(-) ggacaatgcta >hg38_chr6:163541565-163541575(-) TTACAATGAAT >hg38_chr6:163543491-163543501(-) TTACAATGGTA >hg38_chr6:163559035-163559045(+) ACACAGTGGTA >hg38_chr6:163574059-163574069(-) AAACAAAGGCT >hg38_chr6:163592741-163592751(+) ACACAAAGGAC >hg38_chr6:163636108-163636118(+) ATACAAAGGCT >hg38_chr6:163646833-163646843(-) GGATAATGGTG >hg38_chr6:163676472-163676482(+) caacaatgatt >hg38_chr6:163700265-163700275(-) GAACAATGAAA >hg38_chr6:163700329-163700339(-) CTACAATGCCT >hg38_chr6:163776426-163776436(+) GCACAATGGGT >hg38_chr6:163780650-163780660(-) ACACAAAGGGA >hg38_chr6:163782968-163782978(-) ttacaatagct >hg38_chr6:163783276-163783286(+) gcacaaaggac >hg38_chr6:163791651-163791661(+) taacaatagta >hg38_chr6:163809127-163809137(-) AGACAATGAAA >hg38_chr6:163848167-163848177(-) agacaatgatg >hg38_chr6:163879563-163879573(+) taataatggat >hg38_chr6:163879572-163879582(+) atacaatgtgg >hg38_chr6:163943807-163943817(+) ATACAATGCCA >hg38_chr6:163983664-163983674(+) GAACAATAGCA >hg38_chr6:164010493-164010503(-) AAACAAAGGGC >hg38_chr6:164015247-164015257(-) AAACAAAGGCC >hg38_chr6:164015292-164015302(+) GTACAATAGAA >hg38_chr6:164076004-164076014(+) ggacaatgaga >hg38_chr6:164076033-164076043(+) caacaatgccc >hg38_chr6:164084879-164084889(+) TAACAATAGGG >hg38_chr6:164085691-164085701(+) AAACAGTGGAC >hg38_chr6:164099595-164099605(+) ACACAATGAGA >hg38_chr6:164102047-164102057(-) aaacaatgcat >hg38_chr6:164103019-164103029(+) TAACAAAGGGA >hg38_chr6:164138509-164138519(+) GAACAGTGGTT >hg38_chr6:164142494-164142504(+) GAATAATGGCC >hg38_chr6:164151238-164151248(+) GGACAAAGGGA >hg38_chr6:164151708-164151718(+) AGACACTGGAC >hg38_chr6:164251766-164251776(-) CCACAATGGAA >hg38_chr6:164258619-164258629(-) AAATAATGGAA >hg38_chr6:164258644-164258654(-) AAACAATGAAT >hg38_chr6:164416072-164416082(+) AGACAATAGAG >hg38_chr6:164557606-164557616(-) aaacaatggaa >hg38_chr6:164564008-164564018(-) CAACAATAGAG >hg38_chr6:164612891-164612901(+) taacaatgtct >hg38_chr6:164685408-164685418(-) GAACAATAGAC >hg38_chr6:164694165-164694175(-) GCACAATGGGA >hg38_chr6:164694523-164694533(-) AGACAATGGGC >hg38_chr6:164695102-164695112(-) AGACAATAAAT >hg38_chr6:165011346-165011356(+) TAACAATGACA >hg38_chr6:165011431-165011441(+) ttacaatgtct >hg38_chr6:165111669-165111679(-) caacaaaggcg >hg38_chr6:165116353-165116363(+) ctacaatggac >hg38_chr6:165119727-165119737(+) gaacaaaggaa >hg38_chr6:165126236-165126246(-) ACACAATAGGG >hg38_chr6:165126288-165126298(-) CTACAATAGGC >hg38_chr6:165126322-165126332(+) ACACAATGGTC >hg38_chr6:165223973-165223983(-) acacaatggcc >hg38_chr6:165666918-165666928(+) ACACAATAGGC >hg38_chr6:165695696-165695706(+) gaacagtggag >hg38_chr6:165704300-165704310(+) AAACAAAGGAG >hg38_chr6:165775374-165775384(+) ACACAATAGCC >hg38_chr6:165776551-165776561(+) TAATAATGGCA >hg38_chr6:165794920-165794930(-) AAACAATAGGC >hg38_chr6:165818385-165818395(+) TAACAATGGTG >hg38_chr6:165861347-165861357(+) agacaatgagc >hg38_chr6:165922587-165922597(+) AAACAATGACC >hg38_chr6:165936564-165936574(-) ACACAATAGAC >hg38_chr6:166005653-166005663(+) GAACAATGGGT >hg38_chr6:166014368-166014378(+) ggacaatgtta >hg38_chr6:166015089-166015099(-) gaacaatagtt >hg38_chr6:166046418-166046428(-) TAACAATGGAC >hg38_chr6:166051007-166051017(+) gtataatgtaa >hg38_chr6:166051036-166051046(+) atacaatacaa >hg38_chr6:166051041-166051051(+) atacaatacaa >hg38_chr6:166051046-166051056(+) atacaatatac >hg38_chr6:166168013-166168023(+) CAACAATGGGC >hg38_chr6:166331920-166331930(-) GAACAATGGGG >hg38_chr6:166347858-166347868(-) gaacaatgcag >hg38_chr6:166353215-166353225(+) tcacaatggcc >hg38_chr6:166365421-166365431(-) TAACAATGGAA >hg38_chr6:166489623-166489633(+) AAACAATGAGA >hg38_chr6:166551960-166551970(+) GCACAATGCCC >hg38_chr6:166555319-166555329(-) gaacaataggg >hg38_chr6:166560486-166560496(+) GAACAATGACA >hg38_chr6:166575447-166575457(-) ACACAATGAAC >hg38_chr6:166598182-166598192(-) CCACAATGTCT >hg38_chr6:166622879-166622889(-) taacaatagag >hg38_chr6:166622942-166622952(+) gcacaatgcac >hg38_chr6:166625490-166625500(+) AAACAATGCCT >hg38_chr6:166627762-166627772(-) ACATAATGACG >hg38_chr6:166627969-166627979(+) GGACAAAGGCG >hg38_chr6:166628033-166628043(+) GAACAAAGACG >hg38_chr6:166637458-166637468(+) GAACAATGAGC >hg38_chr6:166703265-166703275(+) ttactatggga >hg38_chr6:166734073-166734083(+) CAACAATAGGA >hg38_chr6:166758674-166758684(+) AGACAATAGAG >hg38_chr6:166801071-166801081(+) AAACCATGGTA >hg38_chr6:166879202-166879212(-) ttacaatgatt >hg38_chr6:166915153-166915163(+) ccacaatgcaa >hg38_chr6:166988375-166988385(-) GAACAATATGA >hg38_chr6:167027793-167027803(+) gtacagtggct >hg38_chr6:167051691-167051701(-) ttacaatgata >hg38_chr6:167061236-167061246(+) atacaaagggt >hg38_chr6:167061279-167061289(+) caacaatgaaa >hg38_chr6:167095089-167095099(-) AGACAATGGGA >hg38_chr6:167120723-167120733(-) TAACAATAAGT >hg38_chr6:167156006-167156016(-) GGACAATGGTG >hg38_chr6:167156070-167156080(-) GCACAATGTAA >hg38_chr6:167160015-167160025(-) GAACAATGTCG >hg38_chr6:167163602-167163612(-) ttacaaaggtc >hg38_chr6:167225179-167225189(-) GGACAATGGGA >hg38_chr6:167225222-167225232(+) TAACAATAAGA >hg38_chr6:167280275-167280285(-) CTACAAAGGAT >hg38_chr6:167289251-167289261(-) AAACAAAGGGA >hg38_chr6:167314158-167314168(-) AGACAATGCCG >hg38_chr6:167441920-167441930(+) GAACAATGTGA >hg38_chr6:167481596-167481606(-) caacaatgaaa >hg38_chr6:167496379-167496389(-) AGACAGTGGCG >hg38_chr6:167496390-167496400(-) AAACAATGGCA >hg38_chr6:167496416-167496426(+) CCACAATGAAT >hg38_chr6:167510693-167510703(+) TAACAAAGGTT >hg38_chr6:167531113-167531123(+) TCACAATAGCT >hg38_chr6:167580252-167580262(-) TGACAATGTAT >hg38_chr6:167588631-167588641(+) CTACAATGAGA >hg38_chr6:167659252-167659262(+) agacaaaggag >hg38_chr6:167660773-167660783(+) GAACAATGTAT >hg38_chr6:167702490-167702500(+) atacaatggta >hg38_chr6:167702529-167702539(+) ggacaatagaa >hg38_chr6:167731776-167731786(+) acacaatgggc >hg38_chr6:167732285-167732295(-) GGACAATGGGC >hg38_chr6:167732294-167732304(-) ATACAGTGGGG >hg38_chr6:167734105-167734115(+) AAACAATGGCC >hg38_chr6:167839940-167839950(-) acacaatgggt >hg38_chr6:167849585-167849595(-) ACACAATAGGC >hg38_chr6:167858580-167858590(+) GTACAGTGGTT >hg38_chr6:167860397-167860407(-) atacaatgctc >hg38_chr6:167861677-167861687(-) ACACAAAGGAA >hg38_chr6:167880216-167880226(+) AAACAATAGTT >hg38_chr6:167885890-167885900(+) ACACAATGCTG >hg38_chr6:167892966-167892976(+) AAACAATGGAT >hg38_chr6:167908805-167908815(+) CTACAATGATG >hg38_chr6:167910221-167910231(-) gcacaatgtaa >hg38_chr6:167912637-167912647(-) AGACAATGGCT >hg38_chr6:167933555-167933565(-) GCACAATAGGT >hg38_chr6:167970377-167970387(-) CAACAATAGTC >hg38_chr6:168071777-168071787(-) ACACAAAGGGA >hg38_chr6:168158238-168158248(+) TAACAAAGGCA >hg38_chr6:168158291-168158301(-) TAACAATGTAG >hg38_chr6:168216837-168216847(-) ggacaaaggat >hg38_chr6:168227048-168227058(-) AAACAATGTGT >hg38_chr6:168266198-168266208(-) CCACAATGTCC >hg38_chr6:168301782-168301792(-) aaacaaaggtt >hg38_chr6:168301806-168301816(-) aaacaaaggcc >hg38_chr6:168313578-168313588(+) AAACAAAGGGG >hg38_chr6:168326538-168326548(-) GAACAAAGGAC >hg38_chr6:168326549-168326559(-) GGACAACGGCA >hg38_chr6:168332160-168332170(+) GAACAATGGGA >hg38_chr6:168359814-168359824(-) TTACAATGAGC >hg38_chr6:168492444-168492454(+) CAACAATGGGT >hg38_chr6:168524889-168524899(-) TAACAATGCTC >hg38_chr6:168541115-168541125(-) GTACAATGTGA >hg38_chr6:168695821-168695831(-) GGACAATGCAA >hg38_chr6:168952526-168952536(+) acacaatgtgt >hg38_chr6:168965554-168965564(+) CAACAATGAAA >hg38_chr6:168965565-168965575(+) CCACAATAGCC >hg38_chr6:168974789-168974799(+) GGACAATGGGC >hg38_chr6:168989506-168989516(+) CAACAATGAAG >hg38_chr6:169007125-169007135(+) AGACAATAAAC >hg38_chr6:169020965-169020975(-) GTACAATGGTC >hg38_chr6:169097453-169097463(+) GAACAATGTGA >hg38_chr6:169142178-169142188(-) AAACAGTGGCG >hg38_chr6:169158492-169158502(+) CAACAATGCAA >hg38_chr6:169189447-169189457(+) TCACAATGGCA >hg38_chr6:169208692-169208702(+) atacaataata >hg38_chr6:169251683-169251693(-) TAACAATGAGA >hg38_chr6:169272386-169272396(+) agacaatagag >hg38_chr6:169291000-169291010(-) agacagtggaa >hg38_chr6:169308506-169308516(-) gaacaatgccc >hg38_chr6:169327978-169327988(+) aaacaatggac >hg38_chr6:169337604-169337614(-) taacaacggaa >hg38_chr6:169342902-169342912(-) GAACAATGAGG >hg38_chr6:169383104-169383114(-) ggacaatgcac >hg38_chr6:169488052-169488062(+) GGACAATGGGG >hg38_chr6:169518681-169518691(-) agacaatggga >hg38_chr6:169521962-169521972(-) ttacaatggcc >hg38_chr6:169548789-169548799(+) atacaatgaag >hg38_chr6:169561420-169561430(+) tgacaataata >hg38_chr6:169561462-169561472(-) tcacaatagca >hg38_chr6:169561495-169561505(+) taacaatagaa >hg38_chr6:169571326-169571336(+) TAACAATGCTG >hg38_chr6:169571354-169571364(+) GTACAATGACT >hg38_chr6:169617049-169617059(+) CAACAATGCAC >hg38_chr6:169617056-169617066(+) GCACAATGTTG >hg38_chr6:169619270-169619280(+) GAACAAAGCGA >hg38_chr6:169619293-169619303(+) CAACAATGGCT >hg38_chr6:169620376-169620386(+) ggacaaaggac >hg38_chr6:169647731-169647741(+) TTACAATGAAA >hg38_chr6:169693412-169693422(+) aaacaataaca >hg38_chr6:169693420-169693430(+) acacaatgaaa >hg38_chr6:169698064-169698074(+) AAACAATGGTG >hg38_chr6:169705451-169705461(+) ATACAATGTAG >hg38_chr6:169706539-169706549(+) TGACAAAGGAA >hg38_chr6:169710099-169710109(+) GGACAAAGGGC >hg38_chr6:169711179-169711189(-) GCACAATGAGG >hg38_chr6:169724802-169724812(-) GAACAAAGGCG >hg38_chr6:169820545-169820555(+) GAACAATGGCT >hg38_chr6:169944504-169944514(+) gcataatggga >hg38_chr6:169976592-169976602(+) GAACAATAGGA >hg38_chr6:169992505-169992515(+) ATACAAAGGGC >hg38_chr6:170016047-170016057(+) TAACAATGAAA >hg38_chr6:170016565-170016575(+) CAATAATGGAT >hg38_chr6:170018094-170018104(-) GAACAATGGCA >hg38_chr6:170036253-170036263(-) agacaatggag >hg38_chr6:170134129-170134139(-) AGACAATGGGC >hg38_chr6:170152640-170152650(-) GAACAAAGGTA >hg38_chr6:170222939-170222949(-) TGACAATGGCA >hg38_chr6:170266198-170266208(-) ACACAATGGGG >hg38_chr6:170298159-170298169(-) TTACAATGGAA >hg38_chr6:170497912-170497922(-) GGACAATGAGT >hg38_chr6:170543771-170543781(-) CTATAATGGCC >hg38_chr6:170563591-170563601(-) acacaatgaat >hg38_chr7:321254-321264(-) GCACAATAGTC >hg38_chr7:321284-321294(+) AAACAATGTAA >hg38_chr7:333222-333232(-) GGACAATGCCC >hg38_chr7:343688-343698(+) GGACAAAGGGC >hg38_chr7:431849-431859(+) GAACAAAGGAG >hg38_chr7:436184-436194(+) GAACAATGAGG >hg38_chr7:446111-446121(+) ggacaatggag >hg38_chr7:484122-484132(-) AGACAATAAAT >hg38_chr7:487251-487261(-) tgacaatagaa >hg38_chr7:515851-515861(+) CGATAATGGGT >hg38_chr7:532816-532826(+) GGACAATGCTC >hg38_chr7:572846-572856(+) AAACAATGTGC >hg38_chr7:610683-610693(-) AGACAGTGGAA >hg38_chr7:641549-641559(-) gaacaatacgg >hg38_chr7:701694-701704(+) gaacaatggga >hg38_chr7:830880-830890(-) CAACAATGGGC >hg38_chr7:874515-874525(+) AAACGATGGTG >hg38_chr7:876221-876231(-) CCACAATGGCG >hg38_chr7:965087-965097(+) ACACAATGGCG >hg38_chr7:1053086-1053096(-) GAACAATGGCC >hg38_chr7:1086825-1086835(+) GGACAAAGGAT >hg38_chr7:1141171-1141181(-) gtacaatagta >hg38_chr7:1141308-1141318(-) ttacaatggta >hg38_chr7:1141349-1141359(-) aaacaataatc >hg38_chr7:1218718-1218728(-) agacaatgggc >hg38_chr7:1218740-1218750(+) taacaatgctg >hg38_chr7:1242436-1242446(-) GAACAAAGGCC >hg38_chr7:1404016-1404026(-) AGACAAAGGAA >hg38_chr7:1520761-1520771(-) ggacaatgacg >hg38_chr7:1538233-1538243(+) ACACAATGCAG >hg38_chr7:1559210-1559220(-) GAACAATGGTC >hg38_chr7:1576937-1576947(+) atacaaagggc >hg38_chr7:1710070-1710080(-) TAACAATAGCG >hg38_chr7:1793981-1793991(+) gaacaatggaa >hg38_chr7:1943055-1943065(+) ggacaatgggc >hg38_chr7:1943123-1943133(+) taacaatggat >hg38_chr7:1962128-1962138(-) ccacaatagat >hg38_chr7:2021076-2021086(+) gaacagtggga >hg38_chr7:2023195-2023205(+) tgacaatgaca >hg38_chr7:2024534-2024544(+) ccacaatgaga >hg38_chr7:2069584-2069594(+) ACACAATGGGA >hg38_chr7:2095776-2095786(+) GGACAATAGGG >hg38_chr7:2195197-2195207(+) AAACAATAAAA >hg38_chr7:2233380-2233390(-) TAATAATGGTG >hg38_chr7:2233395-2233405(-) AGACAAAGGTT >hg38_chr7:2301644-2301654(-) tgacaatgact >hg38_chr7:2314770-2314780(-) GAACAAGGGCG >hg38_chr7:2360408-2360418(-) GCACAATGCAA >hg38_chr7:2360415-2360425(-) TAACAATGCAC >hg38_chr7:2414775-2414785(-) tcacaatggat >hg38_chr7:2460374-2460384(+) AAACAATGCCC >hg38_chr7:2466124-2466134(-) tgacaacggac >hg38_chr7:2472834-2472844(-) agacaatggct >hg38_chr7:2509451-2509461(+) TCACAATGGCC >hg38_chr7:2522542-2522552(+) GAACAATGCTG >hg38_chr7:2523049-2523059(+) GAACAAAGGTG >hg38_chr7:2524116-2524126(+) GGACAATGCCT >hg38_chr7:2524148-2524158(-) GGACAAAGGGC >hg38_chr7:2531150-2531160(+) GAACAATGGGC >hg38_chr7:2706746-2706756(+) aaacaatggca >hg38_chr7:2767518-2767528(-) aaacactggaa >hg38_chr7:2781854-2781864(+) ccacaatgagg >hg38_chr7:2829126-2829136(+) GTACAAAGGGC >hg38_chr7:2857028-2857038(-) aaacaatagcc >hg38_chr7:2857075-2857085(+) ttactatggca >hg38_chr7:2887340-2887350(+) CAACAATAGAA >hg38_chr7:2925300-2925310(+) TAACAAAGGCT >hg38_chr7:2948948-2948958(-) agacaataaca >hg38_chr7:2949000-2949010(-) ccacaatgaga >hg38_chr7:2956702-2956712(-) gaacaatgaaa >hg38_chr7:3068079-3068089(+) CCACAATGAAG >hg38_chr7:3093336-3093346(-) TAATAATGGTT >hg38_chr7:3093350-3093360(+) TAACAATATCG >hg38_chr7:3133130-3133140(+) ttacaatgcca >hg38_chr7:3133166-3133176(-) agacaatggaa >hg38_chr7:3135053-3135063(-) gaacaatagtc >hg38_chr7:3144412-3144422(-) GAACAATAATC >hg38_chr7:3144466-3144476(-) GAACAATGAAT >hg38_chr7:3223676-3223686(+) acacaatagaa >hg38_chr7:3223697-3223707(+) aaacaatgagg >hg38_chr7:3223742-3223752(-) taacaatggat >hg38_chr7:3244519-3244529(+) CCACAATGTCA >hg38_chr7:3272822-3272832(-) gtacaaaggaa >hg38_chr7:3281161-3281171(-) TAACAATGGCA >hg38_chr7:3294907-3294917(+) GAACAAAGGTG >hg38_chr7:3302493-3302503(+) ATACAGTGGTG >hg38_chr7:3322341-3322351(-) atacaatggaa >hg38_chr7:3333432-3333442(+) TGACTATGGAA >hg38_chr7:3415926-3415936(-) AGACAATGAGC >hg38_chr7:3754502-3754512(-) ATACAATGTTA >hg38_chr7:3754717-3754727(+) TAACAATAGGC >hg38_chr7:3761841-3761851(-) ATACAAAGGCT >hg38_chr7:3777918-3777928(+) AAACAATAAAA >hg38_chr7:3793449-3793459(+) GCACAATGACG >hg38_chr7:3861998-3862008(+) AAACAAAGGCT >hg38_chr7:3862015-3862025(-) ACACAATAATA >hg38_chr7:3899896-3899906(-) ACACAATGCCT >hg38_chr7:3910066-3910076(-) ACACAATGATT >hg38_chr7:3912054-3912064(+) gaacaaaggat >hg38_chr7:3922545-3922555(+) AAACAATGTAA >hg38_chr7:3956259-3956269(+) TTACAATGGGT >hg38_chr7:3980404-3980414(-) GAACAATGGAA >hg38_chr7:4042875-4042885(-) ggacaatggaa >hg38_chr7:4074433-4074443(-) tcacaaaggaa >hg38_chr7:4074451-4074461(+) taacaatggct >hg38_chr7:4079984-4079994(-) gaacaatcgtc >hg38_chr7:4145249-4145259(+) AGACAAAGGAG >hg38_chr7:4358302-4358312(-) agacactggac >hg38_chr7:4416195-4416205(-) GTACAAAGGGC >hg38_chr7:4562097-4562107(+) caacaatgaat >hg38_chr7:4632094-4632104(+) gcacaatacgg >hg38_chr7:4644363-4644373(+) acacaatgctc >hg38_chr7:4650891-4650901(+) GAACAAAGGCC >hg38_chr7:4744433-4744443(-) aaacaatgaga >hg38_chr7:4744464-4744474(-) gaacagtgggt >hg38_chr7:4744508-4744518(-) aaacaataccg >hg38_chr7:4744907-4744917(+) TTACAATAAAA >hg38_chr7:4818345-4818355(+) GGACAATGGTG >hg38_chr7:4830191-4830201(-) AGACAATGTTG >hg38_chr7:4856827-4856837(+) AAACAATGGCT >hg38_chr7:4859028-4859038(-) ACACTATGGAG >hg38_chr7:4882584-4882594(+) GGACAATGTGG >hg38_chr7:4882596-4882606(-) TGATAATGGGT >hg38_chr7:5174628-5174638(+) GAACAATAGTC >hg38_chr7:5240562-5240572(+) CGACAATGGGA >hg38_chr7:5244703-5244713(+) ccacaatgatt >hg38_chr7:5244770-5244780(-) agacaatggat >hg38_chr7:5341859-5341869(-) gaacaataggt >hg38_chr7:5407775-5407785(+) TCACAAAGGAT >hg38_chr7:5419775-5419785(-) GGACAAAGGGC >hg38_chr7:5427455-5427465(-) AAACAAAGACG >hg38_chr7:5526183-5526193(+) GAACAATGAGA >hg38_chr7:5529773-5529783(+) GGACAAAGGAA >hg38_chr7:5562214-5562224(+) ACACAATAGTT >hg38_chr7:5562739-5562749(-) GAACAATACGA >hg38_chr7:5574966-5574976(+) GGACAATGGGC >hg38_chr7:5637034-5637044(-) AGACAAAGGGC >hg38_chr7:5653835-5653845(+) agacaatgggc >hg38_chr7:5692837-5692847(+) TGACaatgggt >hg38_chr7:5692848-5692858(+) atacaatgtga >hg38_chr7:5693012-5693022(-) gaacaatgggt >hg38_chr7:5695836-5695846(-) CCACAATGGGG >hg38_chr7:5698293-5698303(+) ATACAATGCTC >hg38_chr7:5698346-5698356(+) TTACAATGAAG >hg38_chr7:5744205-5744215(+) atacaataact >hg38_chr7:5744232-5744242(+) atacaataact >hg38_chr7:5745160-5745170(+) TTACAATGCCA >hg38_chr7:5750186-5750196(+) aaacaatgcct >hg38_chr7:5774537-5774547(-) GCACAATGTCA >hg38_chr7:5995607-5995617(-) TCACAATGCAC >hg38_chr7:6104945-6104955(-) GGACAATGACG >hg38_chr7:6117384-6117394(-) caacaatacga >hg38_chr7:6199063-6199073(+) AAACAATGTGG >hg38_chr7:6215868-6215878(+) ccacaatggcc >hg38_chr7:6238695-6238705(+) tgacaatgaca >hg38_chr7:6240614-6240624(+) ggacaatgcag >hg38_chr7:6252577-6252587(+) ATACAATGAGT >hg38_chr7:6258607-6258617(+) caacaatgtct >hg38_chr7:6258642-6258652(+) TAACAATGACG >hg38_chr7:6269680-6269690(+) GAACAATGTGT >hg38_chr7:6306609-6306619(+) agacaaaggac >hg38_chr7:6392243-6392253(-) CAACAATGGAA >hg38_chr7:6445410-6445420(+) ccacaataggc >hg38_chr7:6447156-6447166(+) TAACAATGATT >hg38_chr7:6484443-6484453(-) ATACAATAGGC >hg38_chr7:6504363-6504373(+) GAACAAGGGCG >hg38_chr7:6560155-6560165(-) ggacaataaac >hg38_chr7:6682895-6682905(-) AAACAATGGAG >hg38_chr7:7055212-7055222(+) ctacaatggct >hg38_chr7:7131395-7131405(-) GAACAATGAAG >hg38_chr7:7136443-7136453(+) AAATAATGGAC >hg38_chr7:7136942-7136952(+) CAACAATGTTT >hg38_chr7:7156191-7156201(+) gaacaatggct >hg38_chr7:7176102-7176112(-) ttataatggat >hg38_chr7:7176898-7176908(+) GCACAATGTTG >hg38_chr7:7181788-7181798(+) AGATAATGGTT >hg38_chr7:7181835-7181845(-) CCACAATAGTC >hg38_chr7:7182264-7182274(-) TAACAATAGGG >hg38_chr7:7191664-7191674(-) taacaatggtt >hg38_chr7:7191691-7191701(-) ctacaatgaga >hg38_chr7:7203760-7203770(+) atataatgtat >hg38_chr7:7204381-7204391(-) atacaaaggat >hg38_chr7:7205000-7205010(-) taacaataacc >hg38_chr7:7229135-7229145(-) TCACAATGCCA >hg38_chr7:7241364-7241374(-) gaacaatggca >hg38_chr7:7255171-7255181(+) AAACAAAGGTA >hg38_chr7:7304905-7304915(-) GTACAATAGCT >hg38_chr7:7321907-7321917(-) AGACAATAGCC >hg38_chr7:7345680-7345690(-) aaacaatggag >hg38_chr7:7346479-7346489(+) ATACAATGTTG >hg38_chr7:7346518-7346528(-) CCACAATGGGA >hg38_chr7:7353034-7353044(-) gcacaatgtaa >hg38_chr7:7433866-7433876(-) AAACAAAGGTC >hg38_chr7:7457078-7457088(+) agacaatgaag >hg38_chr7:7505789-7505799(+) TAACAATATCC >hg38_chr7:7512907-7512917(+) acacaatgaca >hg38_chr7:7514279-7514289(+) TCATAATGGCA >hg38_chr7:7514321-7514331(-) tgacaatgtat >hg38_chr7:7514333-7514343(-) aaataatgggc >hg38_chr7:7516138-7516148(-) CTACAATGACT >hg38_chr7:7516159-7516169(+) CTATAATGGTC >hg38_chr7:7516485-7516495(-) ACACAATGGAA >hg38_chr7:7541128-7541138(+) TTACAATGCTG >hg38_chr7:7541146-7541156(-) GAACAATGTTG >hg38_chr7:7553586-7553596(-) TTACAATGTGT >hg38_chr7:7553598-7553608(+) GTACAATGTGT >hg38_chr7:7583771-7583781(-) AAACAATAACC >hg38_chr7:7653611-7653621(-) GAACAATGACA >hg38_chr7:7665270-7665280(+) GAACAATAGGA >hg38_chr7:7687284-7687294(-) caacaatgtaa >hg38_chr7:7702897-7702907(-) GGACAATGAAG >hg38_chr7:7705064-7705074(-) TAACAATGCCA >hg38_chr7:7708012-7708022(+) TCACAAAGGTA >hg38_chr7:7719435-7719445(+) GTACAATAAGC >hg38_chr7:7761945-7761955(+) atacagtgggc >hg38_chr7:7772567-7772577(-) GTACACTGGAA >hg38_chr7:7809268-7809278(-) GTACAATATTC >hg38_chr7:7809275-7809285(+) GTACAATTGTA >hg38_chr7:7835182-7835192(-) TTACAATGATG >hg38_chr7:7835223-7835233(-) AAACAATAAAA >hg38_chr7:7870470-7870480(-) CCACAATAGAG >hg38_chr7:7911488-7911498(-) ccacaatgtga >hg38_chr7:7913213-7913223(+) GAATAATGGTT >hg38_chr7:7923136-7923146(-) GAACAATGCAA >hg38_chr7:7935099-7935109(-) ggacaatagaa >hg38_chr7:7946914-7946924(-) GAACAATGAGC >hg38_chr7:7955055-7955065(-) TAACAATAAAT >hg38_chr7:7968634-7968644(-) TGACAATGGTG >hg38_chr7:7970331-7970341(+) GTACAATTGAA >hg38_chr7:7973721-7973731(-) AAACAATGAGG >hg38_chr7:7975587-7975597(+) TGACAAAGGAA >hg38_chr7:8029478-8029488(-) gcacaataata >hg38_chr7:8074111-8074121(-) atacaataaat >hg38_chr7:8074125-8074135(-) gtacaatatct >hg38_chr7:8156903-8156913(-) ATACAATGAGA >hg38_chr7:8176813-8176823(+) tcacaatgtac >hg38_chr7:8207143-8207153(+) TGACAATGGTT >hg38_chr7:8221835-8221845(-) TTACAATGGAA >hg38_chr7:8222265-8222275(+) acataatggTA >hg38_chr7:8222273-8222283(+) gTACAGTGGGG >hg38_chr7:8237791-8237801(-) atacaatgcaa >hg38_chr7:8379429-8379439(-) GTACAATAAGG >hg38_chr7:8418606-8418616(+) GAACAATGGCA >hg38_chr7:8418902-8418912(-) CAACAATGATG >hg38_chr7:8482712-8482722(+) ATACTATGGTC >hg38_chr7:8503939-8503949(-) CCACAATGTAG >hg38_chr7:8602470-8602480(-) agacaaaggaa >hg38_chr7:8606415-8606425(+) TAACAATGTGA >hg38_chr7:8615191-8615201(+) ACACAATGGGT >hg38_chr7:8638288-8638298(-) AAACAATGAGC >hg38_chr7:8748545-8748555(+) TGACAATAGTC >hg38_chr7:8748608-8748618(+) ACACAATGGCC >hg38_chr7:8864556-8864566(-) tgacaatagtt >hg38_chr7:8864570-8864580(+) tcacaatgact >hg38_chr7:8921995-8922005(-) GTACAAAGGCC >hg38_chr7:9127922-9127932(+) acacaatggaa >hg38_chr7:9319719-9319729(-) ggacaataaaa >hg38_chr7:9455146-9455156(-) ttacaatagcc >hg38_chr7:9470331-9470341(+) agacaatgggg >hg38_chr7:9580314-9580324(-) TGACAATAGGG >hg38_chr7:9657509-9657519(-) cgataatgagt >hg38_chr7:9672500-9672510(-) GAACAATGGTG >hg38_chr7:9715112-9715122(+) GGACAATGGTG >hg38_chr7:9718241-9718251(+) AAACAAAGGCC >hg38_chr7:9718250-9718260(-) GAACAATGAGG >hg38_chr7:9718290-9718300(+) GTATAATGGTG >hg38_chr7:9812589-9812599(+) GAACAATGGCC >hg38_chr7:9812596-9812606(-) AAACAATGGCC >hg38_chr7:9937634-9937644(-) AAACAAAGGTG >hg38_chr7:9938147-9938157(-) ACACAATGACC >hg38_chr7:9938988-9938998(-) cgacaataaag >hg38_chr7:9944314-9944324(+) acacaatgaat >hg38_chr7:9944322-9944332(-) taacaatgatt >hg38_chr7:9946080-9946090(+) ggacaaaggac >hg38_chr7:9965056-9965066(+) GGACAATGCCC >hg38_chr7:10010383-10010393(-) gtacaatAACA >hg38_chr7:10107423-10107433(-) aaacaatgatc >hg38_chr7:10107449-10107459(-) acacaatgaaa >hg38_chr7:10162000-10162010(+) ttacaaaggga >hg38_chr7:10225473-10225483(-) AAACAATGCCA >hg38_chr7:10227679-10227689(+) aaacaatggga >hg38_chr7:10230589-10230599(-) caacaatgatg >hg38_chr7:10230647-10230657(-) gcacaatggtg >hg38_chr7:10245543-10245553(+) CAACAATGAAT >hg38_chr7:10284019-10284029(+) AGACAATGACC >hg38_chr7:10284194-10284204(+) TAACAAAGGTA >hg38_chr7:10407301-10407311(+) TAACAATAGCT >hg38_chr7:10419247-10419257(+) ATACCATGGAA >hg38_chr7:10429801-10429811(+) TAACAATGTGA >hg38_chr7:10614396-10614406(-) tgataatggaa >hg38_chr7:10619148-10619158(-) GGACAAAGGAA >hg38_chr7:10774475-10774485(-) AAACAATAGGA >hg38_chr7:10849344-10849354(-) caacaatgtga >hg38_chr7:10850105-10850115(+) tgacaatggtg >hg38_chr7:10931315-10931325(+) AAACAAAGGCA >hg38_chr7:10973908-10973918(-) AGACAATAGGG >hg38_chr7:10982254-10982264(-) AAACAATATAA >hg38_chr7:11043076-11043086(-) GAACAATAAAA >hg38_chr7:11062065-11062075(+) AGACAATGAAA >hg38_chr7:11091066-11091076(+) ATACAAAGGAG >hg38_chr7:11098692-11098702(-) gaataatggta >hg38_chr7:11102995-11103005(+) AGACAATGCAT >hg38_chr7:11110510-11110520(-) TGACAATGAAG >hg38_chr7:11150250-11150260(-) taacaataacc >hg38_chr7:11150783-11150793(+) TGACAATGATT >hg38_chr7:11199349-11199359(-) ccacaatggaa >hg38_chr7:11276495-11276505(-) taacaatgtct >hg38_chr7:11311714-11311724(-) TTACAAAGGTG >hg38_chr7:11317506-11317516(+) gaacaatgatg >hg38_chr7:11336119-11336129(-) tcacaatgaca >hg38_chr7:11340454-11340464(+) GAACAATGCAG >hg38_chr7:11345195-11345205(+) GAACAATGGAC >hg38_chr7:11368211-11368221(-) acacaatgaga >hg38_chr7:11373673-11373683(+) GGACAATGACA >hg38_chr7:11410943-11410953(-) GAACAATACGT >hg38_chr7:11415280-11415290(-) gcacaatgcta >hg38_chr7:11466423-11466433(-) AGACAATGGTG >hg38_chr7:11500433-11500443(+) gcacaatgata >hg38_chr7:11527835-11527845(+) AAATAATGGCT >hg38_chr7:11577977-11577987(+) atacaatgaaa >hg38_chr7:11578095-11578105(-) ACATAATGGAG >hg38_chr7:11604692-11604702(+) tgacaatgcag >hg38_chr7:11625868-11625878(+) AAACAATGGGT >hg38_chr7:11715234-11715244(+) TTACAATGAAG >hg38_chr7:11716373-11716383(+) TTACAATGTAG >hg38_chr7:11716392-11716402(+) GGACAATGATG >hg38_chr7:11726453-11726463(+) GCACAATGAAA >hg38_chr7:11753601-11753611(-) aaacaatggta >hg38_chr7:11776366-11776376(+) CTACAATGCCT >hg38_chr7:11811888-11811898(-) CAACAATAGAA >hg38_chr7:11832105-11832115(+) GAACAATAgcg >hg38_chr7:11901101-11901111(+) TAACAATGAAT >hg38_chr7:11903957-11903967(-) acataatggtg >hg38_chr7:12010771-12010781(+) aaacaatgtta >hg38_chr7:12010797-12010807(+) taacaatggac >hg38_chr7:12021103-12021113(+) AAACAATGTTG >hg38_chr7:12046369-12046379(-) AGACAATAGCT >hg38_chr7:12064769-12064779(-) gcacaatgtta >hg38_chr7:12064793-12064803(+) caacaatagaa >hg38_chr7:12064811-12064821(-) TAACAATAtgt >hg38_chr7:12091462-12091472(+) taacaatggaa >hg38_chr7:12100641-12100651(-) ctacaatgaga >hg38_chr7:12120558-12120568(+) GAACAATGGCA >hg38_chr7:12146977-12146987(-) tcacaatagtc >hg38_chr7:12147018-12147028(+) taactatggca >hg38_chr7:12188261-12188271(+) TAACAATGAAT >hg38_chr7:12199460-12199470(-) AAACAATAGCC >hg38_chr7:12220884-12220894(+) acacaatggta >hg38_chr7:12244032-12244042(-) ACACAATGCCG >hg38_chr7:12244056-12244066(+) ATACAATGATG >hg38_chr7:12244288-12244298(-) gtacaatgact >hg38_chr7:12244298-12244308(+) ctacaatgggt >hg38_chr7:12249885-12249895(+) CAACAATGTGC >hg38_chr7:12329596-12329606(+) ttacaaaggga >hg38_chr7:12358790-12358800(-) ATACAATACAA >hg38_chr7:12358833-12358843(-) AGACAATGGAA >hg38_chr7:12403355-12403365(+) TTACAATGACC >hg38_chr7:12436183-12436193(+) CAACAATAGGA >hg38_chr7:12450630-12450640(+) agataatgggg >hg38_chr7:12481822-12481832(-) TCATAATGGAG >hg38_chr7:12557357-12557367(+) gaacaaagggg >hg38_chr7:12559918-12559928(+) gtacaatagga >hg38_chr7:12582397-12582407(-) AAACAATGTAT >hg38_chr7:12638918-12638928(-) AGACAATGCAT >hg38_chr7:12653625-12653635(-) TAACAATACAA >hg38_chr7:12653692-12653702(+) AGacaatgcac >hg38_chr7:12707393-12707403(+) GTACAATAATG >hg38_chr7:12716011-12716021(-) TTACAATAGGC >hg38_chr7:12729328-12729338(+) AGACAATGGGT >hg38_chr7:12749236-12749246(-) AAACAATGAAA >hg38_chr7:12749277-12749287(+) AGACAAAGGTT >hg38_chr7:12759317-12759327(+) GGACAAAGGCA >hg38_chr7:12764609-12764619(+) TGACAATGGCC >hg38_chr7:12771622-12771632(+) AGACGATGGTC >hg38_chr7:12802554-12802564(-) tcacaatgggt >hg38_chr7:12821960-12821970(-) CAACAATGCGG >hg38_chr7:12827133-12827143(+) ACACAATAGTT >hg38_chr7:12848140-12848150(-) gtacaataagt >hg38_chr7:12866422-12866432(+) TGACAATGAGG >hg38_chr7:12866477-12866487(+) GTACAATGTGT >hg38_chr7:12884891-12884901(+) TGATAATGGCT >hg38_chr7:12910275-12910285(-) CAACAATGTAA >hg38_chr7:12965922-12965932(-) TTACAATAACC >hg38_chr7:12985423-12985433(+) acacaaaggca >hg38_chr7:12985883-12985893(-) taacaatgact >hg38_chr7:13017463-13017473(+) ACACAAAGGTT >hg38_chr7:13017504-13017514(+) GGATAATGGGA >hg38_chr7:13021828-13021838(+) TTACAATGCAC >hg38_chr7:13021978-13021988(+) TTACAATATTA >hg38_chr7:13032317-13032327(-) AGACAATGTGT >hg38_chr7:13046665-13046675(-) GTACAATGTGA >hg38_chr7:13046679-13046689(+) GAACAATAGTG >hg38_chr7:13047165-13047175(+) agacaatgtga >hg38_chr7:13047209-13047219(-) ccacaatgcac >hg38_chr7:13050153-13050163(-) GCACAATACGT >hg38_chr7:13050161-13050171(-) TTACAATAGCA >hg38_chr7:13050190-13050200(+) AAACAATGTCA >hg38_chr7:13050750-13050760(-) gtacaatgtta >hg38_chr7:13099224-13099234(-) AAACAATAGGT >hg38_chr7:13151212-13151222(+) TCATAATGGCA >hg38_chr7:13152109-13152119(-) GGACAATGCAT >hg38_chr7:13204271-13204281(+) taataatggtt >hg38_chr7:13232978-13232988(+) GGACAATCGTT >hg38_chr7:13251918-13251928(-) caacaatggat >hg38_chr7:13287322-13287332(-) ATACAATGAAA >hg38_chr7:13291069-13291079(-) atacaatgttc >hg38_chr7:13291100-13291110(-) aaacaataaaa >hg38_chr7:13296093-13296103(-) gcacaatgccc >hg38_chr7:13322446-13322456(-) ATATAATGGCA >hg38_chr7:13322451-13322461(-) TTACAATATAA >hg38_chr7:13352810-13352820(+) ACACAAAGGAG >hg38_chr7:13502556-13502566(+) atacaatggga >hg38_chr7:13531646-13531656(-) AAACAATAGAG >hg38_chr7:13577135-13577145(-) GAACAATATAA >hg38_chr7:13596854-13596864(-) aaacaatgtct >hg38_chr7:13596864-13596874(+) ttataatggaa >hg38_chr7:13603976-13603986(+) GAACAAtgttt >hg38_chr7:13621409-13621419(+) atacagtggaG >hg38_chr7:13666396-13666406(-) caacaatagag >hg38_chr7:13703261-13703271(+) CAACAATGGAG >hg38_chr7:13749210-13749220(-) AAACGATGGAA >hg38_chr7:13781735-13781745(-) ggacaATGGGG >hg38_chr7:13886502-13886512(+) ATACAATGACC >hg38_chr7:13905556-13905566(+) GAACAATAGTA >hg38_chr7:13981192-13981202(+) TAACAATGACC >hg38_chr7:13999195-13999205(+) ACACAATAGTG >hg38_chr7:14009360-14009370(-) GAACAATGCTG >hg38_chr7:14011900-14011910(-) GGACAATGCGG >hg38_chr7:14027557-14027567(-) ccacaatggaa >hg38_chr7:14065519-14065529(-) AAACAGTGGGA >hg38_chr7:14065548-14065558(-) GAACAAAGGCA >hg38_chr7:14147746-14147756(+) GAACAATATTA >hg38_chr7:14233146-14233156(+) AGACAATGCAA >hg38_chr7:14242333-14242343(-) GGACAATGTAA >hg38_chr7:14250716-14250726(+) agataatgggg >hg38_chr7:14250863-14250873(-) tgataatggag >hg38_chr7:14250893-14250903(-) tcacaatagac >hg38_chr7:14251155-14251165(-) taacagtggga >hg38_chr7:14251884-14251894(-) acacaaaggaa >hg38_chr7:14271583-14271593(+) AGACAATGGAG >hg38_chr7:14278700-14278710(+) acacaatggaa >hg38_chr7:14300814-14300824(+) CAACAATGAAC >hg38_chr7:14340940-14340950(-) GAACAAAGGAA >hg38_chr7:14401510-14401520(-) AGACAATGACA >hg38_chr7:14403644-14403654(-) ATACAATGGGA >hg38_chr7:14421545-14421555(-) AGACAAAGGGC >hg38_chr7:14426484-14426494(+) CCACAATAGTT >hg38_chr7:14457921-14457931(+) AAACAATGACT >hg38_chr7:14457961-14457971(-) GAACACTGGAT >hg38_chr7:14480406-14480416(+) GAACAATGACA >hg38_chr7:14495233-14495243(+) GAATAATGGTC >hg38_chr7:14495288-14495298(-) TTATAATGGCA >hg38_chr7:14534388-14534398(+) gaacaatataa >hg38_chr7:14534415-14534425(+) aaacaatggac >hg38_chr7:14586020-14586030(+) ctacaatgtcc >hg38_chr7:14603040-14603050(+) CTACAATGACT >hg38_chr7:14604156-14604166(-) AAACAATAGTC >hg38_chr7:14622787-14622797(-) gaacaatgagg >hg38_chr7:14629613-14629623(+) gaacaatgtgg >hg38_chr7:14641270-14641280(+) TTACAATATAG >hg38_chr7:14641290-14641300(+) TTACAATATAG >hg38_chr7:14641310-14641320(+) TTACAATATAG >hg38_chr7:14641330-14641340(+) TTACAATATAG >hg38_chr7:14687193-14687203(-) agacaatagac >hg38_chr7:14770874-14770884(+) atacaaagggt >hg38_chr7:14773541-14773551(-) TTACAATGCTA >hg38_chr7:14780902-14780912(+) TAACAATAATA >hg38_chr7:14806691-14806701(+) AGACAATGAAT >hg38_chr7:14861824-14861834(-) agacaatggaa >hg38_chr7:14894597-14894607(-) AAACAATGGCT >hg38_chr7:14903555-14903565(-) GAACAATGACC >hg38_chr7:14977388-14977398(+) ATACAATGTGC >hg38_chr7:15015806-15015816(-) GCACAATAGAA >hg38_chr7:15028953-15028963(+) ctacaaaggga >hg38_chr7:15053546-15053556(-) agacaatgtgg >hg38_chr7:15131163-15131173(+) AAACAATGATT >hg38_chr7:15140946-15140956(+) tgacaataggc >hg38_chr7:15158653-15158663(+) TTACAATAAAC >hg38_chr7:15168939-15168949(+) GAACAATGGAA >hg38_chr7:15174930-15174940(-) AAACAATAAAA >hg38_chr7:15175460-15175470(+) TTACAGTGGAA >hg38_chr7:15175473-15175483(+) AAACAATAAAC >hg38_chr7:15212004-15212014(+) ACACAATGGAG >hg38_chr7:15212043-15212053(-) GAACAATAATT >hg38_chr7:15212073-15212083(+) AAACAATGCTC >hg38_chr7:15245939-15245949(-) GAACAATGGAA >hg38_chr7:15245966-15245976(+) ATACAATGAAA >hg38_chr7:15334842-15334852(+) AAACAATAGTA >hg38_chr7:15389035-15389045(-) ATACAATAAGA >hg38_chr7:15393316-15393326(+) gaacaatagca >hg38_chr7:15508320-15508330(+) aaacaataact >hg38_chr7:15559521-15559531(+) ggacaatggca >hg38_chr7:15575341-15575351(-) GTACAGTGGGC >hg38_chr7:15656713-15656723(+) taacaataata >hg38_chr7:15656744-15656754(+) agacaatgtat >hg38_chr7:15656749-15656759(-) atacaatacat >hg38_chr7:15662448-15662458(+) GCACAATAGTC >hg38_chr7:15664432-15664442(+) CAACAATGAGT >hg38_chr7:15714490-15714500(+) CCACAATGTCT >hg38_chr7:15724832-15724842(+) TAACAATAGAA >hg38_chr7:15758052-15758062(+) agacaatgaag >hg38_chr7:15772905-15772915(-) ctacaatcgag >hg38_chr7:15772924-15772934(+) tcacaatgtat >hg38_chr7:15772950-15772960(-) atacaatgtga >hg38_chr7:15783319-15783329(-) ggacagtggat >hg38_chr7:15801859-15801869(-) GAACAATGTGC >hg38_chr7:15840616-15840626(+) GAACAATGCAG >hg38_chr7:15867608-15867618(-) TAACAATGTGG >hg38_chr7:15891304-15891314(+) TAACAATGCCT >hg38_chr7:15916587-15916597(-) AAACAATGTGT >hg38_chr7:15922272-15922282(-) TAACAATGTAT >hg38_chr7:15922357-15922367(+) TAACAATGTCC >hg38_chr7:15931253-15931263(-) gaacaatgatg >hg38_chr7:15931521-15931531(-) GAACAATAGAG >hg38_chr7:15936787-15936797(+) ATACAATAACG >hg38_chr7:15952119-15952129(-) CAACAATGCTA >hg38_chr7:16108532-16108542(+) caacaatgaaa >hg38_chr7:16118608-16118618(+) GGACAAAGGAA >hg38_chr7:16124214-16124224(-) agacaataaca >hg38_chr7:16124259-16124269(-) ccacaatgagc >hg38_chr7:16129474-16129484(-) AGACAATGAGA >hg38_chr7:16129500-16129510(-) GGACAAAGACG >hg38_chr7:16175606-16175616(-) AAACAAAGGTA >hg38_chr7:16203240-16203250(-) aaacaataggt >hg38_chr7:16211871-16211881(+) AGACAATAGAG >hg38_chr7:16211884-16211894(+) GAACAATTGTA >hg38_chr7:16215452-16215462(-) GCACAATGAGC >hg38_chr7:16215498-16215508(+) TGACAATGAAT >hg38_chr7:16233719-16233729(+) GTACAATCGTA >hg38_chr7:16261138-16261148(+) ttacaatagaa >hg38_chr7:16272443-16272453(-) acataatggga >hg38_chr7:16293737-16293747(+) GGACAATGGCA >hg38_chr7:16333003-16333013(+) gcacaatgaga >hg38_chr7:16335584-16335594(+) aaacaataaca >hg38_chr7:16354366-16354376(+) AAACAAAGGGC >hg38_chr7:16384534-16384544(+) acacaatgagt >hg38_chr7:16437178-16437188(-) AAACAAAGGAT >hg38_chr7:16462284-16462294(+) GAACAATGAGG >hg38_chr7:16472166-16472176(-) ACACAATGAGG >hg38_chr7:16488663-16488673(+) taacaatagca >hg38_chr7:16491323-16491333(+) ggacaaaggga >hg38_chr7:16492668-16492678(+) ccacaatgtat >hg38_chr7:16493470-16493480(-) ggacaatgcct >hg38_chr7:16494714-16494724(+) AAACAATGAAG >hg38_chr7:16500918-16500928(+) TTACAATGATA >hg38_chr7:16504992-16505002(-) ACACAATGAAG >hg38_chr7:16532415-16532425(-) TAACAATAACG >hg38_chr7:16550847-16550857(-) taacaatatac >hg38_chr7:16580973-16580983(+) ATACAATGAAC >hg38_chr7:16638023-16638033(+) GAACAATATAT >hg38_chr7:16638840-16638850(+) gaacaatagat >hg38_chr7:16638861-16638871(-) gaacaatgggg >hg38_chr7:16646185-16646195(-) AGACAATGGAG >hg38_chr7:16650147-16650157(+) GAACAATGCGT >hg38_chr7:16655569-16655579(-) taacaataatg >hg38_chr7:16656241-16656251(-) aaacaaaggtt >hg38_chr7:16660840-16660850(+) tcacaaaggac >hg38_chr7:16668759-16668769(-) CGACAATGCAA >hg38_chr7:16671222-16671232(-) atacaatgaaa >hg38_chr7:16686641-16686651(+) TTACAATGCAA >hg38_chr7:16698691-16698701(-) ATACAATGAAA >hg38_chr7:16703593-16703603(+) GGACAATAATA >hg38_chr7:16723247-16723257(+) TCACAATGGAA >hg38_chr7:16738174-16738184(+) taacaatagga >hg38_chr7:16743366-16743376(+) aaacaatgtgt >hg38_chr7:16743376-16743386(-) ATACACtggaa >hg38_chr7:16745850-16745860(-) TGACAATGAGA >hg38_chr7:16748826-16748836(+) ccacaatgacc >hg38_chr7:16753679-16753689(-) GAACAAAGGTA >hg38_chr7:16765739-16765749(-) AGACAATGCTG >hg38_chr7:16805204-16805214(-) TAACAATACGT >hg38_chr7:16811461-16811471(-) ATACAATAAAA >hg38_chr7:16828833-16828843(-) ttacaatgtta >hg38_chr7:16882341-16882351(-) GAACAATGGCA >hg38_chr7:16890745-16890755(-) CAACAATGTTA >hg38_chr7:16898968-16898978(-) taacaatacta >hg38_chr7:16898995-16899005(-) tcataatggca >hg38_chr7:16904220-16904230(-) tcacaatgaaa >hg38_chr7:16905714-16905724(-) aaacaatgaag >hg38_chr7:16916305-16916315(+) ctacaatggta >hg38_chr7:16971218-16971228(+) gaacaatgggt >hg38_chr7:16993942-16993952(-) gaacaatggat >hg38_chr7:17007981-17007991(-) tgacaatgctg >hg38_chr7:17010013-17010023(+) TCACAATAGGC >hg38_chr7:17013278-17013288(-) CAACAATGCCA >hg38_chr7:17020371-17020381(+) ttacaaaggaa >hg38_chr7:17020400-17020410(+) ggacaatgtgg >hg38_chr7:17043156-17043166(+) TCACAATGTCT >hg38_chr7:17054954-17054964(-) caacaatgaac >hg38_chr7:17054968-17054978(-) atacaatgatg >hg38_chr7:17090061-17090071(+) GAACAATGGAG >hg38_chr7:17099723-17099733(-) aaacaatagca >hg38_chr7:17116397-17116407(+) ACACAATAGGC >hg38_chr7:17120803-17120813(-) ATACAATGCAA >hg38_chr7:17122711-17122721(+) TAACACTGGTA >hg38_chr7:17122761-17122771(+) AGACAATGAAA >hg38_chr7:17140578-17140588(+) TCACAATGTAA >hg38_chr7:17140634-17140644(-) AGACAATAGCT >hg38_chr7:17143902-17143912(+) GAATAATGGAG >hg38_chr7:17155949-17155959(+) aaacaaaggag >hg38_chr7:17185437-17185447(-) TGACAATGGGA >hg38_chr7:17185454-17185464(+) TAACAATAAAC >hg38_chr7:17185461-17185471(+) AAACAATGAGA >hg38_chr7:17211318-17211328(-) taacaatatag >hg38_chr7:17225526-17225536(+) gcacaatgtcc >hg38_chr7:17312792-17312802(-) gcacaatgcag >hg38_chr7:17314556-17314566(-) ACACAATAGCT >hg38_chr7:17315408-17315418(-) ATACAATGAGT >hg38_chr7:17319319-17319329(-) ggacaatgcta >hg38_chr7:17327064-17327074(+) aaataatggac >hg38_chr7:17347497-17347507(-) TAACAAAGGAA >hg38_chr7:17358810-17358820(+) gcacaatgact >hg38_chr7:17436898-17436908(-) GAACAATAAAT >hg38_chr7:17437695-17437705(-) GAACAATGAAT >hg38_chr7:17471647-17471657(+) aaaccatggta >hg38_chr7:17485937-17485947(+) gcataatggaa >hg38_chr7:17497687-17497697(+) Ccacaatgagg >hg38_chr7:17497710-17497720(+) caacaatgtca >hg38_chr7:17502180-17502190(+) ATACAATAAGT >hg38_chr7:17502210-17502220(+) TCACAAAGGAC >hg38_chr7:17515830-17515840(+) agacaatagtg >hg38_chr7:17531240-17531250(-) TTACAAAGGAG >hg38_chr7:17546782-17546792(-) TCACAATAGTA >hg38_chr7:17560626-17560636(-) GAACAATGCAA >hg38_chr7:17600535-17600545(-) ATACAATGTTT >hg38_chr7:17654264-17654274(+) TAACAATGAGA >hg38_chr7:17654272-17654282(+) AGACAATAATA >hg38_chr7:17656148-17656158(+) gaacaatataa >hg38_chr7:17680354-17680364(-) GAACAATGACT >hg38_chr7:17696661-17696671(+) AAACAATGACG >hg38_chr7:17710529-17710539(-) GAACAATAGGC >hg38_chr7:17750526-17750536(-) taacaataaga >hg38_chr7:17750542-17750552(-) taacaatagac >hg38_chr7:17772286-17772296(-) AGACAATGGGA >hg38_chr7:17777998-17778008(+) atacaataata >hg38_chr7:17778006-17778016(+) atacaataata >hg38_chr7:17852490-17852500(+) taacaatgggg >hg38_chr7:17852812-17852822(+) ttacaatgctc >hg38_chr7:17866426-17866436(+) ctacaatgaga >hg38_chr7:17875744-17875754(+) CTACAATGCGT >hg38_chr7:17884858-17884868(+) agacaataata >hg38_chr7:17929813-17929823(-) ATACAAAGGGA >hg38_chr7:17940999-17941009(-) GGACAAAGGGA >hg38_chr7:18003103-18003113(+) AAACAATGGCA >hg38_chr7:18040428-18040438(+) ttacactggat >hg38_chr7:18074150-18074160(+) GTACAATATCC >hg38_chr7:18078496-18078506(+) gaacaatgctt >hg38_chr7:18081354-18081364(+) gtacaatgata >hg38_chr7:18129071-18129081(+) gaacaatgctg >hg38_chr7:18141123-18141133(-) GAACAATAGAA >hg38_chr7:18224877-18224887(-) GGACAAAGGGA >hg38_chr7:18228089-18228099(-) GCACAATGATT >hg38_chr7:18242945-18242955(+) AAACAATAGAT >hg38_chr7:18283523-18283533(+) TAACAGTGGTA >hg38_chr7:18301636-18301646(+) GTACAATAAGC >hg38_chr7:18354273-18354283(-) TCACAATGAAG >hg38_chr7:18354319-18354329(-) GCATAATGGTT >hg38_chr7:18364024-18364034(+) TTACAATGTCT >hg38_chr7:18364049-18364059(+) CCACAATAGTT >hg38_chr7:18397123-18397133(+) ATATAATGGAT >hg38_chr7:18397882-18397892(+) TAACAATGTCA >hg38_chr7:18407573-18407583(-) GAACAATGGGA >hg38_chr7:18407624-18407634(+) TTACAATGCAG >hg38_chr7:18407809-18407819(-) ACACAATAGAT >hg38_chr7:18408683-18408693(-) TAACAATGGTG >hg38_chr7:18426856-18426866(-) AAATAATGGTC >hg38_chr7:18445062-18445072(-) AGACAATGGCC >hg38_chr7:18445716-18445726(+) AAACAATGTTT >hg38_chr7:18446083-18446093(-) CGACAAAGGCC >hg38_chr7:18495641-18495651(-) GAACAATGGTC >hg38_chr7:18509110-18509120(+) GAACAATGGTG >hg38_chr7:18529721-18529731(-) CAACAATGTAT >hg38_chr7:18553127-18553137(-) ACACAATGGTT >hg38_chr7:18566122-18566132(-) CTACAATAGTT >hg38_chr7:18581054-18581064(-) GGACAATAGCT >hg38_chr7:18588020-18588030(+) TTACAATGGAA >hg38_chr7:18667760-18667770(-) AGACAATAAAT >hg38_chr7:18668049-18668059(-) GAACAATGTCT >hg38_chr7:18682250-18682260(-) GCACAATGTTC >hg38_chr7:18762679-18762689(+) AAACAAAGGAA >hg38_chr7:18778010-18778020(+) aaacaaaggct >hg38_chr7:18779220-18779230(-) TAACAATGGGT >hg38_chr7:18792210-18792220(-) ATACAATAAAT >hg38_chr7:18812956-18812966(-) gtacaatgtgt >hg38_chr7:18839586-18839596(-) TGACAATGCTC >hg38_chr7:18874388-18874398(-) CAACAATAGGA >hg38_chr7:18875498-18875508(-) AAACAAAGGTT >hg38_chr7:18875558-18875568(-) TAACAATGTTA >hg38_chr7:18893885-18893895(-) ctacaatgttc >hg38_chr7:18955279-18955289(-) GGACAATGACA >hg38_chr7:18971437-18971447(-) GAACAAAGGTT >hg38_chr7:18996856-18996866(-) GAACAAAGGAT >hg38_chr7:19004353-19004363(+) ATACAATGTAA >hg38_chr7:19061151-19061161(+) taacaataaac >hg38_chr7:19071326-19071336(+) taacaaaggcc >hg38_chr7:19078063-19078073(+) tcacaatgaaa >hg38_chr7:19080287-19080297(+) gtacaataaag >hg38_chr7:19115768-19115778(-) TCACTATGGAC >hg38_chr7:19116163-19116173(-) AAACAATAACC >hg38_chr7:19122128-19122138(-) AAACAATAGCA >hg38_chr7:19147502-19147512(+) ATACACTGGAA >hg38_chr7:19175395-19175405(+) CAACAAAGGAC >hg38_chr7:19209125-19209135(+) ggacaatgact >hg38_chr7:19209579-19209589(+) CAACAATGACA >hg38_chr7:19215586-19215596(+) GTACAATGCTT >hg38_chr7:19269236-19269246(-) CAACAATAGAA >hg38_chr7:19288615-19288625(-) CAACAATGCAG >hg38_chr7:19288820-19288830(+) GTACTATGGTT >hg38_chr7:19291245-19291255(+) ggataatggca >hg38_chr7:19293676-19293686(-) ACACAAAGGAA >hg38_chr7:19293694-19293704(-) AGACAAAGGAA >hg38_chr7:19311588-19311598(-) ATACAATGACT >hg38_chr7:19312186-19312196(-) AGACAATAGTC >hg38_chr7:19327164-19327174(+) ACACAATGCTA >hg38_chr7:19357203-19357213(+) GAACAATGATT >hg38_chr7:19400158-19400168(-) GAACAATAATA >hg38_chr7:19475939-19475949(-) GGACAATGGCT >hg38_chr7:19514576-19514586(-) CAACAATGAAA >hg38_chr7:19519040-19519050(-) TAACAATAACT >hg38_chr7:19582953-19582963(-) ttacaatatat >hg38_chr7:19586863-19586873(+) GCACAACGGAT >hg38_chr7:19633942-19633952(+) tgacaaaggaa >hg38_chr7:19665201-19665211(+) AAACAATGTAA >hg38_chr7:19694823-19694833(+) TAACAATGAAA >hg38_chr7:19721671-19721681(+) GAACAAAGACG >hg38_chr7:19737502-19737512(+) gaacaataaag >hg38_chr7:19737553-19737563(+) atacaatgcag >hg38_chr7:19754725-19754735(-) TAACAAAGGAA >hg38_chr7:19770614-19770624(+) AGACAATAGAA >hg38_chr7:19770630-19770640(-) GAACAATAGTT >hg38_chr7:19774361-19774371(+) GAACAAAGGAA >hg38_chr7:19774384-19774394(+) GTACAATAACA >hg38_chr7:19997647-19997657(-) TAACAATGAAC >hg38_chr7:19998257-19998267(-) AAACAATAGGT >hg38_chr7:20014326-20014336(-) TAACAAAGGAA >hg38_chr7:20016648-20016658(+) ttataatggag >hg38_chr7:20027059-20027069(+) TCACAATGTTG >hg38_chr7:20053890-20053900(-) AGACAATAGGA >hg38_chr7:20065462-20065472(-) GCACAATGCGG >hg38_chr7:20077654-20077664(-) ttacaatgtaa >hg38_chr7:20077692-20077702(-) caacaatggac >hg38_chr7:20099502-20099512(+) CCACAATGGgc >hg38_chr7:20130873-20130883(+) GAACAATGGCA >hg38_chr7:20141893-20141903(+) AGACAAAGGCA >hg38_chr7:20165578-20165588(+) GGACAATGGCA >hg38_chr7:20187743-20187753(+) agacaatgcaa >hg38_chr7:20198828-20198838(+) TAATAATAGTA >hg38_chr7:20198852-20198862(+) AAACAATGAAG >hg38_chr7:20218848-20218858(-) ATACAATGTGT >hg38_chr7:20229794-20229804(+) TGACAATGAGA >hg38_chr7:20236245-20236255(+) GCACAAAGGAA >hg38_chr7:20241595-20241605(+) TAACAATGCAT >hg38_chr7:20241612-20241622(-) TGATAATGGCT >hg38_chr7:20241637-20241647(-) ACACAATGTGT >hg38_chr7:20249490-20249500(+) TTACAATGAAT >hg38_chr7:20306873-20306883(-) GAACAAAGGGG >hg38_chr7:20307210-20307220(-) ATACAATGCAA >hg38_chr7:20307224-20307234(-) GCACAATGTTA >hg38_chr7:20307588-20307598(+) AGACAAAGGGC >hg38_chr7:20307928-20307938(-) TAACAAAGGCT >hg38_chr7:20308855-20308865(-) ATACAATGTGA >hg38_chr7:20308885-20308895(+) GAATAATGGTG >hg38_chr7:20311210-20311220(-) GTACAATTGAA >hg38_chr7:20311217-20311227(+) GTACAATAAGC >hg38_chr7:20322116-20322126(+) GAACAATGATA >hg38_chr7:20341091-20341101(-) CTACAATAGTG >hg38_chr7:20341107-20341117(-) CAACAATGCAG >hg38_chr7:20407972-20407982(-) GCACAATAGTT >hg38_chr7:20447982-20447992(+) gaacaaagggt >hg38_chr7:20448002-20448012(+) acacaatggaa >hg38_chr7:20514458-20514468(-) TAACAATATCT >hg38_chr7:20519861-20519871(-) AGACAATAGAC >hg38_chr7:20532900-20532910(-) gaacactggat >hg38_chr7:20539435-20539445(+) CAACAATGCTC >hg38_chr7:20562706-20562716(+) ggacagtggaa >hg38_chr7:20566081-20566091(+) gaacaatagaa >hg38_chr7:20587615-20587625(-) gaacaaaggac >hg38_chr7:20597867-20597877(+) GAACAATGAAG >hg38_chr7:20598712-20598722(+) CAACAATGACA >hg38_chr7:20639021-20639031(-) agacaataaca >hg38_chr7:20639046-20639056(-) ctacaatggct >hg38_chr7:20653830-20653840(+) GAACACTGGAT >hg38_chr7:20685440-20685450(-) AAACAATGCCT >hg38_chr7:20685486-20685496(+) GGACAATGAGC >hg38_chr7:20685494-20685504(-) TGACAATAGCT >hg38_chr7:20745068-20745078(+) ATACAACGTAT >hg38_chr7:20775013-20775023(-) aaacaatggcc >hg38_chr7:20776601-20776611(+) GCACAATAGGG >hg38_chr7:20778220-20778230(-) ACACAAAGGCA >hg38_chr7:20786880-20786890(+) ATACAATGATC >hg38_chr7:20791587-20791597(+) GAACAATAGCC >hg38_chr7:20799767-20799777(-) CGACAATGAAA >hg38_chr7:20799930-20799940(-) GAACAATGGAG >hg38_chr7:20800154-20800164(+) taataATGATA >hg38_chr7:20816324-20816334(+) TAACTATGGGA >hg38_chr7:20865905-20865915(-) AAACTATGGGG >hg38_chr7:21028843-21028853(-) TCACAATGCAG >hg38_chr7:21041828-21041838(+) CAACAATGGTT >hg38_chr7:21126963-21126973(-) ACACAATGGGA >hg38_chr7:21126994-21127004(+) TAACAATGGCC >hg38_chr7:21149970-21149980(-) AGACAATGGAA >hg38_chr7:21170215-21170225(-) GGACAATGGCG >hg38_chr7:21191599-21191609(-) GAACAAAGGCA >hg38_chr7:21242139-21242149(-) CCACAATGACA >hg38_chr7:21242154-21242164(+) GTACAATGTAG >hg38_chr7:21261682-21261692(+) ttacaaaggtt >hg38_chr7:21262192-21262202(-) ACACTATGGAC >hg38_chr7:21316813-21316823(-) TGACAATGAAG >hg38_chr7:21317788-21317798(+) TGACAATGTTT >hg38_chr7:21331872-21331882(+) agacaatgatt >hg38_chr7:21388897-21388907(-) aaacaatgaca >hg38_chr7:21388943-21388953(-) caacaatgggt >hg38_chr7:21397392-21397402(+) aaacaatgtca >hg38_chr7:21480858-21480868(-) agacaatgtga >hg38_chr7:21486354-21486364(-) caacaatgtta >hg38_chr7:21575451-21575461(-) GAACAATAGAC >hg38_chr7:21605447-21605457(-) CTACAATGCAA >hg38_chr7:21642616-21642626(+) caacaatgcaa >hg38_chr7:21731825-21731835(-) caacaatcgac >hg38_chr7:21755147-21755157(+) AGACAATGAGA >hg38_chr7:21765348-21765358(-) AGACAATGAGA >hg38_chr7:21773541-21773551(-) GGACAAAGGGT >hg38_chr7:21867256-21867266(-) ACACAATAGGG >hg38_chr7:21916223-21916233(+) ACACAATGTGA >hg38_chr7:21917389-21917399(-) TTACAATATAG >hg38_chr7:21917471-21917481(+) GAACAATGTCA >hg38_chr7:21927613-21927623(+) cgacagtggat >hg38_chr7:21963598-21963608(-) ggataatggta >hg38_chr7:22008108-22008118(-) AGACAATAGTG >hg38_chr7:22071909-22071919(-) GTACAAAGGCA >hg38_chr7:22071941-22071951(-) AGACAATAGTA >hg38_chr7:22096000-22096010(+) TAACAATAGGT >hg38_chr7:22108518-22108528(+) CCACAATGGAA >hg38_chr7:22110378-22110388(-) CCACAATGGGG >hg38_chr7:22113573-22113583(-) GCACAATGCCA >hg38_chr7:22128623-22128633(+) ACACAATGCTA >hg38_chr7:22140072-22140082(+) TCACAATGGCA >hg38_chr7:22153629-22153639(+) TAACAAAGGGT >hg38_chr7:22153910-22153920(+) AGACAATAAAC >hg38_chr7:22159505-22159515(+) TGACAATGTAT >hg38_chr7:22167597-22167607(+) GAACAATGAAT >hg38_chr7:22167811-22167821(-) TAACAATGTAA >hg38_chr7:22170964-22170974(-) GAACAATATAG >hg38_chr7:22181818-22181828(+) GGATAATGGGA >hg38_chr7:22193656-22193666(-) TCACAATGGGC >hg38_chr7:22193675-22193685(+) TAACAAAGGCA >hg38_chr7:22195564-22195574(-) TCACAATGAAT >hg38_chr7:22195588-22195598(+) CGACAGTGGGA >hg38_chr7:22201322-22201332(+) ggacaataaat >hg38_chr7:22231351-22231361(-) TAACAATGCAC >hg38_chr7:22251488-22251498(+) GGACAAAGGAG >hg38_chr7:22252314-22252324(+) CTACAATAGGA >hg38_chr7:22253092-22253102(+) GAACAATGAGT >hg38_chr7:22257608-22257618(-) GAACAATGGCA >hg38_chr7:22303682-22303692(+) GGACAATGTAA >hg38_chr7:22314013-22314023(+) acacaaaggca >hg38_chr7:22314019-22314029(-) aaacaatgcct >hg38_chr7:22332463-22332473(+) ATACAAAGGTA >hg38_chr7:22411856-22411866(+) CCACAATGACT >hg38_chr7:22423113-22423123(+) TTACAATgaca >hg38_chr7:22423119-22423129(+) Tgacaatgaca >hg38_chr7:22561770-22561780(-) TAACAATGAAC >hg38_chr7:22576860-22576870(-) ACACAATGACA >hg38_chr7:22576876-22576886(+) CAACAATGACA >hg38_chr7:22589725-22589735(-) ACACAACGGGA >hg38_chr7:22589769-22589779(+) GGACAATGGCT >hg38_chr7:22607312-22607322(+) TTATAATGGGT >hg38_chr7:22654184-22654194(+) GAACAAAGGCA >hg38_chr7:22668066-22668076(-) TAACAATAGCT >hg38_chr7:22668098-22668108(+) AGACAAAGGAA >hg38_chr7:22682558-22682568(-) GTACAATAATG >hg38_chr7:22697351-22697361(-) TTACAATGTGT >hg38_chr7:22697387-22697397(+) GTACAATGAAG >hg38_chr7:22697399-22697409(+) TTACAATAGGG >hg38_chr7:22709451-22709461(-) GAACAAAGGAA >hg38_chr7:22728617-22728627(+) GAACAATGAAA >hg38_chr7:22759500-22759510(-) acacaatgata >hg38_chr7:22767106-22767116(-) ggacaatgtca >hg38_chr7:22833101-22833111(+) GAATAATGGCA >hg38_chr7:22858833-22858843(-) CAACAATGGAT >hg38_chr7:22885514-22885524(+) TCACAATGTCT >hg38_chr7:22885526-22885536(+) TCACAATGAAA >hg38_chr7:22900445-22900455(+) ggacaatggtt >hg38_chr7:22959277-22959287(+) AAACAATGGCA >hg38_chr7:22963670-22963680(-) ttacaatggca >hg38_chr7:23004395-23004405(+) TTACAATAAAT >hg38_chr7:23008581-23008591(+) agacaataata >hg38_chr7:23018900-23018910(+) AGACAATGGAA >hg38_chr7:23018959-23018969(+) GGACAATGAGA >hg38_chr7:23136031-23136041(-) caacaatggag >hg38_chr7:23139562-23139572(+) TTACAAAGGAG >hg38_chr7:23155891-23155901(-) GAACAATGCTC >hg38_chr7:23166573-23166583(+) AAATAATGGGC >hg38_chr7:23184665-23184675(-) AGACAATATAG >hg38_chr7:23190613-23190623(-) atacaatggat >hg38_chr7:23220171-23220181(+) acacaatgcaa >hg38_chr7:23220597-23220607(+) AGACAAAGGTC >hg38_chr7:23230622-23230632(-) GTACAATGACA >hg38_chr7:23230629-23230639(+) GTACAATGGCC >hg38_chr7:23368199-23368209(+) gtacaatggaa >hg38_chr7:23431991-23432001(+) AAACAATGAGC >hg38_chr7:23445795-23445805(+) ATACAATAGTT >hg38_chr7:23464854-23464864(+) AAACAATGCCA >hg38_chr7:23471736-23471746(+) GTACAATGGTG >hg38_chr7:23472137-23472147(-) TAACAACGGTT >hg38_chr7:23472742-23472752(-) TTACAGTGGTA >hg38_chr7:23526134-23526144(+) ACACAATGTTG >hg38_chr7:23526412-23526422(+) TGACACTGGCG >hg38_chr7:23529599-23529609(-) GAACAATGCGA >hg38_chr7:23564227-23564237(+) TGACAATAGCA >hg38_chr7:23643570-23643580(-) GTACAATAAGC >hg38_chr7:23643873-23643883(+) TAACAATAAAG >hg38_chr7:23659172-23659182(-) aaacaaaggag >hg38_chr7:23680790-23680800(-) GGACAATGAAC >hg38_chr7:23714011-23714021(-) gaacaatgcat >hg38_chr7:23714029-23714039(+) ttacaatggct >hg38_chr7:23721152-23721162(-) TAATAATGTAA >hg38_chr7:23721158-23721168(-) TAACAATAATA >hg38_chr7:23781238-23781248(-) TAACAATGACT >hg38_chr7:23800968-23800978(-) aaacaatgcaa >hg38_chr7:23937813-23937823(-) aaacaatgaga >hg38_chr7:23955756-23955766(+) atacaaaggga >hg38_chr7:23957082-23957092(-) ACACAATGCAA >hg38_chr7:23987715-23987725(+) atataatgata >hg38_chr7:23993012-23993022(+) agacaatggct >hg38_chr7:24006730-24006740(-) caacaatgtaa >hg38_chr7:24024562-24024572(-) AAACTATGGAC >hg38_chr7:24024591-24024601(+) AAATAATGGGC >hg38_chr7:24043702-24043712(-) gtacagtgggg >hg38_chr7:24043715-24043725(-) TAAcaatgcag >hg38_chr7:24098433-24098443(-) aaacaataaac >hg38_chr7:24098495-24098505(-) gcacagtggta >hg38_chr7:24135777-24135787(+) CAACAATAGAA >hg38_chr7:24145558-24145568(+) tcacaatggca >hg38_chr7:24159224-24159234(+) GTACAATGATT >hg38_chr7:24161563-24161573(-) TCACAATAGAG >hg38_chr7:24161589-24161599(-) GAACAATAAAA >hg38_chr7:24189118-24189128(-) atacaatgagt >hg38_chr7:24232730-24232740(+) gaacaatacac >hg38_chr7:24241140-24241150(-) GCACAATAGCC >hg38_chr7:24288903-24288913(-) tcacaatgctt >hg38_chr7:24314526-24314536(-) GGACAATAAAA >hg38_chr7:24318558-24318568(+) CCACAATGAAG >hg38_chr7:24337309-24337319(-) gaacaatagcT >hg38_chr7:24406147-24406157(+) atacaatgccg >hg38_chr7:24406982-24406992(+) GCACAATAGAG >hg38_chr7:24473651-24473661(-) gtacaatggct >hg38_chr7:24477957-24477967(-) TCACAATGCCC >hg38_chr7:24489482-24489492(-) gaacaataaga >hg38_chr7:24503629-24503639(-) TGACAATGAGC >hg38_chr7:24503693-24503703(-) CAACAATGACA >hg38_chr7:24522065-24522075(+) aaacaatgatt >hg38_chr7:24523361-24523371(+) atacaatgaga >hg38_chr7:24524238-24524248(+) gaacaatacta >hg38_chr7:24536954-24536964(+) TGACAATGGAG >hg38_chr7:24547106-24547116(-) acacaatgagc >hg38_chr7:24547160-24547170(+) gtactatgata >hg38_chr7:24548049-24548059(-) TGACAATGGTA >hg38_chr7:24579299-24579309(-) caacaatgtga >hg38_chr7:24596653-24596663(-) TCACAATGTAG >hg38_chr7:24614510-24614520(-) ctacaatgtcc >hg38_chr7:24617161-24617171(-) caacaaaggac >hg38_chr7:24617177-24617187(-) atacaatgaag >hg38_chr7:24620126-24620136(+) TAACAAAGGAC >hg38_chr7:24620201-24620211(-) GAACAATGGAA >hg38_chr7:24621003-24621013(+) AAATAATGGGA >hg38_chr7:24621067-24621077(-) GAACAATAGAA >hg38_chr7:24621207-24621217(-) GGACAATGTGC >hg38_chr7:24624098-24624108(+) CAACAATGGCA >hg38_chr7:24642176-24642186(+) TGATAATGGAT >hg38_chr7:24661510-24661520(+) TAAGAATGGTA >hg38_chr7:24665719-24665729(-) TCACAAAGGAC >hg38_chr7:24673373-24673383(-) agacaatgaca >hg38_chr7:24673440-24673450(-) aaacaatgaaa >hg38_chr7:24685859-24685869(-) caacaatgtca >hg38_chr7:24685899-24685909(-) taacaatggca >hg38_chr7:24698642-24698652(+) TCACAATGTAA >hg38_chr7:24698725-24698735(-) GCACAATAATA >hg38_chr7:24709825-24709835(+) TGACAATGAAG >hg38_chr7:24754648-24754658(+) GGACAATGACA >hg38_chr7:24787150-24787160(-) TCACAATGAAA >hg38_chr7:24798511-24798521(-) ACACAATGTGA >hg38_chr7:24798668-24798678(+) TGACAATGAAA >hg38_chr7:24821639-24821649(+) AGACAAAGACG >hg38_chr7:24828897-24828907(+) TAACAATAACC >hg38_chr7:24844236-24844246(-) AAACAATAGAT >hg38_chr7:24853517-24853527(+) tgacaatgaaa >hg38_chr7:24858324-24858334(+) GTACAATAGAT >hg38_chr7:24916051-24916061(+) GGACAAAGGGA >hg38_chr7:24916746-24916756(+) CGATAATGTAG >hg38_chr7:24921342-24921352(-) AGACAATGCAG >hg38_chr7:24932062-24932072(+) TAACAAAGGCC >hg38_chr7:24936446-24936456(+) ATACAATGGGC >hg38_chr7:24956622-24956632(-) TAACAATGAGA >hg38_chr7:25012115-25012125(+) TGACAATGAAA >hg38_chr7:25012715-25012725(+) agacaataaat >hg38_chr7:25012735-25012745(+) agacaatgagg >hg38_chr7:25026388-25026398(-) aaacaatgagg >hg38_chr7:25048001-25048011(+) GAATAATGGTT >hg38_chr7:25050334-25050344(-) GAATAATGGTG >hg38_chr7:25132675-25132685(-) GAACAGTGGTT >hg38_chr7:25134527-25134537(+) tgacaatgcct >hg38_chr7:25149262-25149272(-) CTACAATGTTC >hg38_chr7:25165821-25165831(-) CAACAATAGCA >hg38_chr7:25168212-25168222(+) AAACAAAGGAC >hg38_chr7:25168223-25168233(+) TCACAATGGAA >hg38_chr7:25218322-25218332(+) AAACAGTGGAT >hg38_chr7:25222335-25222345(+) TGACAATGgaa >hg38_chr7:25251538-25251548(+) gaacaatgaga >hg38_chr7:25251979-25251989(+) taacaatgaga >hg38_chr7:25353489-25353499(-) CCACAATGGTT >hg38_chr7:25359676-25359686(+) GCACAATATAC >hg38_chr7:25389630-25389640(+) CGACAATGATA >hg38_chr7:25392871-25392881(-) CGACACTGGGG >hg38_chr7:25408173-25408183(+) CCACAATGGCC >hg38_chr7:25490140-25490150(+) AGACAATCGTC >hg38_chr7:25512891-25512901(-) GCATAATGGAA >hg38_chr7:25553659-25553669(-) TAACAAAGGAT >hg38_chr7:25553703-25553713(-) TAACAATAGCT >hg38_chr7:25565979-25565989(+) AAACAAAGGAA >hg38_chr7:25575770-25575780(-) ATACAAAGGCC >hg38_chr7:25628447-25628457(+) TAACAATGCTC >hg38_chr7:25643251-25643261(-) GAACAATGAAG >hg38_chr7:25643261-25643271(-) AGACAATGAAG >hg38_chr7:25643271-25643281(-) GGACAATGGAA >hg38_chr7:25643285-25643295(-) GGACAATGAAG >hg38_chr7:25643296-25643306(-) ATACACTGGAA >hg38_chr7:25671394-25671404(+) ACACAAAGGCG >hg38_chr7:25674997-25675007(-) TAACAATGGTT >hg38_chr7:25696807-25696817(-) TGACAAAGGAT >hg38_chr7:25696827-25696837(+) TAACAATAAAT >hg38_chr7:25721429-25721439(+) TTACAATGCAC >hg38_chr7:25721443-25721453(+) ACACAATGAGA >hg38_chr7:25722011-25722021(-) GAATAATGATA >hg38_chr7:25736944-25736954(+) ACACAATGCTG >hg38_chr7:25739229-25739239(+) GAACAATGACT >hg38_chr7:25759935-25759945(+) tcacaatgggc >hg38_chr7:25789901-25789911(-) GAACAAAGGAG >hg38_chr7:25828003-25828013(+) taacaaaggaa >hg38_chr7:25828076-25828086(+) tgacaaaggat >hg38_chr7:25830310-25830320(+) ATACAAAGGCA >hg38_chr7:25863987-25863997(+) TAACAAAGGTA >hg38_chr7:25886577-25886587(-) ggacaatagca >hg38_chr7:25893187-25893197(+) GGACAATGCCC >hg38_chr7:25914230-25914240(-) ATACAATAAAA >hg38_chr7:25954564-25954574(-) gaacaatggcc >hg38_chr7:26049474-26049484(-) TAATAATGGGT >hg38_chr7:26049480-26049490(-) GTACAATAATA >hg38_chr7:26049490-26049500(-) GTACAATAATG >hg38_chr7:26054651-26054661(-) atacaatgcca >hg38_chr7:26061563-26061573(-) AGACAATAGCA >hg38_chr7:26079033-26079043(+) CAACAATGCAA >hg38_chr7:26079099-26079109(+) AGACAAAGGGA >hg38_chr7:26100387-26100397(+) CTATAATGGCA >hg38_chr7:26101733-26101743(+) GAACAATGCAG >hg38_chr7:26165998-26166008(-) ATAcaatgcaa >hg38_chr7:26375654-26375664(+) ATACAAAGGAC >hg38_chr7:26375705-26375715(-) GGACAATGGTG >hg38_chr7:26375727-26375737(+) ACACAATTGCG >hg38_chr7:26390237-26390247(-) AGACAATAAAA >hg38_chr7:26398907-26398917(-) AAACAAAGGCG >hg38_chr7:26432806-26432816(+) atacaatgtgg >hg38_chr7:26432832-26432842(-) GGacaatgtat >hg38_chr7:26439707-26439717(+) TGACAACGGCG >hg38_chr7:26447221-26447231(-) aaacaatgttg >hg38_chr7:26480319-26480329(+) TTACAAAGGCA >hg38_chr7:26551584-26551594(+) TCACAATGTAC >hg38_chr7:26659575-26659585(-) CCACAATGTCC >hg38_chr7:26673485-26673495(+) TGACAATGTTA >hg38_chr7:26684437-26684447(+) TTACAATGTTA >hg38_chr7:26690317-26690327(-) GAACAAAGGAA >hg38_chr7:26692599-26692609(-) TAACAATATGA >hg38_chr7:26693818-26693828(+) TAACAATACAA >hg38_chr7:26696361-26696371(-) TAACAATAGGC >hg38_chr7:26716004-26716014(-) agactatggtc >hg38_chr7:26722043-26722053(+) TTACAATGTAA >hg38_chr7:26743428-26743438(-) CTACAATGCTT >hg38_chr7:26773806-26773816(+) AAACAATAACC >hg38_chr7:26777714-26777724(+) TAACAATATAT >hg38_chr7:26780382-26780392(-) CAACAATGGAA >hg38_chr7:26784245-26784255(-) caacaataggt >hg38_chr7:26784304-26784314(-) tgacaataggt >hg38_chr7:26788227-26788237(-) TAACAATATGA >hg38_chr7:26852806-26852816(+) GCACAATGCAA >hg38_chr7:26854957-26854967(+) GGACAATGATT >hg38_chr7:26861017-26861027(+) TAACAATGGTT >hg38_chr7:26861366-26861376(-) CAACAATGGAA >hg38_chr7:26864090-26864100(+) TCACAATGCAC >hg38_chr7:26870717-26870727(-) TAACAATAAAC >hg38_chr7:26918394-26918404(+) TAACAATGAAG >hg38_chr7:26920181-26920191(-) TAACAATAAAT >hg38_chr7:26949011-26949021(-) AGACAATGGCC >hg38_chr7:27040773-27040783(-) ATACAATGCAC >hg38_chr7:27066388-27066398(-) acacaataggc >hg38_chr7:27100395-27100405(+) TGACAATGCAT >hg38_chr7:27100920-27100930(-) AAACAATGACA >hg38_chr7:27100951-27100961(-) CAACAATGGGC >hg38_chr7:27100974-27100984(+) GAACAGTGGGT >hg38_chr7:27103062-27103072(-) AAACAATGCGC >hg38_chr7:27113240-27113250(-) AGACAATAGGG >hg38_chr7:27163079-27163089(+) TCACAATGGGT >hg38_chr7:27171501-27171511(+) CAACAATGGGA >hg38_chr7:27179263-27179273(-) AAACAATGGGG >hg38_chr7:27179839-27179849(+) GAACAAAGGCC >hg38_chr7:27187712-27187722(+) TAACAAAGGCG >hg38_chr7:27342657-27342667(-) CCACAATGACA >hg38_chr7:27351284-27351294(+) CCACAATGATT >hg38_chr7:27351294-27351304(-) CAACAATGAGA >hg38_chr7:27376372-27376382(+) AGACAATGAAT >hg38_chr7:27410744-27410754(+) tcacaatagca >hg38_chr7:27423406-27423416(+) TAACAATGAAG >hg38_chr7:27433777-27433787(-) TTATAATGGAA >hg38_chr7:27435108-27435118(+) GGACAATGGTA >hg38_chr7:27435146-27435156(-) CCACAATGAAC >hg38_chr7:27464160-27464170(-) atacaataaga >hg38_chr7:27515943-27515953(-) GAATAATGGGC >hg38_chr7:27555033-27555043(+) GGACAAAGGAC >hg38_chr7:27570346-27570356(-) gaacaatatca >hg38_chr7:27602942-27602952(-) AAACAATGCTG >hg38_chr7:27603306-27603316(-) AAACAATGAAA >hg38_chr7:27606286-27606296(+) TCACAATGCTG >hg38_chr7:27636466-27636476(+) CAACAATGATG >hg38_chr7:27636740-27636750(+) AGACAATGAAA >hg38_chr7:27670226-27670236(+) TAACAATGGAA >hg38_chr7:27670296-27670306(+) AAACTATGGCT >hg38_chr7:27672044-27672054(+) tcacaataggt >hg38_chr7:27683809-27683819(+) ATACAATGAAA >hg38_chr7:27683846-27683856(-) AAACAATGGCA >hg38_chr7:27687088-27687098(-) tgacaatgtaa >hg38_chr7:27718887-27718897(-) TCATAATGGAG >hg38_chr7:27722482-27722492(-) tgacaatagca >hg38_chr7:27723430-27723440(+) TGACAATGTAG >hg38_chr7:27745664-27745674(-) TCACAATGGTT >hg38_chr7:27755126-27755136(-) ATACAATGTAG >hg38_chr7:27755163-27755173(-) GTACAATGGGT >hg38_chr7:27758198-27758208(-) TTACAATGGAG >hg38_chr7:27758820-27758830(-) aaacaataact >hg38_chr7:27758877-27758887(+) agataatggtg >hg38_chr7:27766736-27766746(+) GGATAATGGTG >hg38_chr7:27767646-27767656(-) CTACAATATAA >hg38_chr7:27771839-27771849(+) TGACAAAGGAC >hg38_chr7:27773653-27773663(-) gtacaatagat >hg38_chr7:27774515-27774525(+) TAACAATGAGT >hg38_chr7:27788429-27788439(-) ggacaataaaa >hg38_chr7:27798753-27798763(-) ccacaatgagc >hg38_chr7:27807205-27807215(-) ATACAATATGC >hg38_chr7:27830766-27830776(+) GTACAATACAT >hg38_chr7:27883986-27883996(-) GAACAATGAAG >hg38_chr7:27897206-27897216(-) gaacaatcggc >hg38_chr7:27906802-27906812(+) TAACTATGGCT >hg38_chr7:27947426-27947436(+) GAACAATATAA >hg38_chr7:27960450-27960460(-) ACACAATGCCT >hg38_chr7:27992609-27992619(+) TTATAATGGTG >hg38_chr7:27997386-27997396(+) TAACAATGGTA >hg38_chr7:28028311-28028321(+) GAACAATATCA >hg38_chr7:28029347-28029357(+) AAACAATGGAA >hg38_chr7:28038094-28038104(+) AAACAAAGGAA >hg38_chr7:28061425-28061435(+) taacaatgaat >hg38_chr7:28088867-28088877(+) TAATAATGGGC >hg38_chr7:28104382-28104392(-) gtacaaaggat >hg38_chr7:28112258-28112268(+) TAACAATGGAG >hg38_chr7:28125607-28125617(+) TGACAATGCTG >hg38_chr7:28144466-28144476(+) AAACAATGGGA >hg38_chr7:28173662-28173672(+) AGACAATGAAC >hg38_chr7:28173711-28173721(+) GGACAATGGCC >hg38_chr7:28198567-28198577(-) GGACAATGACC >hg38_chr7:28198574-28198584(-) GAACAATGGAC >hg38_chr7:28198634-28198644(+) ATACAATGAAT >hg38_chr7:28236798-28236808(+) TCACAATGAAC >hg38_chr7:28247686-28247696(-) taacaataaaa >hg38_chr7:28311881-28311891(-) CGACACTGGGG >hg38_chr7:28358787-28358797(+) aaacaatgcct >hg38_chr7:28361797-28361807(+) GAACAATAGCA >hg38_chr7:28389865-28389875(+) TAACAATGGTG >hg38_chr7:28434232-28434242(+) ttacaatggag >hg38_chr7:28442818-28442828(-) TAACAATATTA >hg38_chr7:28480417-28480427(+) TGACAATGAAA >hg38_chr7:28483311-28483321(+) GAACAATGAAA >hg38_chr7:28510003-28510013(-) AGACAATGCCC >hg38_chr7:28510025-28510035(+) CCACAATGACT >hg38_chr7:28541865-28541875(-) CAACAATGACC >hg38_chr7:28589917-28589927(-) AGACAAAGGAG >hg38_chr7:28589945-28589955(+) TGACAATAGGA >hg38_chr7:28624005-28624015(-) TGATAATGGAG >hg38_chr7:28673354-28673364(-) GGACAATAGGA >hg38_chr7:28682015-28682025(-) TTATAATGGTG >hg38_chr7:28682031-28682041(-) AAACAAAGGAA >hg38_chr7:28696024-28696034(+) GCACAAAGGAC >hg38_chr7:28718845-28718855(+) CTACAATGCCA >hg38_chr7:28719269-28719279(+) taacaatgatc >hg38_chr7:28723427-28723437(+) acacaatggca >hg38_chr7:28723449-28723459(+) agacaatgtga >hg38_chr7:28729011-28729021(-) ACACAATGGAA >hg38_chr7:28732204-28732214(-) AGACAATGAGT >hg38_chr7:28747819-28747829(-) AAACAAAGGCT >hg38_chr7:28748894-28748904(-) CGACACTGGGA >hg38_chr7:28754134-28754144(-) CCACAATGCTA >hg38_chr7:28759531-28759541(+) TTACAATGTAC >hg38_chr7:28759706-28759716(-) ATACAAAGGCA >hg38_chr7:28760111-28760121(+) GAACAATAGAA >hg38_chr7:28794297-28794307(+) AGACAAAGGGC >hg38_chr7:28823826-28823836(-) GCATAATGGAG >hg38_chr7:28850946-28850956(-) ATATAATGGAT >hg38_chr7:28851021-28851031(-) TGACAATGAAA >hg38_chr7:28868939-28868949(+) aaacaatggca >hg38_chr7:28871202-28871212(-) ACACAATGAGG >hg38_chr7:28902879-28902889(-) AGACAATGGGT >hg38_chr7:28910364-28910374(+) GAACAATAAAG >hg38_chr7:28922181-28922191(-) TAACaataata >hg38_chr7:28939377-28939387(+) AAACAAAGGAA >hg38_chr7:28939456-28939466(+) AGACAATGCAC >hg38_chr7:28955172-28955182(-) TTACAAAGGAG >hg38_chr7:28998361-28998371(+) TAACAAAGGAC >hg38_chr7:28998372-28998382(+) AAACAAaggat >hg38_chr7:29007387-29007397(-) AAACAATAGCA >hg38_chr7:29007403-29007413(-) AGACAAAGGAA >hg38_chr7:29091139-29091149(+) AAACAATAGAT >hg38_chr7:29102991-29103001(-) GAACAATAGGG >hg38_chr7:29128935-29128945(+) acacaatgagg >hg38_chr7:29129016-29129026(+) tgataatggga >hg38_chr7:29165584-29165594(+) ttacaatgaca >hg38_chr7:29182833-29182843(-) ACACAATAGGA >hg38_chr7:29189949-29189959(-) AGACAAAGGGA >hg38_chr7:29207817-29207827(-) GTACAATACCG >hg38_chr7:29265525-29265535(+) AGACAATAGGG >hg38_chr7:29288708-29288718(+) AGACAATGGAG >hg38_chr7:29345675-29345685(-) GCACAATACGG >hg38_chr7:29349106-29349116(-) ATACAATGTGT >hg38_chr7:29367234-29367244(+) ATACAATGACC >hg38_chr7:29407399-29407409(-) GGACAATGGGT >hg38_chr7:29408983-29408993(+) ACACAAAGGAC >hg38_chr7:29479866-29479876(-) GAACAATAGCA >hg38_chr7:29536269-29536279(-) gtacaataagg >hg38_chr7:29536308-29536318(+) taagaatggta >hg38_chr7:29558028-29558038(+) TAACAAAGGGC >hg38_chr7:29569788-29569798(-) ACACAAAGGGA >hg38_chr7:29569805-29569815(-) GAACAATAGAA >hg38_chr7:29600317-29600327(+) aaacaatggag >hg38_chr7:29600382-29600392(+) taacaatagag >hg38_chr7:29613306-29613316(+) TGACAATGGGG >hg38_chr7:29613351-29613361(+) ATACAAAGGGA >hg38_chr7:29640093-29640103(+) agacaacggct >hg38_chr7:29796471-29796481(+) ggacaatggca >hg38_chr7:29796518-29796528(+) ttacaatgtca >hg38_chr7:29818749-29818759(+) tgacaatagtg >hg38_chr7:29820234-29820244(-) ttataatggta >hg38_chr7:29867369-29867379(+) TAACAACGGTA >hg38_chr7:29877418-29877428(-) aaacaaaggca >hg38_chr7:29877434-29877444(-) agacaacggca >hg38_chr7:29881875-29881885(-) ACACAAAGGAG >hg38_chr7:29881912-29881922(-) TCACAATGGAA >hg38_chr7:29947124-29947134(-) TTACAGTGGAA >hg38_chr7:29958225-29958235(-) ACATAATGGAG >hg38_chr7:29959153-29959163(+) ACACAATAGAC >hg38_chr7:29969923-29969933(-) agataatggag >hg38_chr7:29977947-29977957(-) TGACAAAGGGA >hg38_chr7:29978403-29978413(-) ggacaatagga >hg38_chr7:30001161-30001171(+) tcacaatagaa >hg38_chr7:30005658-30005668(+) TAACAAAGGCT >hg38_chr7:30005701-30005711(+) AAACAAAGGAA >hg38_chr7:30022453-30022463(-) TAACAATAAAA >hg38_chr7:30029270-30029280(-) TGACAAAGGGA >hg38_chr7:30038183-30038193(-) TTACAATGGGC >hg38_chr7:30038197-30038207(+) TTACAATAAAA >hg38_chr7:30074636-30074646(-) ATACAATGGGC >hg38_chr7:30083863-30083873(+) TTACAAAGTCG >hg38_chr7:30137414-30137424(-) GAACGATGGTT >hg38_chr7:30144039-30144049(-) ACACAATGAGT >hg38_chr7:30162931-30162941(-) GAACAATGGCA >hg38_chr7:30162976-30162986(-) TCACAATAGAC >hg38_chr7:30173428-30173438(-) GAACAATGCCT >hg38_chr7:30173445-30173455(+) ACACAATGGTA >hg38_chr7:30184829-30184839(+) ccacaatgcta >hg38_chr7:30188974-30188984(-) GAACAAAGGCT >hg38_chr7:30189534-30189544(+) gaacaatgaga >hg38_chr7:30206713-30206723(+) TCACAGTGGCG >hg38_chr7:30243023-30243033(-) CCACAATAGAA >hg38_chr7:30243649-30243659(+) aaacaatgtca >hg38_chr7:30247603-30247613(+) GAACAATAGAA >hg38_chr7:30250283-30250293(+) AAATAATGGGA >hg38_chr7:30250669-30250679(-) GTACAAAGGAG >hg38_chr7:30306517-30306527(+) GGATAATGGTT >hg38_chr7:30308025-30308035(-) aaacaaagggg >hg38_chr7:30318632-30318642(+) AAACAATGTAC >hg38_chr7:30318710-30318720(+) CAACAATGGAA >hg38_chr7:30334436-30334446(-) gaacaatgcca >hg38_chr7:30355122-30355132(-) TGACAATGGCA >hg38_chr7:30355693-30355703(-) GGACAATAAAA >hg38_chr7:30367775-30367785(-) TCACAATGGAG >hg38_chr7:30413190-30413200(+) ACATAATGGAT >hg38_chr7:30433225-30433235(-) AAACAATGTCT >hg38_chr7:30500417-30500427(+) GTATAATGAAT >hg38_chr7:30519330-30519340(+) ACATAATGGGC >hg38_chr7:30520513-30520523(-) ATACAATAGGG >hg38_chr7:30600363-30600373(-) CTACAATGGCC >hg38_chr7:30600940-30600950(-) CAACAATGCAA >hg38_chr7:30605210-30605220(-) aaacaatgatg >hg38_chr7:30606240-30606250(-) aaacaatggac >hg38_chr7:30608047-30608057(-) acacaatgccc >hg38_chr7:30609049-30609059(+) atacactggaa >hg38_chr7:30681557-30681567(-) AGACAATGCTT >hg38_chr7:30681568-30681578(+) AAACAATGAAG >hg38_chr7:30725888-30725898(-) AGACAATGGAC >hg38_chr7:30755803-30755813(+) CAACAATGGGG >hg38_chr7:30755818-30755828(-) CCACAATGAAG >hg38_chr7:30772071-30772081(-) AGACAATAGGA >hg38_chr7:30816500-30816510(+) GAACAATGGCT >hg38_chr7:30819149-30819159(-) gaacagtggaa >hg38_chr7:30825258-30825268(+) GAACAATAAGT >hg38_chr7:30879729-30879739(-) ggacaatgaga >hg38_chr7:30881268-30881278(-) CTACAATGGGA >hg38_chr7:30932788-30932798(-) GCACAATAGAA >hg38_chr7:30954630-30954640(+) taacaatatta >hg38_chr7:30975019-30975029(-) GGACAATGGGC >hg38_chr7:31043231-31043241(-) GGACAATGGGG >hg38_chr7:31088744-31088754(-) gcataatggtg >hg38_chr7:31089126-31089136(-) atacaatgaaa >hg38_chr7:31089168-31089178(-) aaacaatagct >hg38_chr7:31091719-31091729(-) CTACAATGCCC >hg38_chr7:31092075-31092085(-) GCACAATGACA >hg38_chr7:31131098-31131108(+) gtacaatagat >hg38_chr7:31256613-31256623(-) tcacaatgaag >hg38_chr7:31285720-31285730(-) gtACAAAGGCA >hg38_chr7:31285736-31285746(-) caacaataggg >hg38_chr7:31285794-31285804(-) aaacaaaggga >hg38_chr7:31320803-31320813(-) TGACAATAGAA >hg38_chr7:31358452-31358462(-) TTACAATGAAG >hg38_chr7:31358460-31358470(+) TAACAAAGGTC >hg38_chr7:31365297-31365307(-) atacaatggaa >hg38_chr7:31365704-31365714(-) tgacaatgcta >hg38_chr7:31446539-31446549(-) ttacaatgact >hg38_chr7:31446817-31446827(-) AAACAAAGGCA >hg38_chr7:31466227-31466237(-) GAACAATAGAG >hg38_chr7:31488763-31488773(-) CTACAATGATA >hg38_chr7:31493831-31493841(-) GAACAATGAGG >hg38_chr7:31529449-31529459(-) ATACAATGGTT >hg38_chr7:31562195-31562205(-) TGACAATGCAC >hg38_chr7:31562228-31562238(+) ATACAATGAGT >hg38_chr7:31642850-31642860(+) GAACAAAGGGC >hg38_chr7:31645840-31645850(+) caacaatgaat >hg38_chr7:31688589-31688599(-) TGACAAAGGTC >hg38_chr7:31742073-31742083(+) gaacaaaggaa >hg38_chr7:31744657-31744667(-) ATACAATGGTG >hg38_chr7:31776349-31776359(+) gaacaatgGGA >hg38_chr7:31778189-31778199(+) CCACAATGCAC >hg38_chr7:31778218-31778228(-) ATACAATGCGA >hg38_chr7:31820588-31820598(+) TAACAATACAT >hg38_chr7:31856279-31856289(+) TCACAATGAAA >hg38_chr7:31884379-31884389(+) aaacaAAGGTA >hg38_chr7:31903432-31903442(+) GAACAATGCTA >hg38_chr7:31938863-31938873(+) ACACAATGGCA >hg38_chr7:31938924-31938934(-) TGACAATGATG >hg38_chr7:31992897-31992907(-) AAACAATAACA >hg38_chr7:31997478-31997488(+) CTACAATGGTC >hg38_chr7:31997511-31997521(+) CAACAATAGAG >hg38_chr7:32007645-32007655(-) tgacagtggta >hg38_chr7:32010207-32010217(+) gaacaaagggg >hg38_chr7:32026154-32026164(+) GAACAAAGGCT >hg38_chr7:32039837-32039847(+) TAACAATGTGC >hg38_chr7:32058142-32058152(+) ACACAATGCAA >hg38_chr7:32058192-32058202(+) TAACAATGACT >hg38_chr7:32102685-32102695(+) AGACAAAGGCA >hg38_chr7:32102693-32102703(+) GCACAAAGGAT >hg38_chr7:32103610-32103620(+) caacaatcgac >hg38_chr7:32116039-32116049(+) AAACAATGAGA >hg38_chr7:32150805-32150815(-) CTACAATGGTT >hg38_chr7:32211425-32211435(+) GAACAATGGTC >hg38_chr7:32253462-32253472(+) TCACAATGAAT >hg38_chr7:32270617-32270627(+) AGATAATGGCT >hg38_chr7:32284211-32284221(+) CTACAATGAAT >hg38_chr7:32367326-32367336(+) aaacaataaca >hg38_chr7:32367348-32367358(+) aaacaaaggac >hg38_chr7:32368682-32368692(+) acacaatagcc >hg38_chr7:32454325-32454335(-) atacaatggaa >hg38_chr7:32490781-32490791(-) AAACAATAGAT >hg38_chr7:32501578-32501588(+) GAACAATGGAA >hg38_chr7:32507886-32507896(-) ggacaatgccc >hg38_chr7:32595269-32595279(+) GAACAAAGGAA >hg38_chr7:32595285-32595295(+) AAAGAATGGCG >hg38_chr7:32670343-32670353(-) GAACAATGCCC >hg38_chr7:32785655-32785665(+) ACACAATGGCC >hg38_chr7:32879551-32879561(+) CAACAATGACA >hg38_chr7:32917734-32917744(-) GTACACTGGTT >hg38_chr7:33021428-33021438(+) AAACAATGTAA >hg38_chr7:33044906-33044916(+) AAACAAAGGTA >hg38_chr7:33095615-33095625(-) gtacactggtt >hg38_chr7:33095643-33095653(-) ggacaataaaa >hg38_chr7:33245597-33245607(+) acataatggca >hg38_chr7:33317230-33317240(-) agacaatgtgg >hg38_chr7:33356639-33356649(-) CAACAATGGCA >hg38_chr7:33370102-33370112(-) AGACAATGAGC >hg38_chr7:33383031-33383041(-) TGACAATGATG >hg38_chr7:33383040-33383050(-) CAACAATGGTG >hg38_chr7:33383383-33383393(-) GTACAATAAAA >hg38_chr7:33385327-33385337(-) GAACAAAGGAA >hg38_chr7:33395138-33395148(-) ATACAATGACT >hg38_chr7:33395864-33395874(-) TGACAATGAGG >hg38_chr7:33428819-33428829(+) CTACTATGGTA >hg38_chr7:33429331-33429341(-) GTACAATGAAT >hg38_chr7:33465689-33465699(+) tgacaatagcc >hg38_chr7:33465711-33465721(-) atacaataacc >hg38_chr7:33492682-33492692(+) AAACAAAGGAA >hg38_chr7:33494760-33494770(+) aaacaataaaa >hg38_chr7:33497013-33497023(-) CAACAATGTTG >hg38_chr7:33535553-33535563(-) AAACAATGTCA >hg38_chr7:33553265-33553275(-) atacagtgggg >hg38_chr7:33553296-33553306(+) ggacaatgtct >hg38_chr7:33553314-33553324(+) ctactatggta >hg38_chr7:33561935-33561945(+) CAACAATAGTC >hg38_chr7:33580904-33580914(-) TCACAATGCAG >hg38_chr7:33591727-33591737(-) AAACAGTGGTA >hg38_chr7:33593290-33593300(+) TCACAATGGCT >hg38_chr7:33639805-33639815(+) TAACAATGTGT >hg38_chr7:33639867-33639877(+) TTACACTGGTA >hg38_chr7:33650050-33650060(-) TGACAATGGGG >hg38_chr7:33716816-33716826(+) GTACAATGTCT >hg38_chr7:33726831-33726841(-) ATACAAAGGGA >hg38_chr7:33726877-33726887(+) AGACAATGGTC >hg38_chr7:33754874-33754884(-) GAACAATGATT >hg38_chr7:33757455-33757465(+) TAACAATGTAT >hg38_chr7:33757468-33757478(+) CCACAATGGTT >hg38_chr7:33757704-33757714(+) TAACAATAGGG >hg38_chr7:33773232-33773242(-) AAACAATAGAT >hg38_chr7:33791000-33791010(-) CAACAATGTAA >hg38_chr7:33808058-33808068(+) agacaatagga >hg38_chr7:33822299-33822309(+) tcacaatagcc >hg38_chr7:33852993-33853003(-) CCACAATGTGA >hg38_chr7:33882355-33882365(+) ATACAATCGAG >hg38_chr7:33882371-33882381(-) ATACAAAGGCC >hg38_chr7:33897592-33897602(+) ctacaatagct >hg38_chr7:33897610-33897620(-) aaataatggct >hg38_chr7:33982606-33982616(+) GGACAATGAGC >hg38_chr7:33985138-33985148(-) ATACAATGCTT >hg38_chr7:34014491-34014501(-) TCACAATGATG >hg38_chr7:34059111-34059121(+) ATACAATAAGT >hg38_chr7:34059371-34059381(-) GCACAATGAAA >hg38_chr7:34080664-34080674(-) TAATAATGGGT >hg38_chr7:34080720-34080730(+) ACACAATAGAT >hg38_chr7:34081179-34081189(+) AGACAATGGTG >hg38_chr7:34102805-34102815(+) taacaataagc >hg38_chr7:34105175-34105185(-) ATACAAAGGAC >hg38_chr7:34105224-34105234(+) GCACAATGGAA >hg38_chr7:34116251-34116261(+) atacaataAAA >hg38_chr7:34125829-34125839(+) ATACAATGGAG >hg38_chr7:34125842-34125852(-) TCACAATGCTG >hg38_chr7:34126700-34126710(-) AGATAATGGTT >hg38_chr7:34133514-34133524(-) ttacaaaggct >hg38_chr7:34138853-34138863(+) GAACAATGTCA >hg38_chr7:34152145-34152155(+) AAACAATAGAG >hg38_chr7:34152176-34152186(-) TAACAATGGAA >hg38_chr7:34176164-34176174(-) tgacaatgaag >hg38_chr7:34187512-34187522(+) aaacaatggcg >hg38_chr7:34187932-34187942(+) GAACGATGGAG >hg38_chr7:34187965-34187975(+) TTacaatggta >hg38_chr7:34198230-34198240(+) ATACAATGATT >hg38_chr7:34222514-34222524(+) TGACAATGGGA >hg38_chr7:34270168-34270178(-) tgataatggta >hg38_chr7:34270197-34270207(+) ctataatggtg >hg38_chr7:34277238-34277248(+) AGACAATGCTG >hg38_chr7:34295802-34295812(-) ACACAATGCTG >hg38_chr7:34296404-34296414(-) AGACAATAGCA >hg38_chr7:34305792-34305802(+) ATACAATGCAT >hg38_chr7:34305817-34305827(-) TAACAATATCT >hg38_chr7:34326880-34326890(+) ggacaaaggga >hg38_chr7:34331300-34331310(+) TTACTATGGAG >hg38_chr7:34348365-34348375(-) ctacaatggta >hg38_chr7:34348406-34348416(-) acataatggcc >hg38_chr7:34404022-34404032(-) TTACAATGACA >hg38_chr7:34430484-34430494(+) GAACAATTGTA >hg38_chr7:34444764-34444774(-) AAACAAAGGCA >hg38_chr7:34444968-34444978(+) atacaatacga >hg38_chr7:34454535-34454545(-) AAACAATAGTA >hg38_chr7:34486551-34486561(-) CAACAATGGGC >hg38_chr7:34487501-34487511(+) CAACAATAGTC >hg38_chr7:34615577-34615587(+) acacaataaac >hg38_chr7:34643676-34643686(+) gcacaatagct >hg38_chr7:34662242-34662252(-) aaacaatagac >hg38_chr7:34662304-34662314(-) aaacaaaggga >hg38_chr7:34700365-34700375(+) Caataatggaa >hg38_chr7:34706918-34706928(+) GCACAATGCCA >hg38_chr7:34706927-34706937(-) AAACAATGATG >hg38_chr7:34723280-34723290(+) TTACAATAGCA >hg38_chr7:34723299-34723309(-) GGACAATGGGA >hg38_chr7:34744748-34744758(-) AAACAATGTCA >hg38_chr7:34744822-34744832(-) atacaaagggc >hg38_chr7:34745165-34745175(-) gcactatggta >hg38_chr7:34762705-34762715(-) TAACAATATCA >hg38_chr7:34769896-34769906(-) GAACAAAGGGT >hg38_chr7:34940345-34940355(+) ATACATTGGTA >hg38_chr7:35004818-35004828(+) atacaataaac >hg38_chr7:35004890-35004900(-) GAACAATGCCC >hg38_chr7:35021492-35021502(+) TAACAATGATG >hg38_chr7:35035617-35035627(+) CTACAATGTGT >hg38_chr7:35035761-35035771(+) TCACAAAGGAA >hg38_chr7:35038651-35038661(-) GAACGATGGGC >hg38_chr7:35038753-35038763(-) TAACAATGAAC >hg38_chr7:35038775-35038785(+) AGACAGTGGAT >hg38_chr7:35261186-35261196(+) GGACAACGGGC >hg38_chr7:35261203-35261213(-) TTACAAAGGCC >hg38_chr7:35344286-35344296(+) atacaataaaa >hg38_chr7:35366870-35366880(+) CAACAATGGTT >hg38_chr7:35400107-35400117(-) CCACAATGAGC >hg38_chr7:35420244-35420254(-) gaacaatgagt >hg38_chr7:35428996-35429006(+) GTACAATACCA >hg38_chr7:35429030-35429040(+) GGACAATGCCA >hg38_chr7:35505262-35505272(+) TAACAAAGGGC >hg38_chr7:35628119-35628129(-) acacaatggag >hg38_chr7:35643953-35643963(+) gaacaatgaaa >hg38_chr7:35657298-35657308(+) ccacaatgaaa >hg38_chr7:35671029-35671039(+) GAACAATGAAG >hg38_chr7:35677149-35677159(+) ttacaatgctt >hg38_chr7:35697496-35697506(+) gaacaatggcc >hg38_chr7:35701652-35701662(-) acacaatgcag >hg38_chr7:35707697-35707707(-) GTACAATGCTG >hg38_chr7:35709515-35709525(-) TAATAATGTAA >hg38_chr7:35737057-35737067(+) atacaatagaa >hg38_chr7:35817285-35817295(-) caacaatgagt >hg38_chr7:35817311-35817321(-) acacaatggaa >hg38_chr7:35819699-35819709(+) taacaatatgt >hg38_chr7:35821151-35821161(+) tgacaatgggc >hg38_chr7:35831803-35831813(-) CTACAATGTTT >hg38_chr7:35881175-35881185(-) AAACAATGTAC >hg38_chr7:35904087-35904097(-) AGACAATGTTA >hg38_chr7:35991339-35991349(+) ccacaatgaga >hg38_chr7:35994148-35994158(+) TTACAATGGGG >hg38_chr7:36050018-36050028(+) AAACAAAGGGC >hg38_chr7:36101281-36101291(-) ctacaatgtgg >hg38_chr7:36101332-36101342(-) atacaatggaa >hg38_chr7:36145986-36145996(+) atacaatggag >hg38_chr7:36158368-36158378(-) TTACAATGGAT >hg38_chr7:36189133-36189143(-) GTACAATGAGC >hg38_chr7:36258618-36258628(-) tcacaatgtaa >hg38_chr7:36279035-36279045(+) TTACAATGGAG >hg38_chr7:36291600-36291610(-) GGACAATGGGT >hg38_chr7:36345496-36345506(+) TTACAATGCCA >hg38_chr7:36345522-36345532(-) GAATAATGGTG >hg38_chr7:36348330-36348340(+) GGACAGTGGTA >hg38_chr7:36358366-36358376(-) TAACAATGGTT >hg38_chr7:36390592-36390602(-) GAACAATGGGA >hg38_chr7:36436398-36436408(-) tcacaataggc >hg38_chr7:36436676-36436686(-) ctacaatgagg >hg38_chr7:36448662-36448672(+) atataatggga >hg38_chr7:36514595-36514605(+) AAACAATGCCC >hg38_chr7:36564324-36564334(+) acacaatgatt >hg38_chr7:36592513-36592523(+) GGACAATGGTT >hg38_chr7:36602436-36602446(-) AAACAATGGAA >hg38_chr7:36607218-36607228(+) AGACAAAGGCA >hg38_chr7:36607264-36607274(-) TCATAATGGGC >hg38_chr7:36607274-36607284(+) AAACAATGCCC >hg38_chr7:36629391-36629401(+) ATACAATAATG >hg38_chr7:36644790-36644800(-) GAACAAAGGCA >hg38_chr7:36645226-36645236(+) tcacaatggga >hg38_chr7:36659284-36659294(+) AAACAAAGGCT >hg38_chr7:36698853-36698863(-) ttacaataacc >hg38_chr7:36698889-36698899(+) gaacagtgggt >hg38_chr7:36699163-36699173(-) acacaatggaa >hg38_chr7:36757309-36757319(-) ACACAATGACT >hg38_chr7:36759123-36759133(-) ctacaatggca >hg38_chr7:36831197-36831207(+) CTATAATGGAT >hg38_chr7:36869222-36869232(+) TGACAATGCTG >hg38_chr7:36946579-36946589(-) TAACAATAGTC >hg38_chr7:36962772-36962782(-) AGACAATGCTA >hg38_chr7:36985482-36985492(-) TTACAATGGTC >hg38_chr7:37002003-37002013(-) TGACAATAGCT >hg38_chr7:37021395-37021405(-) GAACAATGAAG >hg38_chr7:37022521-37022531(+) caacaatgagc >hg38_chr7:37032131-37032141(-) ATACAAAGGAA >hg38_chr7:37054992-37055002(+) AAACAATAGCA >hg38_chr7:37071265-37071275(-) ctacaatgtgt >hg38_chr7:37100852-37100862(+) GGACAAAGGTG >hg38_chr7:37109180-37109190(+) GGACAATGCGG >hg38_chr7:37117491-37117501(+) TAACAATGATC >hg38_chr7:37118017-37118027(+) GCACAATGGGA >hg38_chr7:37211564-37211574(-) ACACAATGAAA >hg38_chr7:37247211-37247221(+) gaacaaaggaa >hg38_chr7:37251020-37251030(+) TAACAGTGGAA >hg38_chr7:37251049-37251059(-) AGACAATGAGA >hg38_chr7:37291021-37291031(+) TGATaatggta >hg38_chr7:37291089-37291099(+) tgacaatgcaa >hg38_chr7:37370173-37370183(-) gaacaaaggtc >hg38_chr7:37370182-37370192(+) tcacaatgcgg >hg38_chr7:37381553-37381563(+) TTACAATAACA >hg38_chr7:37381559-37381569(+) TAACAATGGGT >hg38_chr7:37400208-37400218(-) AGACAAAGGGC >hg38_chr7:37407831-37407841(+) taataatgtat >hg38_chr7:37408248-37408258(+) ACACAATAGAT >hg38_chr7:37441568-37441578(-) AGACAAAGGAA >hg38_chr7:37441648-37441658(-) ACATAATGGCA >hg38_chr7:37461872-37461882(-) AGACAATAGGA >hg38_chr7:37461882-37461892(-) AAACAATGAAA >hg38_chr7:37483773-37483783(+) TCACAATGCCC >hg38_chr7:37483780-37483790(-) TGACAATGGGC >hg38_chr7:37488330-37488340(+) gaataatggca >hg38_chr7:37488352-37488362(+) gaacaaaggaa >hg38_chr7:37490020-37490030(-) AAACAAAGGAA >hg38_chr7:37493318-37493328(-) AAACAATGGCA >hg38_chr7:37561604-37561614(-) GGACAATGTGG >hg38_chr7:37561615-37561625(-) GGACAATGGCT >hg38_chr7:37561655-37561665(+) AAACTATGGAC >hg38_chr7:37562152-37562162(-) CTACAATGGAA >hg38_chr7:37746149-37746159(-) AAATAATGGAA >hg38_chr7:37746163-37746173(-) GCACAATGCTA >hg38_chr7:37746175-37746185(+) TAACAGTGGGA >hg38_chr7:37756384-37756394(-) agacaatggcg >hg38_chr7:37756419-37756429(-) aaacaatagag >hg38_chr7:37872786-37872796(+) aaataatgggg >hg38_chr7:37881103-37881113(+) caacaatagtt >hg38_chr7:37885175-37885185(+) AGACAATGGCT >hg38_chr7:37908454-37908464(-) GGACAATGTTT >hg38_chr7:37917282-37917292(+) GGACAATGTCC >hg38_chr7:37937654-37937664(+) gcacaatggag >hg38_chr7:37944370-37944380(-) AGACAATGAGA >hg38_chr7:37967198-37967208(+) tcacaatggcc >hg38_chr7:37967591-37967601(-) aaacactggat >hg38_chr7:38012290-38012300(+) ggacaataaaa >hg38_chr7:38054634-38054644(+) tcacaatgact >hg38_chr7:38055199-38055209(-) gaacaaaggcc >hg38_chr7:38070869-38070879(-) CCACAATGAGC >hg38_chr7:38088110-38088120(-) tgataatggca >hg38_chr7:38097795-38097805(+) aaactatggct >hg38_chr7:38129803-38129813(+) agacaatagtt >hg38_chr7:38144665-38144675(+) atacaatgcta >hg38_chr7:38164766-38164776(+) ccacaatgtac >hg38_chr7:38178004-38178014(+) GCACAATGCGG >hg38_chr7:38179036-38179046(-) CCACAATGTCC >hg38_chr7:38189086-38189096(+) AAACAATGACC >hg38_chr7:38192411-38192421(+) AAACAAAGGTT >hg38_chr7:38222752-38222762(-) ACACAGTGGAA >hg38_chr7:38233708-38233718(+) CAACAATGAAC >hg38_chr7:38233715-38233725(-) AAACAATGTTC >hg38_chr7:38312091-38312101(+) aaacaatagct >hg38_chr7:38319183-38319193(-) CTACAATGGCC >hg38_chr7:38420461-38420471(-) AAACAATAGGA >hg38_chr7:38459872-38459882(+) acacaaaggaa >hg38_chr7:38459881-38459891(+) aaacaataaat >hg38_chr7:38479205-38479215(+) atacaataaaa >hg38_chr7:38485070-38485080(+) gaacaataaga >hg38_chr7:38485089-38485099(+) aaacaatgaac >hg38_chr7:38492222-38492232(+) agacaatggga >hg38_chr7:38535355-38535365(+) gcacaatgctg >hg38_chr7:38593062-38593072(+) AAACAATgcaa >hg38_chr7:38636812-38636822(+) CTACAATGTGC >hg38_chr7:38646571-38646581(+) agacaatacgt >hg38_chr7:38695572-38695582(+) AGACAATGAAT >hg38_chr7:38704957-38704967(+) AAACAAAGGCA >hg38_chr7:38744919-38744929(+) TGACAATGGAG >hg38_chr7:38744986-38744996(-) CAACAATGTGG >hg38_chr7:38762234-38762244(-) TAATAATGGCC >hg38_chr7:38781521-38781531(-) agacaatgtca >hg38_chr7:38782115-38782125(-) ggacaatagaa >hg38_chr7:38795812-38795822(+) GGACAAAGGAG >hg38_chr7:38797325-38797335(+) GAACAATGACT >hg38_chr7:38812283-38812293(+) acacaatggga >hg38_chr7:38831829-38831839(+) ACACAATAGCA >hg38_chr7:38851526-38851536(-) aaacaatggtc >hg38_chr7:38857040-38857050(+) ACACAATGGTT >hg38_chr7:38863843-38863853(+) ACACAATGCTT >hg38_chr7:38865349-38865359(+) GTACAATGTTA >hg38_chr7:38913641-38913651(-) ccacaatagaa >hg38_chr7:38913650-38913660(+) ggacaatggct >hg38_chr7:38924094-38924104(-) CAATAATGgat >hg38_chr7:38924108-38924118(+) TAACAATGGCA >hg38_chr7:38929983-38929993(+) GAACAATAGTT >hg38_chr7:38978835-38978845(-) AGACAAAGACG >hg38_chr7:39005327-39005337(-) CCACAATGTTC >hg38_chr7:39017407-39017417(-) taacaataaca >hg38_chr7:39034167-39034177(-) AAACAATAGGT >hg38_chr7:39063541-39063551(+) agacaatggat >hg38_chr7:39064329-39064339(-) atataatgata >hg38_chr7:39069109-39069119(+) TGACAATGGGT >hg38_chr7:39088924-39088934(+) AAACAAAGGAA >hg38_chr7:39097514-39097524(+) AAACAAAGGAG >hg38_chr7:39119871-39119881(+) AGACAATGCGT >hg38_chr7:39119884-39119894(+) AAACAAAGGGC >hg38_chr7:39132171-39132181(-) GAACTATGGTC >hg38_chr7:39133780-39133790(+) CCAcaatgtac >hg38_chr7:39184797-39184807(-) AGACAAAGGGA >hg38_chr7:39226514-39226524(-) AAACAATGAAG >hg38_chr7:39229214-39229224(+) AAACAATAGGG >hg38_chr7:39248770-39248780(-) GGACAATGGGG >hg38_chr7:39282975-39282985(-) ctacaatgaaa >hg38_chr7:39306292-39306302(+) TCACAAAGGAa >hg38_chr7:39310923-39310933(+) GGACAATGGCG >hg38_chr7:39310947-39310957(-) AAACAAAGGAA >hg38_chr7:39314060-39314070(-) GAACAATCGAA >hg38_chr7:39314068-39314078(-) ACACAATAGAA >hg38_chr7:39326804-39326814(+) TAACAATGATG >hg38_chr7:39331888-39331898(-) AAACAATAGGA >hg38_chr7:39332392-39332402(-) agacaaaggac >hg38_chr7:39333290-39333300(+) CAACAAAGGCG >hg38_chr7:39354182-39354192(-) GTACAATAGTA >hg38_chr7:39395737-39395747(-) GGACAATAGGT >hg38_chr7:39401758-39401768(+) AAACAATGACA >hg38_chr7:39459916-39459926(+) GGACAAAGGCG >hg38_chr7:39462617-39462627(+) AAACGATGGCA >hg38_chr7:39462653-39462663(+) CAACAATGAGA >hg38_chr7:39465304-39465314(+) GAACAATAGGA >hg38_chr7:39473618-39473628(-) GTACAATGCTC >hg38_chr7:39473657-39473667(+) ATACAAAGGCT >hg38_chr7:39520138-39520148(-) TTACAAAGGTA >hg38_chr7:39582654-39582664(+) GAACAAAGGAT >hg38_chr7:39601359-39601369(-) TTACAATGTTT >hg38_chr7:39606493-39606503(+) GAACAAAGGAG >hg38_chr7:39613813-39613823(+) TTACAATGATT >hg38_chr7:39616448-39616458(+) ggacaatgaaa >hg38_chr7:39621117-39621127(-) Atataatggat >hg38_chr7:39625466-39625476(-) AAACAATGGTA >hg38_chr7:39632372-39632382(+) TAACAATGTCT >hg38_chr7:39632402-39632412(-) ATACAATGAAG >hg38_chr7:39655096-39655106(-) aaacaatgatg >hg38_chr7:39666799-39666809(+) GCACAAAGGGA >hg38_chr7:39668275-39668285(+) GGACAATGTGC >hg38_chr7:39702954-39702964(+) taacaataatg >hg38_chr7:39703363-39703373(-) ACACAATGATG >hg38_chr7:39723620-39723630(-) gtacaatgagg >hg38_chr7:39727276-39727286(+) atacaatacat >hg38_chr7:39727281-39727291(-) agacaatgtat >hg38_chr7:39747349-39747359(+) gaacaaaggat >hg38_chr7:39747426-39747436(+) ctacaatagtc >hg38_chr7:39749968-39749978(-) AAAcaatggta >hg38_chr7:39845907-39845917(-) acacaatgcgt >hg38_chr7:39895049-39895059(-) gaacaatgtct >hg38_chr7:39952232-39952242(-) CAACAATGAAA >hg38_chr7:39952274-39952284(-) GTACAATACTA >hg38_chr7:39986438-39986448(-) AAACAATGACA >hg38_chr7:40003979-40003989(+) TTATAATGGTT >hg38_chr7:40009213-40009223(-) AGACAATAAAA >hg38_chr7:40018988-40018998(+) caacaatagag >hg38_chr7:40019011-40019021(+) gaactatggta >hg38_chr7:40038401-40038411(-) acacaatgaaa >hg38_chr7:40052687-40052697(-) TAACAATGTTC >hg38_chr7:40070898-40070908(+) ACACAATGTAC >hg38_chr7:40077286-40077296(-) CTACAATAATA >hg38_chr7:40092465-40092475(-) GAATAATGATA >hg38_chr7:40092485-40092495(+) TCACAATGTGC >hg38_chr7:40123919-40123929(+) ttacaataaat >hg38_chr7:40132733-40132743(+) CCACAATAGCT >hg38_chr7:40186755-40186765(-) AGACAATGCTG >hg38_chr7:40298991-40299001(+) TAACAATGGTT >hg38_chr7:40310745-40310755(-) TAACAATAGAA >hg38_chr7:40311480-40311490(+) ctacaatgact >hg38_chr7:40326288-40326298(-) gtacaatgatc >hg38_chr7:40341344-40341354(+) tcacaatgcta >hg38_chr7:40341367-40341377(+) ttaccatggta >hg38_chr7:40341368-40341378(-) ataccatggta >hg38_chr7:40379975-40379985(-) aaacagtggat >hg38_chr7:40380038-40380048(+) taacagtggga >hg38_chr7:40418205-40418215(-) ACACAATGACT >hg38_chr7:40427933-40427943(-) acactatggaa >hg38_chr7:40428626-40428636(-) agacaatatag >hg38_chr7:40447579-40447589(+) GAACAAAGGAA >hg38_chr7:40469396-40469406(-) TGATAATGGCA >hg38_chr7:40500040-40500050(-) AGACAATGATG >hg38_chr7:40509509-40509519(-) tgacaatggta >hg38_chr7:40515729-40515739(-) atacaatacaa >hg38_chr7:40550436-40550446(-) GTACAATAGAG >hg38_chr7:40550701-40550711(-) GGACAAAGGGA >hg38_chr7:40550753-40550763(-) GAACAATGGCT >hg38_chr7:40584948-40584958(-) TTACAATGCAC >hg38_chr7:40595517-40595527(-) AAACAATGTGA >hg38_chr7:40599945-40599955(-) tcacaatgaga >hg38_chr7:40611342-40611352(+) TCATAATGGTT >hg38_chr7:40709250-40709260(+) AAACAATAACT >hg38_chr7:40709267-40709277(-) TGATAATGGAT >hg38_chr7:40718289-40718299(+) AAACAATGTTT >hg38_chr7:40718495-40718505(+) ATATAATGTAA >hg38_chr7:40753091-40753101(-) ATACAATAGCC >hg38_chr7:40757142-40757152(-) TAACAATGTCT >hg38_chr7:40827582-40827592(+) AGACAAAGGAA >hg38_chr7:40828758-40828768(+) tcataatggtA >hg38_chr7:40835647-40835657(-) ATACAATAAAG >hg38_chr7:40843775-40843785(-) tcacaataggc >hg38_chr7:40965810-40965820(+) GGACAATGGAA >hg38_chr7:41029268-41029278(+) AGACAATGGGG >hg38_chr7:41030116-41030126(-) atacaatggtg >hg38_chr7:41103042-41103052(-) ttacaatgatg >hg38_chr7:41103069-41103079(+) agacaatgaaa >hg38_chr7:41103477-41103487(+) tgacaatagtg >hg38_chr7:41103723-41103733(+) ggacaaaggga >hg38_chr7:41104056-41104066(-) tcacaataata >hg38_chr7:41108099-41108109(-) AAACAAAGGGA >hg38_chr7:41122801-41122811(+) CAACAATGGCA >hg38_chr7:41160171-41160181(-) taacaatgaat >hg38_chr7:41160208-41160218(+) agacaatgcaa >hg38_chr7:41186106-41186116(+) ACACAATGGAA >hg38_chr7:41188914-41188924(-) ATATAATGGTG >hg38_chr7:41197508-41197518(+) GGACAAAGGAG >hg38_chr7:41198499-41198509(-) ATACAATAGAG >hg38_chr7:41205839-41205849(+) atacagtggag >hg38_chr7:41219467-41219477(+) TCACAATAGGA >hg38_chr7:41224623-41224633(+) ATACAATTGAA >hg38_chr7:41247632-41247642(-) ACACAATAAAA >hg38_chr7:41247664-41247674(+) AGACAATGAAG >hg38_chr7:41332544-41332554(+) ctacaatgaaa >hg38_chr7:41351395-41351405(+) GCACAAAGGAA >hg38_chr7:41409871-41409881(-) AAACAATAGAA >hg38_chr7:41411488-41411498(+) atacaatagat >hg38_chr7:41425255-41425265(-) ttataatggcc >hg38_chr7:41485707-41485717(-) aaacaatagca >hg38_chr7:41493529-41493539(+) GTACAATGTAG >hg38_chr7:41499732-41499742(+) AGACAATGGTA >hg38_chr7:41499789-41499799(+) GTACAATATGC >hg38_chr7:41503212-41503222(+) caacaatgtgt >hg38_chr7:41517620-41517630(-) gaacaatgcca >hg38_chr7:41533248-41533258(+) ggataatgggc >hg38_chr7:41600898-41600908(+) gaacaataaaa >hg38_chr7:41603060-41603070(+) ccacaatagag >hg38_chr7:41616693-41616703(+) TCACAATGCCT >hg38_chr7:41629758-41629768(-) TAACAATGGGC >hg38_chr7:41636406-41636416(+) TCACAATGAAA >hg38_chr7:41665600-41665610(+) aaacaataaat >hg38_chr7:41665617-41665627(-) atataatgtaa >hg38_chr7:41674262-41674272(+) ctacaatgtcc >hg38_chr7:41674796-41674806(+) TCACAATGACT >hg38_chr7:41682648-41682658(+) ACACAATAAAC >hg38_chr7:41682667-41682677(+) GCACAATGCTT >hg38_chr7:41685837-41685847(+) ATACAATGTCC >hg38_chr7:41687098-41687108(-) ATACAATACGC >hg38_chr7:41698914-41698924(+) AAACAATGGCA >hg38_chr7:41702903-41702913(+) TCACAATGCAC >hg38_chr7:41738546-41738556(+) GAACAAAGGCT >hg38_chr7:41791622-41791632(+) ATACAAAGGTC >hg38_chr7:41791662-41791672(+) GCACAATGGGT >hg38_chr7:41804706-41804716(+) gtacaattgac >hg38_chr7:41808789-41808799(-) tgacaatggaa >hg38_chr7:41815092-41815102(-) TGACAATGACA >hg38_chr7:41863535-41863545(-) GGACAATGTAT >hg38_chr7:41863608-41863618(-) TTACAAAGGGA >hg38_chr7:41872526-41872536(-) TTACAAAGGAC >hg38_chr7:41893860-41893870(-) acacagtggaa >hg38_chr7:41893913-41893923(-) atacaatgaaa >hg38_chr7:41900810-41900820(+) atacaatggca >hg38_chr7:41912040-41912050(-) TGACAATGAAT >hg38_chr7:41969949-41969959(+) GAACAATGAAT >hg38_chr7:41986339-41986349(+) GAACAAAGGGC >hg38_chr7:42014830-42014840(+) TGACGATGGAT >hg38_chr7:42027098-42027108(-) GCATAATGGGC >hg38_chr7:42027693-42027703(+) TTACAATAGCT >hg38_chr7:42068645-42068655(+) CAACAATGAGT >hg38_chr7:42068677-42068687(-) GAACAAAGGCC >hg38_chr7:42070186-42070196(-) ATACAATAGAA >hg38_chr7:42073429-42073439(+) AAACAAAGGCA >hg38_chr7:42087768-42087778(-) TAACAATAATA >hg38_chr7:42153027-42153037(-) TCACAATAGAG >hg38_chr7:42154913-42154923(+) TGACAATGACG >hg38_chr7:42193291-42193301(+) AAACAATGAGC >hg38_chr7:42219678-42219688(+) TCACAATGAAG >hg38_chr7:42222404-42222414(+) CCACAATGGAG >hg38_chr7:42224561-42224571(-) TTACAATGAAT >hg38_chr7:42232496-42232506(+) AGACAATGAAT >hg38_chr7:42255605-42255615(+) aaataatggtt >hg38_chr7:42256020-42256030(-) ccacaatggga >hg38_chr7:42269330-42269340(+) GTACAAAGGAA >hg38_chr7:42299877-42299887(-) ggacaatgaga >hg38_chr7:42299924-42299934(+) gcacaatggag >hg38_chr7:42301869-42301879(+) ggacaatgcaa >hg38_chr7:42315420-42315430(-) GGACAATGTGA >hg38_chr7:42316098-42316108(-) TCACAATGCAG >hg38_chr7:42317094-42317104(-) gaacaaaggtg >hg38_chr7:42322606-42322616(-) atacaatgcaa >hg38_chr7:42322886-42322896(-) aaacaatgact >hg38_chr7:42378245-42378255(+) tcataatggtc >hg38_chr7:42482364-42482374(+) AAACAATGAAC >hg38_chr7:42609007-42609017(+) ctacaatggaa >hg38_chr7:42661501-42661511(+) ggacaaaggga >hg38_chr7:42721638-42721648(+) TGACAATGCAG >hg38_chr7:42746379-42746389(+) ACACAAAGGGA >hg38_chr7:42790963-42790973(+) gaacaatagaa >hg38_chr7:42826539-42826549(+) TTACAATGTAT >hg38_chr7:42845371-42845381(+) AGACAATGGTG >hg38_chr7:42912798-42912808(-) gaacaatgtct >hg38_chr7:42912819-42912829(-) gaacaatgtgt >hg38_chr7:42921839-42921849(+) TTACAATGAGT >hg38_chr7:42933826-42933836(+) tcacaatggtc >hg38_chr7:42941511-42941521(-) AGACAATGTCC >hg38_chr7:42950339-42950349(+) atacaataagt >hg38_chr7:42950374-42950384(+) gaacaatgggc >hg38_chr7:42981265-42981275(-) ATACAATGTGC >hg38_chr7:43015077-43015087(-) GAACAATGCTT >hg38_chr7:43015453-43015463(+) GAACCATGGTA >hg38_chr7:43015470-43015480(+) TAACAAAGGCA >hg38_chr7:43102537-43102547(+) ctataatggct >hg38_chr7:43102892-43102902(-) ACACAATAGTC >hg38_chr7:43108348-43108358(-) ggacaaaggag >hg38_chr7:43135356-43135366(-) ttacaataaca >hg38_chr7:43139437-43139447(-) GCACAAAGGAA >hg38_chr7:43147417-43147427(+) GCACAATGTAG >hg38_chr7:43198921-43198931(-) GAACAATAGCC >hg38_chr7:43204190-43204200(-) ACACAATGATG >hg38_chr7:43208382-43208392(+) aaacaatgaaa >hg38_chr7:43254170-43254180(-) AAACAAAGACG >hg38_chr7:43290005-43290015(-) taacaaaggcc >hg38_chr7:43297196-43297206(+) aaacaatagat >hg38_chr7:43328929-43328939(-) GCACAATAGGG >hg38_chr7:43388295-43388305(+) ATACAATAATG >hg38_chr7:43392199-43392209(-) TGACAATGAGG >hg38_chr7:43396545-43396555(+) TTACAAAGGGT >hg38_chr7:43406070-43406080(+) aaacaataggc >hg38_chr7:43410810-43410820(-) agacaataaaa >hg38_chr7:43438675-43438685(-) ATACAATTGAA >hg38_chr7:43449288-43449298(+) CCACAATGAAC >hg38_chr7:43449802-43449812(-) GGACAAAGGAT >hg38_chr7:43585517-43585527(+) TAACAATGCAG >hg38_chr7:43590926-43590936(+) ATACACTGGGA >hg38_chr7:43637576-43637586(+) AAACAGTGGAC >hg38_chr7:43647550-43647560(+) ACACAATGGCA >hg38_chr7:43648433-43648443(+) AAACAATGCAG >hg38_chr7:43653630-43653640(-) TAACAAAGGGA >hg38_chr7:43654447-43654457(+) GAACAAAGGAC >hg38_chr7:43698576-43698586(-) TTACTATGGTT >hg38_chr7:43701543-43701553(-) tcacaatagca >hg38_chr7:43710917-43710927(-) TGACAATGAAA >hg38_chr7:43786046-43786056(+) tcacaatgtaa >hg38_chr7:43796243-43796253(+) ctacaatgaac >hg38_chr7:43796259-43796269(-) taacaattgta >hg38_chr7:43798525-43798535(-) gaacaatgtgg >hg38_chr7:43934326-43934336(-) aaacaatggta >hg38_chr7:44200901-44200911(-) GTACAATGCAG >hg38_chr7:44288990-44289000(-) AGACAATGACG >hg38_chr7:44303437-44303447(+) atacaataacc >hg38_chr7:44322067-44322077(+) tgacaatggaa >hg38_chr7:44346537-44346547(-) agacaatggaa >hg38_chr7:44395458-44395468(+) ccacaatggtg >hg38_chr7:44409526-44409536(-) acacaatgggg >hg38_chr7:44441441-44441451(-) GGACAATGTGG >hg38_chr7:44441466-44441476(+) CAACAATAGCA >hg38_chr7:44469951-44469961(+) atacaatgaca >hg38_chr7:44479312-44479322(-) taacaatacaa >hg38_chr7:44483282-44483292(+) agacaatggaa >hg38_chr7:44490005-44490015(+) ACACAATGCCT >hg38_chr7:44500523-44500533(+) GAACAATAACC >hg38_chr7:44551073-44551083(+) agacaatgcaa >hg38_chr7:44579975-44579985(+) atacaatggaa >hg38_chr7:44678585-44678595(-) AAACAAAGGGA >hg38_chr7:44735598-44735608(+) ccacaatgaga >hg38_chr7:44743922-44743932(-) gaacaatagat >hg38_chr7:44748843-44748853(-) GCACAATGGAG >hg38_chr7:44796989-44796999(+) ggacaaaggca >hg38_chr7:44797556-44797566(-) GGACAATCGGG >hg38_chr7:44995221-44995231(+) agacaatggct >hg38_chr7:44998682-44998692(-) GAACAAAGGCC >hg38_chr7:45013047-45013057(-) gcacaaaggga >hg38_chr7:45013062-45013072(-) aaacaaaggaa >hg38_chr7:45092914-45092924(-) cgacaatactc >hg38_chr7:45092926-45092936(+) aaacaatagag >hg38_chr7:45098014-45098024(+) ctataatggaa >hg38_chr7:45124131-45124141(-) GAACAATGGAC >hg38_chr7:45182940-45182950(-) TCACAATGACC >hg38_chr7:45191589-45191599(+) AAACAATCGTA >hg38_chr7:45279310-45279320(-) AAACAATGGTA >hg38_chr7:45281002-45281012(+) caacaatgtgt >hg38_chr7:45300880-45300890(+) acacaatgggt >hg38_chr7:45322417-45322427(-) gaataatggca >hg38_chr7:45323063-45323073(-) taacaatagaa >hg38_chr7:45323839-45323849(+) TTACAACGGTA >hg38_chr7:45375595-45375605(+) acacaataaaa >hg38_chr7:45457762-45457772(-) TGACAATGTAA >hg38_chr7:45479971-45479981(+) taacaataatg >hg38_chr7:45479997-45480007(+) ccacaatgatg >hg38_chr7:45486888-45486898(-) ATACAATGGAA >hg38_chr7:45487683-45487693(-) AGACAATGTCT >hg38_chr7:45560512-45560522(-) aaacaatgcag >hg38_chr7:45560527-45560537(-) ttacaatgttt >hg38_chr7:45560584-45560594(-) ttacaatgaaa >hg38_chr7:45614052-45614062(+) acacaatagca >hg38_chr7:45614448-45614458(+) acacaaaggaa >hg38_chr7:45614917-45614927(+) aaacaataata >hg38_chr7:45706959-45706969(-) atacaatgctg >hg38_chr7:45750771-45750781(+) aaacaatgctg >hg38_chr7:45882677-45882687(+) ACACAATGGGA >hg38_chr7:45889589-45889599(+) AAACAATGTTT >hg38_chr7:45900176-45900186(+) CCACAATAGGG >hg38_chr7:45900199-45900209(+) CTACAATGTCA >hg38_chr7:45902964-45902974(-) tgacagtggta >hg38_chr7:45922092-45922102(+) AGACAATAAAC >hg38_chr7:45945733-45945743(+) TAACAATGTAT >hg38_chr7:45959335-45959345(-) acacaatgtaa >hg38_chr7:45959365-45959375(+) atacaatgttc >hg38_chr7:45994527-45994537(-) gaacagtggag >hg38_chr7:46052860-46052870(-) tgacaataata >hg38_chr7:46061237-46061247(+) GAACAATGACA >hg38_chr7:46077429-46077439(+) taacaatgagc >hg38_chr7:46078218-46078228(-) taacaatgtac >hg38_chr7:46083885-46083895(+) taacaatgcca >hg38_chr7:46089560-46089570(-) taacaatagat >hg38_chr7:46118793-46118803(-) aaacaatgaga >hg38_chr7:46119160-46119170(-) acacaatggta >hg38_chr7:46216033-46216043(-) GAACAATGCCA >hg38_chr7:46236293-46236303(+) ggacaataaca >hg38_chr7:46304105-46304115(-) aaacaatagaa >hg38_chr7:46344188-46344198(+) ATACAATGTAG >hg38_chr7:46363915-46363925(-) GGACAAAGGGT >hg38_chr7:46383112-46383122(-) ggacaatagag >hg38_chr7:46385389-46385399(-) ctacaatgacc >hg38_chr7:46427874-46427884(-) gtacaaaggaa >hg38_chr7:46461682-46461692(+) ttacaatagac >hg38_chr7:46540033-46540043(+) ttacaATAGCC >hg38_chr7:46545184-46545194(+) taataatgtaa >hg38_chr7:46557954-46557964(-) AAACAAAGGGA >hg38_chr7:46625302-46625312(+) TCACAATGGAC >hg38_chr7:46643677-46643687(-) agacaatgcca >hg38_chr7:46646248-46646258(-) ACACAATGAAG >hg38_chr7:46669285-46669295(+) aaacaatagac >hg38_chr7:46675622-46675632(-) taacaatgcta >hg38_chr7:46710903-46710913(+) GTACAATGAGA >hg38_chr7:46711438-46711448(-) GTACAATACAC >hg38_chr7:46711445-46711455(+) GTACAATAAAT >hg38_chr7:46757135-46757145(+) ATACAATGAAG >hg38_chr7:46771428-46771438(+) AAACAATGGGT >hg38_chr7:46772420-46772430(-) taacaaaggcc >hg38_chr7:46878989-46878999(+) AGACAATGGCC >hg38_chr7:46973343-46973353(-) gcacaatgaaa >hg38_chr7:47039568-47039578(-) TAACAAAGGCA >hg38_chr7:47039590-47039600(-) taacaatgttA >hg38_chr7:47040111-47040121(-) ACACAATGAGA >hg38_chr7:47040142-47040152(-) AGACAATGGGC >hg38_chr7:47059577-47059587(-) TTACAATAGGG >hg38_chr7:47059604-47059614(+) TTACAATAGTC >hg38_chr7:47139585-47139595(+) acataatggag >hg38_chr7:47169248-47169258(+) GCACAATGACC >hg38_chr7:47169615-47169625(+) AGACAATATAT >hg38_chr7:47214595-47214605(-) AAACAAAGGCT >hg38_chr7:47231583-47231593(+) GGACAAAGGAA >hg38_chr7:47333562-47333572(-) GGACAAAGGCA >hg38_chr7:47350339-47350349(+) TAACAATGGTT >hg38_chr7:47366225-47366235(-) GAACAATAGGT >hg38_chr7:47470841-47470851(+) GGACAATAGCT >hg38_chr7:47507603-47507613(+) TAACAATGCAA >hg38_chr7:47508487-47508497(+) GCACAAAGGAC >hg38_chr7:47514258-47514268(-) CAACAATGGGG >hg38_chr7:47571600-47571610(+) AGACAATAGCG >hg38_chr7:47576600-47576610(-) AAACAAAGGGG >hg38_chr7:47582249-47582259(+) TAACAATGCAG >hg38_chr7:47601571-47601581(+) ACACAATGCAC >hg38_chr7:47641139-47641149(-) AGACAATGCTC >hg38_chr7:47654884-47654894(+) GAACAATGGCA >hg38_chr7:47683663-47683673(+) CAACAATGGGG >hg38_chr7:47736926-47736936(-) caacaatagga >hg38_chr7:47736940-47736950(+) taacaaaggaa >hg38_chr7:47736980-47736990(-) agacaatgcag >hg38_chr7:47737743-47737753(-) GCACAACGGTC >hg38_chr7:47737809-47737819(-) CAACAATGAAA >hg38_chr7:47786625-47786635(+) ACGCAATGGTA >hg38_chr7:47786974-47786984(+) GAACAATATGA >hg38_chr7:47908869-47908879(-) tgacaatgaca >hg38_chr7:47915284-47915294(+) taacaatgcca >hg38_chr7:47950787-47950797(+) ATACAATGTTT >hg38_chr7:47950868-47950878(-) AAACAATGCTG >hg38_chr7:48000058-48000068(+) agacaatgcca >hg38_chr7:48042468-48042478(-) acacaatgccc >hg38_chr7:48042506-48042516(+) aaacaataacg >hg38_chr7:48042515-48042525(+) cgacaataaaa >hg38_chr7:48058516-48058526(-) acacaaaggac >hg38_chr7:48062860-48062870(+) GTACAATAATG >hg38_chr7:48073846-48073856(+) acacaatgcca >hg38_chr7:48073873-48073883(+) agataatggac >hg38_chr7:48073900-48073910(+) taacaatacat >hg38_chr7:48085242-48085252(+) tgacaatgaaa >hg38_chr7:48133381-48133391(-) agacaatagat >hg38_chr7:48168353-48168363(-) agacaatggtg >hg38_chr7:48168377-48168387(-) tgataatggtg >hg38_chr7:48183013-48183023(+) ACACAATAGAA >hg38_chr7:48189441-48189451(+) GAACAATGAAA >hg38_chr7:48199392-48199402(-) ttataatggaa >hg38_chr7:48199427-48199437(+) acataatggaa >hg38_chr7:48207512-48207522(-) ttacaatgaga >hg38_chr7:48250569-48250579(+) aaacaatggcc >hg38_chr7:48251906-48251916(-) atacaatggag >hg38_chr7:48300485-48300495(+) CAACAATGAGG >hg38_chr7:48337986-48337996(+) taacaatgacc >hg38_chr7:48338056-48338066(-) atataatgaac >hg38_chr7:48342462-48342472(-) aaacaaaggaa >hg38_chr7:48365389-48365399(-) aaacaaaggaa >hg38_chr7:48380326-48380336(+) ATATAATGGGG >hg38_chr7:48398286-48398296(+) TAACAATGCTA >hg38_chr7:48471474-48471484(+) ATACAATGGCT >hg38_chr7:48476246-48476256(-) tgacaatggag >hg38_chr7:48489335-48489345(+) AGACAATACGG >hg38_chr7:48489360-48489370(-) TTACAATGCAT >hg38_chr7:48489386-48489396(+) AGACAATGTTT >hg38_chr7:48522892-48522902(+) ATACAGTGGCA >hg38_chr7:48540534-48540544(+) GCACAATGGAA >hg38_chr7:48552552-48552562(-) ATACAAAGGAA >hg38_chr7:48552568-48552578(-) GAACAATGCTC >hg38_chr7:48571634-48571644(-) agacaaaggga >hg38_chr7:48592689-48592699(-) gtacaataata >hg38_chr7:48610979-48610989(-) agacaatgggg >hg38_chr7:48637669-48637679(+) TGACAATGATA >hg38_chr7:48637726-48637736(-) GAACAATAGCC >hg38_chr7:48683025-48683035(-) taacaatggag >hg38_chr7:48708458-48708468(-) taacaatgcaa >hg38_chr7:48816816-48816826(+) TGACAAAGGGA >hg38_chr7:48871495-48871505(+) CAACAATGTAT >hg38_chr7:49001686-49001696(+) gcacaatgcta >hg38_chr7:49001696-49001706(-) tgacaatgttt >hg38_chr7:49023830-49023840(+) TAACAATAGAC >hg38_chr7:49024179-49024189(+) GGACAAAGGCA >hg38_chr7:49101542-49101552(-) tcacaatgttc >hg38_chr7:49108939-49108949(-) aaacaaaggac >hg38_chr7:49150147-49150157(+) AGACAATGGAG >hg38_chr7:49238157-49238167(-) acataatggta >hg38_chr7:49245255-49245265(-) TTACAATGGGG >hg38_chr7:49420318-49420328(-) ccacaatgaCT >hg38_chr7:49429441-49429451(-) ATACAATGGGA >hg38_chr7:49429476-49429486(-) AAACACTGGTA >hg38_chr7:49467569-49467579(+) TTACAATGTCC >hg38_chr7:49467576-49467586(-) AGACAAAGGAC >hg38_chr7:49478017-49478027(-) acacaatggta >hg38_chr7:49514053-49514063(-) GAACAATAGAA >hg38_chr7:49723982-49723992(+) ttaccatggta >hg38_chr7:49723983-49723993(-) ataccatggta >hg38_chr7:49790409-49790419(-) ACACAAAGGGA >hg38_chr7:49790438-49790448(+) AGACAGTGGAA >hg38_chr7:49828849-49828859(-) gtacagtggcc >hg38_chr7:49901925-49901935(+) gtacaatggaa >hg38_chr7:49901933-49901943(+) gaacaatgata >hg38_chr7:49952337-49952347(+) aaacaataaat >hg38_chr7:50027773-50027783(+) gaacaatataa >hg38_chr7:50092631-50092641(-) AAATAATGCGA >hg38_chr7:50128913-50128923(+) agacaatgata >hg38_chr7:50164558-50164568(-) ATACAATGGCG >hg38_chr7:50165073-50165083(-) CCACAATGTGC >hg38_chr7:50260542-50260552(+) GTACAATGTAG >hg38_chr7:50260549-50260559(+) GTAGAATGGTA >hg38_chr7:50262985-50262995(+) GCACAATGGGA >hg38_chr7:50315927-50315937(+) TAACAAAGGAG >hg38_chr7:50316507-50316517(+) TGACAATAGAC >hg38_chr7:50331846-50331856(+) aaacaaaggat >hg38_chr7:50335909-50335919(-) TCACAATGAAG >hg38_chr7:50379295-50379305(-) GAACAATGGCC >hg38_chr7:50379612-50379622(+) AAATAATGGGA >hg38_chr7:50386969-50386979(+) AGACAATGTGG >hg38_chr7:50395538-50395548(-) gaacactggaa >hg38_chr7:50395566-50395576(-) ggacaatgtaa >hg38_chr7:50407140-50407150(-) TTACGATGGGG >hg38_chr7:50448781-50448791(-) TTACAATGTGA >hg38_chr7:50449483-50449493(-) agacaatgtga >hg38_chr7:50522622-50522632(+) tgacaaaggaa >hg38_chr7:50531823-50531833(+) GAACGATGGCT >hg38_chr7:50531849-50531859(+) AGACAAAGGTT >hg38_chr7:50548138-50548148(-) gaataatgggt >hg38_chr7:50562320-50562330(+) GGACAATGGAG >hg38_chr7:50637473-50637483(+) taacaatacat >hg38_chr7:50663838-50663848(+) AGACAATGCAG >hg38_chr7:50679463-50679473(-) AAACAATGTAA >hg38_chr7:50696957-50696967(+) acacaatggaa >hg38_chr7:50740979-50740989(+) aaacaataatc >hg38_chr7:50766300-50766310(+) ACACAATGAGG >hg38_chr7:50769224-50769234(+) CAACAATCGAA >hg38_chr7:50769288-50769298(-) GGACAATGGAA >hg38_chr7:50795562-50795572(+) GCACAATGCTC >hg38_chr7:50822961-50822971(+) AAACAATGGAA >hg38_chr7:50838191-50838201(-) cgacaatggga >hg38_chr7:50866410-50866420(-) tgacaatgttc >hg38_chr7:50867100-50867110(-) aaacaatagcc >hg38_chr7:50869283-50869293(+) ggacaataaca >hg38_chr7:50909120-50909130(+) taataatggcc >hg38_chr7:50909154-50909164(-) ccacaatgtga >hg38_chr7:50914223-50914233(-) AAACAATAGTA >hg38_chr7:50957630-50957640(+) agacaatgcct >hg38_chr7:50963187-50963197(-) CAACAATAGAG >hg38_chr7:50982750-50982760(+) GCACAATGCCT >hg38_chr7:51067420-51067430(+) ACACAATGTGC >hg38_chr7:51072333-51072343(+) GGACAATGCAG >hg38_chr7:51081002-51081012(+) AAACAATGGGC >hg38_chr7:51152971-51152981(-) TAACAAAGGAT >hg38_chr7:51157929-51157939(-) TAACAATGTGT >hg38_chr7:51228522-51228532(+) ACACAATAGAA >hg38_chr7:51268230-51268240(+) TTACAATAGAT >hg38_chr7:51282544-51282554(+) tgacaatgaag >hg38_chr7:51282572-51282582(+) caacaatgaaa >hg38_chr7:51290219-51290229(+) TCACAATGCCA >hg38_chr7:51290262-51290272(+) GGACAATGTGT >hg38_chr7:51311225-51311235(+) AGACAATGCCC >hg38_chr7:51326798-51326808(+) ATACTATGGAG >hg38_chr7:51344983-51344993(+) CAACAATGGAA >hg38_chr7:51452350-51452360(+) GCACAATGGAC >hg38_chr7:51502438-51502448(+) GGACAATGGAC >hg38_chr7:51523018-51523028(-) CTACAATGGAA >hg38_chr7:51591323-51591333(+) GAACAATGAAG >hg38_chr7:51645451-51645461(-) aaaCAAAGGCA >hg38_chr7:51648116-51648126(-) GCACAATGAAT >hg38_chr7:51774267-51774277(-) aaacaaaggcc >hg38_chr7:51825738-51825748(+) CCACAATGTCT >hg38_chr7:51881604-51881614(-) TAACAATACGC >hg38_chr7:51930537-51930547(-) GAATAATGCGT >hg38_chr7:51930600-51930610(-) CAACAATGGTC >hg38_chr7:51950309-51950319(-) GCACAATGGCT >hg38_chr7:51950318-51950328(-) CTACAATGGGC >hg38_chr7:51982066-51982076(-) TAACAATGGTC >hg38_chr7:52061846-52061856(-) gaacaaaggca >hg38_chr7:52072959-52072969(-) GAACAGTGGAA >hg38_chr7:52087099-52087109(-) gaacaatgact >hg38_chr7:52087144-52087154(-) AGACAATGCTG >hg38_chr7:52114626-52114636(-) TAACAATAGAG >hg38_chr7:52129530-52129540(+) TGACAATAGCC >hg38_chr7:52135977-52135987(+) caacaatgaga >hg38_chr7:52172643-52172653(+) TAACAGTGGCA >hg38_chr7:52218250-52218260(-) AAACAATGAGA >hg38_chr7:52254024-52254034(+) TGACAAAGGAA >hg38_chr7:52480148-52480158(-) AGACAATGGGC >hg38_chr7:52504699-52504709(+) ccacaatggct >hg38_chr7:52666086-52666096(+) CAACAATAGTA >hg38_chr7:52670780-52670790(+) AAACAAAGGAA >hg38_chr7:52670809-52670819(-) AAACAATGGTA >hg38_chr7:52696258-52696268(-) TAACAATAATT >hg38_chr7:52748011-52748021(+) GCACAATAGCA >hg38_chr7:52843045-52843055(+) CGACAATAGAA >hg38_chr7:52916945-52916955(+) aaacaatgtgt >hg38_chr7:52917430-52917440(+) tgacaatggat >hg38_chr7:52917443-52917453(-) gtacaatatct >hg38_chr7:52928787-52928797(+) gaacaaaggaa >hg38_chr7:52928805-52928815(-) aaataatggag >hg38_chr7:52958828-52958838(-) TTACAATGAGG >hg38_chr7:52961460-52961470(-) tcacaatgcag >hg38_chr7:52979949-52979959(-) agacaatgcct >hg38_chr7:53040478-53040488(+) GGACAAAGGAA >hg38_chr7:53048820-53048830(+) GAACAATGCAT >hg38_chr7:53114345-53114355(-) GAACAAAGGGG >hg38_chr7:53119940-53119950(-) gtacaaaggac >hg38_chr7:53169012-53169022(-) TAACAATGCCT >hg38_chr7:53202315-53202325(-) TAACAAAGGAG >hg38_chr7:53326787-53326797(+) aaacaatgacc >hg38_chr7:53453395-53453405(-) TAACAATGACA >hg38_chr7:53453407-53453417(-) ACACAATACGA >hg38_chr7:53461239-53461249(-) GTACAATATAG >hg38_chr7:53641219-53641229(+) taactatggca >hg38_chr7:53904605-53904615(-) agacaatgggg >hg38_chr7:53954646-53954656(+) agacagtggta >hg38_chr7:53993288-53993298(+) TTACAAAGGTT >hg38_chr7:53997087-53997097(-) caacaatgatg >hg38_chr7:54001229-54001239(-) TTACAATGGTA >hg38_chr7:54096818-54096828(+) AGACAAAGGGA >hg38_chr7:54188916-54188926(+) GAACAATGGAA >hg38_chr7:54189526-54189536(+) tcacaatagcc >hg38_chr7:54194854-54194864(+) agacaatcgtg >hg38_chr7:54218024-54218034(+) tgacaatggta >hg38_chr7:54252096-54252106(+) GTACAATGGAC >hg38_chr7:54299147-54299157(+) caacaatggga >hg38_chr7:54330701-54330711(+) ttacaaaggag >hg38_chr7:54360588-54360598(-) TAACAATGGTA >hg38_chr7:54360640-54360650(-) AGACAATGCCT >hg38_chr7:54371877-54371887(+) taacaaaggct >hg38_chr7:54538818-54538828(-) GTACAATGTCT >hg38_chr7:54543335-54543345(-) GAACAATCGGG >hg38_chr7:54612382-54612392(-) AAACAATGGTA >hg38_chr7:54615663-54615673(+) GAACAATATGA >hg38_chr7:54638067-54638077(+) TGACAATGTTG >hg38_chr7:54752571-54752581(+) GCACAATGAAC >hg38_chr7:54755777-54755787(+) ACACAATGATG >hg38_chr7:54755832-54755842(-) CTATAATGGGA >hg38_chr7:54791594-54791604(-) CAACAATGTAA >hg38_chr7:54813785-54813795(+) GAACAATGGAG >hg38_chr7:54832000-54832010(+) ggacaaaggga >hg38_chr7:54843619-54843629(+) taacaatagca >hg38_chr7:54843642-54843652(-) gcacaatgtaa >hg38_chr7:54843649-54843659(-) aaacaatgcac >hg38_chr7:54858437-54858447(-) AAACAATGAAA >hg38_chr7:54858475-54858485(+) GAACAAAGGCT >hg38_chr7:54858491-54858501(-) AGACAAAGGAA >hg38_chr7:54873075-54873085(+) tcacaatgtta >hg38_chr7:54873133-54873143(-) taacaatgagt >hg38_chr7:54877654-54877664(+) ggataatggtg >hg38_chr7:54889490-54889500(+) ACACAATGTAT >hg38_chr7:54889690-54889700(+) taacaatacac >hg38_chr7:54889740-54889750(-) gtacaaagggc >hg38_chr7:54890836-54890846(+) ACACAAAGGTT >hg38_chr7:54920463-54920473(+) AAACAATAGCT >hg38_chr7:54929321-54929331(+) tgacaatggaa >hg38_chr7:54967445-54967455(-) AAACAATGCAA >hg38_chr7:54985426-54985436(+) TCACAATGAGA >hg38_chr7:54985442-54985452(+) TAACAATGAAT >hg38_chr7:54986542-54986552(+) taactatggaa >hg38_chr7:55000059-55000069(+) acacaatggag >hg38_chr7:55010154-55010164(-) gcacaatggta >hg38_chr7:55010190-55010200(-) gaacaatagga >hg38_chr7:55024829-55024839(+) AAACAATGTCA >hg38_chr7:55024866-55024876(+) GGACAAAGGAT >hg38_chr7:55066631-55066641(-) TCACAATAGCA >hg38_chr7:55091708-55091718(+) AAACAATGGCA >hg38_chr7:55131852-55131862(+) GAACAGTGGAG >hg38_chr7:55139947-55139957(+) agacaatGAAG >hg38_chr7:55192891-55192901(-) TAACAATAAAA >hg38_chr7:55192908-55192918(-) ATATAATGTAA >hg38_chr7:55222602-55222612(-) GAACAATGCTG >hg38_chr7:55246695-55246705(+) GGACAATGAGC >hg38_chr7:55299462-55299472(+) agacaaagggt >hg38_chr7:55365224-55365234(+) AAACAAAGGGA >hg38_chr7:55381844-55381854(+) agacaaaggac >hg38_chr7:55420674-55420684(-) ctacaatgata >hg38_chr7:55476184-55476194(+) GGACAATGCTG >hg38_chr7:55502489-55502499(-) CCACAATGGGA >hg38_chr7:55527016-55527026(+) GAACAAAGGGA >hg38_chr7:55552670-55552680(+) CAACAATGGGG >hg38_chr7:55556266-55556276(+) GGACAATGACC >hg38_chr7:55565498-55565508(-) gtaccatggac >hg38_chr7:55568696-55568706(+) AAACAATGCAC >hg38_chr7:55573568-55573578(-) GTTCAATGGCG >hg38_chr7:55573596-55573606(+) TTACAATGAGG >hg38_chr7:55574048-55574058(-) aaacagtggga >hg38_chr7:55659980-55659990(-) AAACAATGCAG >hg38_chr7:55660017-55660027(-) AAACAATATAG >hg38_chr7:55814259-55814269(+) ttacaataaat >hg38_chr7:55948388-55948398(-) AGACAATGGCT >hg38_chr7:55999619-55999629(+) AGACAATGGGT >hg38_chr7:56055570-56055580(+) GAACTATGGTT >hg38_chr7:56077024-56077034(+) AGACAATGGGT >hg38_chr7:63068464-63068474(+) AAACAACGGGC >hg38_chr7:63925760-63925770(+) GAACAATAGAG >hg38_chr7:63926417-63926427(-) GAACAACGGCC >hg38_chr7:64038072-64038082(-) TAACAATGAGC >hg38_chr7:64470401-64470411(+) TGACAATGTTT >hg38_chr7:64599379-64599389(-) tcacaatgccc >hg38_chr7:64802947-64802957(+) agacaatggca >hg38_chr7:64882424-64882434(-) GGACAATGGTG >hg38_chr7:65307941-65307951(+) gaacaaaggaa >hg38_chr7:65316184-65316194(-) GAACAATGTAA >hg38_chr7:65330086-65330096(-) aaacaatgtag >hg38_chr7:65394285-65394295(-) aaataatggtg >hg38_chr7:65394315-65394325(-) acacaatagag >hg38_chr7:65448497-65448507(+) AGACTATGGTC >hg38_chr7:65448513-65448523(-) TAATAATGGAA >hg38_chr7:65928604-65928614(-) ataccatggac >hg38_chr7:65928616-65928626(-) atacactggta >hg38_chr7:65933642-65933652(+) tgacaatgtat >hg38_chr7:66168034-66168044(-) atacaatggac >hg38_chr7:66217480-66217490(-) atacaatgcac >hg38_chr7:66217492-66217502(-) atacaatgtca >hg38_chr7:66217530-66217540(-) aaacaaaggga >hg38_chr7:66239350-66239360(-) ggacaatggga >hg38_chr7:66239393-66239403(-) taacaataaaa >hg38_chr7:66239399-66239409(-) aaacaataaca >hg38_chr7:66296034-66296044(+) GTACAATGCTA >hg38_chr7:66303091-66303101(+) CTACAATGTAC >hg38_chr7:66306267-66306277(-) aaacaatgtat >hg38_chr7:66308595-66308605(-) aaacaatgggc >hg38_chr7:66315065-66315075(-) TGACAATGATC >hg38_chr7:66322233-66322243(-) ccacaatgaaa >hg38_chr7:66530346-66530356(-) TTACAATGACT >hg38_chr7:66869708-66869718(-) GCACAATGCAC >hg38_chr7:66919612-66919622(-) ACACAATGGCT >hg38_chr7:66933393-66933403(-) caacaatagca >hg38_chr7:66957118-66957128(-) TGACAATGAGC >hg38_chr7:67123911-67123921(-) ATACAATGGCT >hg38_chr7:67252182-67252192(+) gaacaaaggaa >hg38_chr7:67633163-67633173(-) GAACAATGCTA >hg38_chr7:67713423-67713433(+) AAACAAAGGAG >hg38_chr7:68038900-68038910(+) TAACAATACAA >hg38_chr7:68038905-68038915(+) ATACAATGGAT >hg38_chr7:68124629-68124639(-) ACACAATGATT >hg38_chr7:68124660-68124670(+) TCACAAAGGAC >hg38_chr7:68224058-68224068(+) TTACAAAGGGG >hg38_chr7:68238552-68238562(+) taacaatgttt >hg38_chr7:68288477-68288487(+) GCACAATAGAG >hg38_chr7:68295715-68295725(-) CAACAATAGGT >hg38_chr7:68492099-68492109(+) CAACAATGACA >hg38_chr7:68492105-68492115(+) TGACAATGATA >hg38_chr7:68492138-68492148(+) TGACAATAGTG >hg38_chr7:68492147-68492157(+) TGACAATGATA >hg38_chr7:68492416-68492426(+) taataatgata >hg38_chr7:68492428-68492438(+) tgacaatgatg >hg38_chr7:68492440-68492450(+) tgacaatgaca >hg38_chr7:68492446-68492456(+) tgacaatgagt >hg38_chr7:68492455-68492465(+) gtataatgata >hg38_chr7:68492476-68492486(+) caacaatgaca >hg38_chr7:68492482-68492492(+) tgacaatgatg >hg38_chr7:68492491-68492501(+) tgacaatgacg >hg38_chr7:68684706-68684716(-) GAACAATGATG >hg38_chr7:68694860-68694870(-) gaacaaaggag >hg38_chr7:69076783-69076793(+) TCACAATGCAA >hg38_chr7:69079476-69079486(+) AAACAAAGGTC >hg38_chr7:69244920-69244930(-) GCACAATGAAA >hg38_chr7:69244994-69245004(-) ATACAATAGAA >hg38_chr7:69399708-69399718(-) caacaataggg >hg38_chr7:69403114-69403124(+) GAACAATGAAA >hg38_chr7:69419401-69419411(-) TCACAATGCCA >hg38_chr7:69422746-69422756(-) CAACAATGAAC >hg38_chr7:69458192-69458202(+) GCACAATGAAA >hg38_chr7:69518442-69518452(+) GAACAATAGCC >hg38_chr7:69561755-69561765(-) AAACAATGGGA >hg38_chr7:69561788-69561798(-) TGACAATGGCT >hg38_chr7:69577336-69577346(+) ATACAATGGCA >hg38_chr7:69588973-69588983(+) ATACAATGATG >hg38_chr7:69588982-69588992(-) CAACAATGACA >hg38_chr7:69605024-69605034(-) acacaatagac >hg38_chr7:69606962-69606972(+) ACACAATAGCA >hg38_chr7:69612987-69612997(-) gcacaatgcct >hg38_chr7:69613916-69613926(-) AAACAATGACA >hg38_chr7:69622012-69622022(-) AAACAATAGCG >hg38_chr7:69628099-69628109(-) TGACAATGGCA >hg38_chr7:69628115-69628125(+) TTACAAAGGGC >hg38_chr7:69635971-69635981(-) AAACAAAGGCT >hg38_chr7:69665883-69665893(-) acacaataata >hg38_chr7:69677571-69677581(-) TAACAATAAGT >hg38_chr7:69678720-69678730(+) ACACAATAGCA >hg38_chr7:69701587-69701597(-) TCACAATGCAT >hg38_chr7:69758301-69758311(+) TCACAATAGAT >hg38_chr7:69777820-69777830(+) GAACAATGTGA >hg38_chr7:69814477-69814487(-) ACACAATGGGT >hg38_chr7:69814630-69814640(-) TGACAAAGGGA >hg38_chr7:69817987-69817997(-) AAACAAAGGGG >hg38_chr7:69825248-69825258(+) AAAcaatggtt >hg38_chr7:69825520-69825530(+) TGACAATGGAG >hg38_chr7:69893430-69893440(+) ATACAATAAAC >hg38_chr7:69893477-69893487(-) ACATAATGGCT >hg38_chr7:69893485-69893495(-) ACACAATGACA >hg38_chr7:69893762-69893772(-) AGACAATAGAA >hg38_chr7:69896185-69896195(+) GGACACTGGAC >hg38_chr7:69896192-69896202(+) GGACAAAGGAa >hg38_chr7:69907575-69907585(-) aaacaatgcaa >hg38_chr7:69907634-69907644(-) ctacaatggtt >hg38_chr7:69931525-69931535(-) CCACAATGAAT >hg38_chr7:69951702-69951712(-) GAACAATGAAA >hg38_chr7:69969704-69969714(+) TTACAAAGGCT >hg38_chr7:70005505-70005515(-) tcacaatagtg >hg38_chr7:70014293-70014303(-) gaacaatgcct >hg38_chr7:70016610-70016620(-) ACACAATGTAT >hg38_chr7:70024367-70024377(+) AAACAATGGAA >hg38_chr7:70042071-70042081(-) ACACaatgaaa >hg38_chr7:70060160-70060170(+) GAACAAAGGGT >hg38_chr7:70064263-70064273(-) AGACAATGATT >hg38_chr7:70094059-70094069(-) TGACAATGAAA >hg38_chr7:70130550-70130560(-) ACATAATGGGA >hg38_chr7:70132580-70132590(-) GAACAGTGGGT >hg38_chr7:70132613-70132623(+) AAACAATAGCC >hg38_chr7:70133412-70133422(-) acacaatgact >hg38_chr7:70145358-70145368(-) GAACAATGGTT >hg38_chr7:70145373-70145383(+) ACACAATGGCA >hg38_chr7:70150869-70150879(-) gaacaataaat >hg38_chr7:70154056-70154066(-) AAACAGTGGGA >hg38_chr7:70210628-70210638(-) AGACAAAGGAC >hg38_chr7:70228790-70228800(+) caacaatgcag >hg38_chr7:70237989-70237999(-) CAACAATAGAG >hg38_chr7:70241740-70241750(-) TTACAAAGGCC >hg38_chr7:70259131-70259141(+) GGACAATGAAG >hg38_chr7:70284980-70284990(-) AAACAATAGTA >hg38_chr7:70334642-70334652(-) GAACAATGAGC >hg38_chr7:70343432-70343442(-) acacaatgggc >hg38_chr7:70353845-70353855(-) ATACAATGGGA >hg38_chr7:70383914-70383924(-) GAACAATGTAC >hg38_chr7:70422274-70422284(-) ACATAATGGCC >hg38_chr7:70422301-70422311(-) GGACAATGGTG >hg38_chr7:70463724-70463734(-) GAACAAAGGTG >hg38_chr7:70463758-70463768(-) GCACAATGAGA >hg38_chr7:70470833-70470843(+) TAACAAAGGGC >hg38_chr7:70470840-70470850(-) AGACAATGCCC >hg38_chr7:70527680-70527690(+) AAACAGTGGAA >hg38_chr7:70565869-70565879(+) ATACAATAGAC >hg38_chr7:70588583-70588593(+) GAACAATGGCG >hg38_chr7:70599183-70599193(+) TAACAATAGAG >hg38_chr7:70614939-70614949(-) GAACAATGCGT >hg38_chr7:70619394-70619404(-) AGACAATGGCC >hg38_chr7:70630673-70630683(-) GAACAATGATT >hg38_chr7:70631998-70632008(-) GGACAATGGCA >hg38_chr7:70637807-70637817(-) ACACAATGGTT >hg38_chr7:70638816-70638826(+) TCACAATGGCT >hg38_chr7:70638836-70638846(-) ATACAATGGAC >hg38_chr7:70654335-70654345(-) ttacaatagcg >hg38_chr7:70654354-70654364(-) ttacaatagct >hg38_chr7:70694541-70694551(-) GGACAAAGGAG >hg38_chr7:70698604-70698614(-) TTACAATAACA >hg38_chr7:70698613-70698623(+) AAACAAAGGTA >hg38_chr7:70710360-70710370(-) CTACAATGGCC >hg38_chr7:70720772-70720782(-) GAACAATGTGC >hg38_chr7:70725273-70725283(-) TGACAATGGAC >hg38_chr7:70726763-70726773(+) ACACAATAGCA >hg38_chr7:70728911-70728921(+) TAACAATGCTC >hg38_chr7:70774530-70774540(-) GTACAATAACT >hg38_chr7:70833859-70833869(-) tcacaatggca >hg38_chr7:70833932-70833942(-) atataatggac >hg38_chr7:70849992-70850002(-) gaacaaaggaa >hg38_chr7:70867639-70867649(-) acacaatggag >hg38_chr7:70894450-70894460(-) TAACAATGCAT >hg38_chr7:70904029-70904039(-) gaacaaagGAG >hg38_chr7:70980863-70980873(+) GTACAAAGGCC >hg38_chr7:71132235-71132245(+) GAACAAAGGCG >hg38_chr7:71237037-71237047(+) TGACAATGCAC >hg38_chr7:71285384-71285394(-) tgacaatacgc >hg38_chr7:71301811-71301821(+) ACACAAAGGCA >hg38_chr7:71313761-71313771(+) TAACAATGATT >hg38_chr7:71404379-71404389(+) TCACTATGGAA >hg38_chr7:71429296-71429306(+) GAACAATGGTT >hg38_chr7:71448123-71448133(-) agacaatggaa >hg38_chr7:71504892-71504902(-) tgacaatggta >hg38_chr7:71507922-71507932(-) GAACAAAGGAG >hg38_chr7:71543241-71543251(-) CAACAATGCAT >hg38_chr7:71570210-71570220(-) GGACAAAGGAT >hg38_chr7:71620953-71620963(+) GGACAATGGAC >hg38_chr7:71643540-71643550(+) ATACAATGACA >hg38_chr7:71643583-71643593(-) CCACAATGTTT >hg38_chr7:71652702-71652712(+) Taacaatgtaa >hg38_chr7:71682997-71683007(-) TAACAAAGGGG >hg38_chr7:71691743-71691753(+) GAACAATAGAG >hg38_chr7:71778023-71778033(-) GGACAATGAAC >hg38_chr7:71886237-71886247(-) TAACAATGAAG >hg38_chr7:72263146-72263156(+) taacaataaca >hg38_chr7:72263152-72263162(+) taacaataatT >hg38_chr7:72263171-72263181(-) GAACAATAGCA >hg38_chr7:72265430-72265440(+) aaacaatagtg >hg38_chr7:72310401-72310411(+) TAACAATGGCA >hg38_chr7:72348996-72349006(+) gaacaataaag >hg38_chr7:72437457-72437467(+) GAACAATGGCA >hg38_chr7:72539034-72539044(+) GAACAATAGGC >hg38_chr7:72688382-72688392(+) ATACAATGGCT >hg38_chr7:72750376-72750386(-) gcacaatagga >hg38_chr7:73429293-73429303(-) GAACAATGCTC >hg38_chr7:73429304-73429314(-) TTACAAAGGTG >hg38_chr7:73446942-73446952(-) GGACAATGGGG >hg38_chr7:73484930-73484940(+) gcacaatggat >hg38_chr7:73519147-73519157(+) TCACAATATAC >hg38_chr7:73612939-73612949(+) agacaatgcaa >hg38_chr7:73636487-73636497(+) aaacaaaggcG >hg38_chr7:73664447-73664457(-) CAACAATGCCC >hg38_chr7:73664473-73664483(+) GAACAAAGGGG >hg38_chr7:73730678-73730688(+) gaacaacgggg >hg38_chr7:73886589-73886599(+) GAACAATGGCT >hg38_chr7:73942039-73942049(-) aaacaatagcc >hg38_chr7:73942051-73942061(-) taacaatggag >hg38_chr7:74052089-74052099(-) gaacaatgcat >hg38_chr7:74052148-74052158(-) aaacaatagag >hg38_chr7:74068075-74068085(+) AGACAATGGTG >hg38_chr7:74148775-74148785(-) agacaatgaga >hg38_chr7:74162214-74162224(-) caacaatgcca >hg38_chr7:74162243-74162253(-) agacaatgaac >hg38_chr7:74169884-74169894(+) TCACAATGTAT >hg38_chr7:74174105-74174115(+) ACATAATGGGC >hg38_chr7:74176788-74176798(-) GAACAATGCAG >hg38_chr7:74279843-74279853(-) ttactatggca >hg38_chr7:74444482-74444492(+) AGACAAAGGCA >hg38_chr7:74496142-74496152(-) acacaatgcac >hg38_chr7:74499710-74499720(+) acacaaaggaa >hg38_chr7:74612763-74612773(+) ACACAATGTGT >hg38_chr7:74612771-74612781(-) GGACAATGACA >hg38_chr7:74627772-74627782(+) GAACAAAGGAG >hg38_chr7:74635865-74635875(-) TCACAATGGGC >hg38_chr7:74650278-74650288(-) aaacaatgcaa >hg38_chr7:74659871-74659881(+) AGACAATGGCT >hg38_chr7:74676127-74676137(-) AGACAATGTAA >hg38_chr7:74683310-74683320(+) cgacagtggaa >hg38_chr7:74711804-74711814(-) atacaatagaa >hg38_chr7:74716742-74716752(-) GAACAATGTTT >hg38_chr7:74716813-74716823(-) TAACAATGCAA >hg38_chr7:75568509-75568519(+) GCACAATAGGG >hg38_chr7:75601724-75601734(+) ttacaaaggca >hg38_chr7:75629498-75629508(-) GCACAATGGGT >hg38_chr7:75651008-75651018(-) TAACAATAACC >hg38_chr7:75660777-75660787(+) AAACAATGACA >hg38_chr7:75660794-75660804(+) CAACAATACCG >hg38_chr7:75689724-75689734(-) CAACAATAGCC >hg38_chr7:75829822-75829832(+) gaaCAATGAAC >hg38_chr7:75843095-75843105(+) tcacaataggg >hg38_chr7:75881665-75881675(-) CAACAATGAAG >hg38_chr7:75893203-75893213(-) CAACAAAGGAC >hg38_chr7:75906048-75906058(+) GCACAATGGTG >hg38_chr7:76232105-76232115(-) GAACAAAGACG >hg38_chr7:76251028-76251038(-) ACACAATGGCC >hg38_chr7:76273344-76273354(+) GAACAATAAAG >hg38_chr7:76273372-76273382(-) AAACAATGGGT >hg38_chr7:76358379-76358389(+) GCACAAAGGAT >hg38_chr7:77216151-77216161(-) atataatggta >hg38_chr7:77216164-77216174(-) ggacaaaggca >hg38_chr7:77216193-77216203(-) agataatggtc >hg38_chr7:77243280-77243290(+) AGACAATGCAT >hg38_chr7:77249769-77249779(-) tcacaatggat >hg38_chr7:77315431-77315441(+) TAACAATAGCG >hg38_chr7:77409501-77409511(+) GAACAATGGAC >hg38_chr7:77409531-77409541(+) TTACAATGAGA >hg38_chr7:77414223-77414233(+) TTACAATGGTA >hg38_chr7:77442806-77442816(+) ccacaatgaga >hg38_chr7:77442817-77442827(-) gcataatggtg >hg38_chr7:77466599-77466609(+) tcacaatagct >hg38_chr7:77538461-77538471(+) GAACAATAGTC >hg38_chr7:77538747-77538757(+) GGACAATGTTC >hg38_chr7:77544819-77544829(-) CTACAATGTCT >hg38_chr7:77559474-77559484(-) CTACAATGTTA >hg38_chr7:77699083-77699093(+) ATACAATGATC >hg38_chr7:77703340-77703350(-) GCATAATGGGA >hg38_chr7:77703360-77703370(-) GTAGAATGGTA >hg38_chr7:77727827-77727837(+) AAACAATAGTC >hg38_chr7:77730531-77730541(-) gcacaaaggat >hg38_chr7:77759209-77759219(-) GTACAAAGGCA >hg38_chr7:77801037-77801047(-) GTACAATAGTG >hg38_chr7:77801063-77801073(-) ATACAATGATC >hg38_chr7:77804198-77804208(-) AGACAATGGGA >hg38_chr7:77804205-77804215(-) ACACAATAGAC >hg38_chr7:77807165-77807175(-) tcacaatgtgc >hg38_chr7:77840868-77840878(+) ATACAATAAAC >hg38_chr7:77917711-77917721(-) CAATAATGGAA >hg38_chr7:77931020-77931030(+) ttataatggat >hg38_chr7:77931738-77931748(-) gcacaatgcaa >hg38_chr7:77953060-77953070(+) taacaatgctg >hg38_chr7:77974534-77974544(-) tgacaatagca >hg38_chr7:77980340-77980350(-) AGACAATGCCA >hg38_chr7:78028202-78028212(-) AAACAATGCTT >hg38_chr7:78028223-78028233(-) TAACGATGGCT >hg38_chr7:78080136-78080146(+) TCACAATGGCA >hg38_chr7:78091829-78091839(-) TAACAATACAT >hg38_chr7:78091847-78091857(-) AAACAATGTCC >hg38_chr7:78096127-78096137(-) AAACAATAACA >hg38_chr7:78096150-78096160(-) TCACAATGTGA >hg38_chr7:78099375-78099385(+) TTACAATAGTG >hg38_chr7:78110814-78110824(+) TGACAATGGTG >hg38_chr7:78118641-78118651(+) caacaatgaga >hg38_chr7:78138692-78138702(+) CGACAATGATA >hg38_chr7:78165762-78165772(+) CGACAATGACA >hg38_chr7:78171917-78171927(-) AAACAATGGGG >hg38_chr7:78172541-78172551(-) TAACAATAGAA >hg38_chr7:78179589-78179599(-) GTACAATGCAT >hg38_chr7:78206974-78206984(-) caacaatgtta >hg38_chr7:78232231-78232241(+) GAACAATGTAA >hg38_chr7:78316215-78316225(+) AAACAATGAAT >hg38_chr7:78349869-78349879(+) TTACAATGTGT >hg38_chr7:78395937-78395947(+) AGACAATGTTA >hg38_chr7:78396016-78396026(-) taacaatgaca >hg38_chr7:78400243-78400253(+) ACATAATGGTC >hg38_chr7:78400251-78400261(-) TCACAACGGAC >hg38_chr7:78420513-78420523(-) AAACAATGCAG >hg38_chr7:78420532-78420542(+) CTACAATGGTT >hg38_chr7:78475357-78475367(-) TCACAATAGTT >hg38_chr7:78485390-78485400(-) TCACAATAGGG >hg38_chr7:78493405-78493415(-) agacaatcgag >hg38_chr7:78497031-78497041(-) CAACAATAGTT >hg38_chr7:78501711-78501721(+) GTACACTGGTG >hg38_chr7:78521593-78521603(+) TAACAATAATG >hg38_chr7:78543464-78543474(-) TAACAATATAC >hg38_chr7:78558813-78558823(+) TAACAATGGAT >hg38_chr7:78607114-78607124(-) aaaCAATGCCC >hg38_chr7:78607167-78607177(-) acacaatggtg >hg38_chr7:78607178-78607188(-) tcacaatagtc >hg38_chr7:78627600-78627610(-) GGACAATAGAG >hg38_chr7:78627637-78627647(+) ACACAATGGAA >hg38_chr7:78635572-78635582(-) ACACAATGACC >hg38_chr7:78651382-78651392(-) GGACAATGTAG >hg38_chr7:78688624-78688634(+) GTACAATGAAA >hg38_chr7:78708511-78708521(-) atacaataaac >hg38_chr7:78714823-78714833(+) TCACAATGATT >hg38_chr7:78715035-78715045(-) TAACAAAGGCT >hg38_chr7:78743378-78743388(+) GTACAATAAAA >hg38_chr7:78749523-78749533(+) aaacaaagacg >hg38_chr7:78771537-78771547(+) GTATAATGAAA >hg38_chr7:78800391-78800401(-) taacaaaggct >hg38_chr7:78851368-78851378(+) GCACAATGTTC >hg38_chr7:78882551-78882561(+) acacaatgaaa >hg38_chr7:78944262-78944272(+) tcacaatgtga >hg38_chr7:78954569-78954579(+) CGACAATATGC >hg38_chr7:78955051-78955061(+) GTACAATAGCT >hg38_chr7:79014213-79014223(-) GTACAATAGTA >hg38_chr7:79014252-79014262(-) TAACAATGAAA >hg38_chr7:79056595-79056605(-) AAACAGTGGAA >hg38_chr7:79056645-79056655(-) AAACAATGGCA >hg38_chr7:79084122-79084132(-) aaacaatagag >hg38_chr7:79205965-79205975(+) gaaCAAAGGAT >hg38_chr7:79227380-79227390(+) GTACAATACAA >hg38_chr7:79253921-79253931(+) aaacactggat >hg38_chr7:79285733-79285743(+) AAACAATGTTA >hg38_chr7:79288826-79288836(-) ACACAAAGGCA >hg38_chr7:79288859-79288869(+) ATACAATGAAT >hg38_chr7:79321092-79321102(+) GTACTATGGTC >hg38_chr7:79370428-79370438(+) GCACAATGTAA >hg38_chr7:79370497-79370507(+) CTACAATGTTT >hg38_chr7:79373799-79373809(+) TGATAATGGGC >hg38_chr7:79411756-79411766(+) GAACAATAGAA >hg38_chr7:79420136-79420146(-) GGACAATAGAA >hg38_chr7:79421142-79421152(+) TCACAATGCTA >hg38_chr7:79421153-79421163(+) TTACAATAAAT >hg38_chr7:79421958-79421968(+) AAACAAAGGAG >hg38_chr7:79421973-79421983(-) GAACAATGAAA >hg38_chr7:79425867-79425877(-) GCACAATGCTT >hg38_chr7:79428427-79428437(+) TAACAATAACT >hg38_chr7:79428814-79428824(-) GAACAATGAAC >hg38_chr7:79466922-79466932(-) AGACAATGGAT >hg38_chr7:79467302-79467312(+) GAACAATACTA >hg38_chr7:79474254-79474264(+) GAACAATATAC >hg38_chr7:79569529-79569539(-) GAACAATGGAA >hg38_chr7:79569564-79569574(+) GTACAATTGAA >hg38_chr7:79592956-79592966(-) aaacaatgttg >hg38_chr7:79599277-79599287(-) gaacaatgcca >hg38_chr7:79612164-79612174(-) tgataatggta >hg38_chr7:79615743-79615753(+) TAACAATGACT >hg38_chr7:79646505-79646515(-) acacaatgaca >hg38_chr7:79675938-79675948(-) caacaatggca >hg38_chr7:79705020-79705030(-) CCACAATAGGG >hg38_chr7:79711237-79711247(-) ACACAATGGGG >hg38_chr7:79724921-79724931(-) taataatggtg >hg38_chr7:79770244-79770254(-) acacaataggc >hg38_chr7:79849219-79849229(-) TAACAATGTCA >hg38_chr7:79850870-79850880(+) TAACAATAAAT >hg38_chr7:79987066-79987076(+) atacaataaaa >hg38_chr7:80008273-80008283(+) GGACAATGGGG >hg38_chr7:80008293-80008303(-) TAATAATGGCT >hg38_chr7:80008941-80008951(-) aaacaatggag >hg38_chr7:80019298-80019308(-) CTACAATGAAC >hg38_chr7:80027878-80027888(+) ACACAATGCGC >hg38_chr7:80037430-80037440(+) AAACAATGGCT >hg38_chr7:80055000-80055010(-) agacaaaggtg >hg38_chr7:80085385-80085395(-) CAACAATGAAG >hg38_chr7:80085823-80085833(-) ACACAATAGAA >hg38_chr7:80085886-80085896(+) ATACAAAGGAC >hg38_chr7:80095775-80095785(+) ATATAATGGAA >hg38_chr7:80120676-80120686(-) tgacaatgttc >hg38_chr7:80161255-80161265(-) AAACAATACGT >hg38_chr7:80182333-80182343(-) acacaaaggaa >hg38_chr7:80182356-80182366(-) TTACAATGAGC >hg38_chr7:80186938-80186948(+) AAATAATGGGT >hg38_chr7:80188056-80188066(+) TAACAATGAAG >hg38_chr7:80192672-80192682(-) Taacaatagca >hg38_chr7:80216416-80216426(+) ACACAAAGGAA >hg38_chr7:80235739-80235749(+) ctacaatgtga >hg38_chr7:80244682-80244692(-) TAACAATAGGA >hg38_chr7:80255842-80255852(+) GAACAATGAGC >hg38_chr7:80255903-80255913(-) ggacaaaggca >hg38_chr7:80268958-80268968(+) GGACAATGACT >hg38_chr7:80359615-80359625(-) ACACAATGAAT >hg38_chr7:80370736-80370746(-) ACACAATGGTG >hg38_chr7:80372150-80372160(-) TCACAATGATG >hg38_chr7:80372216-80372226(-) AGACAAAGGAA >hg38_chr7:80372555-80372565(+) ttacaatgctg >hg38_chr7:80372568-80372578(+) CTACAATGTCT >hg38_chr7:80403915-80403925(-) ccacaatgagg >hg38_chr7:80413612-80413622(+) TGACtatggac >hg38_chr7:80423368-80423378(-) taacaatggat >hg38_chr7:80423404-80423414(-) ccacaataggc >hg38_chr7:80439200-80439210(+) ATACACTGGGT >hg38_chr7:80439246-80439256(+) GTATAATGGCC >hg38_chr7:80445100-80445110(-) gaacaatagtt >hg38_chr7:80488582-80488592(+) TCACAATAGCT >hg38_chr7:80489143-80489153(+) TAACAAAGGCA >hg38_chr7:80507880-80507890(+) ATACAATAAAT >hg38_chr7:80550867-80550877(-) ACACAATGGGA >hg38_chr7:80583648-80583658(-) AGACAAAGGGA >hg38_chr7:80585027-80585037(+) GGACAAAGGGA >hg38_chr7:80614248-80614258(+) AAACAATGGTG >hg38_chr7:80623779-80623789(+) agacaaaggat >hg38_chr7:80626779-80626789(-) AAACAAAGGTT >hg38_chr7:80634264-80634274(-) ATACaataaca >hg38_chr7:80634281-80634291(-) GAACAATGAAG >hg38_chr7:80634331-80634341(+) TTACAATGCAA >hg38_chr7:80666547-80666557(-) GTACAACGGTG >hg38_chr7:80720355-80720365(-) TGACAATGGGA >hg38_chr7:80722962-80722972(-) GTACACTGGCA >hg38_chr7:80748370-80748380(+) AGACAATGCTC >hg38_chr7:80748385-80748395(+) AAACAATGATT >hg38_chr7:80748739-80748749(+) GGACAAAGGAT >hg38_chr7:80760374-80760384(+) AAACAAAGGGC >hg38_chr7:80764281-80764291(-) AAACAATGGCC >hg38_chr7:80774975-80774985(-) tgacaatgtat >hg38_chr7:80781726-80781736(+) AGACAATAGAC >hg38_chr7:80783359-80783369(-) GAACGATGGCT >hg38_chr7:80825696-80825706(+) GAACAAAGGAC >hg38_chr7:80825703-80825713(+) GGACAATGCAA >hg38_chr7:80833996-80834006(-) TGACAATGCCA >hg38_chr7:80834018-80834028(+) TCACAATGATG >hg38_chr7:80835068-80835078(-) AGACAATGTAG >hg38_chr7:80842171-80842181(+) GCACAAAGGAT >hg38_chr7:80842227-80842237(+) ACACAATGGCT >hg38_chr7:80843552-80843562(-) gcacaatggtc >hg38_chr7:80845780-80845790(+) GTACAATGACT >hg38_chr7:80846245-80846255(+) CTACAATAGAG >hg38_chr7:80852073-80852083(+) AAACAATGACA >hg38_chr7:80879339-80879349(+) AGACAAAGGAT >hg38_chr7:80897792-80897802(-) AAACAATAAAA >hg38_chr7:80932778-80932788(+) gcacaatggag >hg38_chr7:80941676-80941686(+) ACACAATGTTT >hg38_chr7:81029452-81029462(-) GGACAATAACA >hg38_chr7:81030611-81030621(-) ATATAATGGAT >hg38_chr7:81047513-81047523(+) caacaatagcc >hg38_chr7:81053156-81053166(+) caacaatggca >hg38_chr7:81086094-81086104(-) TTACTATGGTA >hg38_chr7:81086129-81086139(-) GCACAATGGTT >hg38_chr7:81099220-81099230(+) GTACAATAGAT >hg38_chr7:81111894-81111904(-) GAACAATGTAA >hg38_chr7:81151109-81151119(+) CCACAATGTTC >hg38_chr7:81155935-81155945(+) AAACAAAGGCT >hg38_chr7:81174230-81174240(+) GAACAATGAAA >hg38_chr7:81175453-81175463(+) CAACAATGAAG >hg38_chr7:81180717-81180727(+) agacaatggga >hg38_chr7:81227753-81227763(-) GAACAATGAAG >hg38_chr7:81239142-81239152(-) TAACAATAGTG >hg38_chr7:81252050-81252060(+) TTACAATGATT >hg38_chr7:81271258-81271268(+) ATACAATGTGC >hg38_chr7:81330031-81330041(-) ATACAGTGGAT >hg38_chr7:81330041-81330051(-) GTATAATGAAA >hg38_chr7:81333447-81333457(-) ATACAATGATG >hg38_chr7:81379041-81379051(+) acacaatatac >hg38_chr7:81404805-81404815(-) aaataatggtt >hg38_chr7:81411217-81411227(-) GAACAATGTTC >hg38_chr7:81451045-81451055(+) gcacaatggat >hg38_chr7:81470195-81470205(+) GTACAAGGGCG >hg38_chr7:81482282-81482292(+) TGACAATGAAC >hg38_chr7:81498323-81498333(-) TTACAATAGAT >hg38_chr7:81498777-81498787(-) CAACAATGGTG >hg38_chr7:81499359-81499369(+) TTATAATGGTT >hg38_chr7:81531530-81531540(+) TAACAATGATG >hg38_chr7:81546157-81546167(-) TGACAATGATG >hg38_chr7:81562736-81562746(+) ATACAAAGGGA >hg38_chr7:81578093-81578103(+) GAACAATGAAA >hg38_chr7:81578928-81578938(+) gtacaatgggg >hg38_chr7:81619842-81619852(-) agacAATGGGG >hg38_chr7:81619857-81619867(-) tcacaatgcag >hg38_chr7:81672307-81672317(+) AAACAATAGTG >hg38_chr7:81672992-81673002(-) aaacaatagca >hg38_chr7:81675667-81675677(+) ATACAAAGATA >hg38_chr7:81689021-81689031(+) aaacaaaggga >hg38_chr7:81691731-81691741(-) Taacaatagat >hg38_chr7:81694014-81694024(-) TCACAATGTCC >hg38_chr7:81699781-81699791(-) TAACAATAATG >hg38_chr7:81701125-81701135(+) ATACAATGATA >hg38_chr7:81758769-81758779(-) AAACAATGCCT >hg38_chr7:81787202-81787212(-) ACACAATGAAG >hg38_chr7:81796128-81796138(-) TCACAATGATT >hg38_chr7:81797860-81797870(+) AAATAATGGCC >hg38_chr7:81798158-81798168(+) taacaatgagt >hg38_chr7:81802488-81802498(+) GGACAATGGGA >hg38_chr7:81806461-81806471(+) GAACAATGAGA >hg38_chr7:81868604-81868614(-) TAACAATGTTG >hg38_chr7:81868610-81868620(-) AAACAATAACA >hg38_chr7:81905043-81905053(-) caacaatagca >hg38_chr7:81923687-81923697(+) GAACAATGCAA >hg38_chr7:81937174-81937184(-) TAACAATGAAG >hg38_chr7:81937198-81937208(+) AGACAATAGCA >hg38_chr7:81949406-81949416(+) TAACAATATCA >hg38_chr7:81950067-81950077(+) TAACAATTGTA >hg38_chr7:81950104-81950114(+) CAACAATGCAA >hg38_chr7:81952560-81952570(-) agacaatgtca >hg38_chr7:82024893-82024903(+) gcacaatgggg >hg38_chr7:82024905-82024915(-) acataatggtt >hg38_chr7:82025366-82025376(-) taacaaaggag >hg38_chr7:82025408-82025418(-) ttacaatagac >hg38_chr7:82039742-82039752(+) gcacaaaggaa >hg38_chr7:82064483-82064493(+) AGACAATGGTT >hg38_chr7:82076114-82076124(-) AGATAATGGTC >hg38_chr7:82110633-82110643(+) ccacaatggtc >hg38_chr7:82132469-82132479(+) ggacaatgggc >hg38_chr7:82132539-82132549(-) tgacaatggga >hg38_chr7:82166823-82166833(-) GAACAAAGGGC >hg38_chr7:82190184-82190194(-) TAACAATATCA >hg38_chr7:82191939-82191949(-) TCACAATAGAT >hg38_chr7:82192278-82192288(-) atacaatgctt >hg38_chr7:82209434-82209444(+) CCACAATAGAC >hg38_chr7:82243537-82243547(+) caacaatgact >hg38_chr7:82289344-82289354(-) ATACAATGGTG >hg38_chr7:82289380-82289390(+) ATACAATGAAC >hg38_chr7:82297623-82297633(+) ATACAATGGCT >hg38_chr7:82298353-82298363(-) AGACAGTGGAA >hg38_chr7:82298425-82298435(-) TAACAATATTA >hg38_chr7:82300082-82300092(+) taacaataggg >hg38_chr7:82305513-82305523(-) aaataatggat >hg38_chr7:82312947-82312957(-) aaacaaaggct >hg38_chr7:82313341-82313351(+) caacaatagcc >hg38_chr7:82330784-82330794(-) ATACAAAGGAA >hg38_chr7:82360594-82360604(+) ATACAATAGCA >hg38_chr7:82367385-82367395(-) atacaaaggca >hg38_chr7:82374692-82374702(+) GCACAATGTAG >hg38_chr7:82375782-82375792(-) CTACAATGAAA >hg38_chr7:82376882-82376892(+) GAACAATGAAA >hg38_chr7:82387667-82387677(+) GCACAATAGTA >hg38_chr7:82413465-82413475(+) GGACAATGGCT >hg38_chr7:82442267-82442277(+) CAACAAAGGAC >hg38_chr7:82446019-82446029(-) AAACAATGATT >hg38_chr7:82450569-82450579(+) GAACAATATGA >hg38_chr7:82450579-82450589(+) AAACAAAGGAT >hg38_chr7:82450615-82450625(+) ACACAATGCAA >hg38_chr7:82481587-82481597(+) AAATAATGGTT >hg38_chr7:82504865-82504875(+) TAACAATACAT >hg38_chr7:82511194-82511204(+) AGACAATGTTA >hg38_chr7:82511491-82511501(-) atataatggta >hg38_chr7:82540167-82540177(-) gcacaatgaac >hg38_chr7:82544114-82544124(+) aaacaatgaag >hg38_chr7:82546077-82546087(+) ACACAATGAAC >hg38_chr7:82600115-82600125(-) TAACAATGGAT >hg38_chr7:82661251-82661261(-) AGACAATGTTA >hg38_chr7:82698022-82698032(-) ccacaatggaa >hg38_chr7:82698059-82698069(+) taataatggac >hg38_chr7:82701401-82701411(+) ATACAATAGGA >hg38_chr7:82701445-82701455(-) ATACAATATCA >hg38_chr7:82702823-82702833(-) GAACAATGAAT >hg38_chr7:82702847-82702857(+) TTACAATGGAA >hg38_chr7:82721732-82721742(-) TCACAATGTCA >hg38_chr7:82760314-82760324(+) TGACAATAGGC >hg38_chr7:82766140-82766150(+) gaacaatgaga >hg38_chr7:82771993-82772003(+) TAACAATGTAT >hg38_chr7:82792096-82792106(+) CAACAATGAAG >hg38_chr7:82810688-82810698(-) ACACAATGATG >hg38_chr7:82810706-82810716(-) CCACAATGGAG >hg38_chr7:82816386-82816396(-) GTACAATAAGA >hg38_chr7:82842208-82842218(+) acacaatagac >hg38_chr7:82878096-82878106(-) ttacaatgtgg >hg38_chr7:82878822-82878832(-) aaacaatagca >hg38_chr7:82895689-82895699(+) tgacaatagtt >hg38_chr7:82905557-82905567(+) gcacaaaggaa >hg38_chr7:82938837-82938847(+) CTACAATGAGA >hg38_chr7:82967909-82967919(-) GCACAATGTAA >hg38_chr7:82968118-82968128(-) TTATAATGGCT >hg38_chr7:83002411-83002421(-) aaacaataaca >hg38_chr7:83002450-83002460(+) ACACAATGTAT >hg38_chr7:83064041-83064051(+) CTACAATGAGA >hg38_chr7:83176969-83176979(-) ccacaatggcc >hg38_chr7:83180173-83180183(-) acacaatggaa >hg38_chr7:83210691-83210701(+) TGACAATGACA >hg38_chr7:83272469-83272479(-) AAACAATGGAG >hg38_chr7:83288249-83288259(-) TAACAATAACT >hg38_chr7:83288327-83288337(-) AAACAATGCTT >hg38_chr7:83405709-83405719(+) GAACAATAGGA >hg38_chr7:83414034-83414044(+) GGATAATGGGC >hg38_chr7:83424120-83424130(+) TAACAATAGAT >hg38_chr7:83426504-83426514(+) gaacaatagac >hg38_chr7:83439221-83439231(+) TCACAAAGGAT >hg38_chr7:83450076-83450086(+) ccacaatgtga >hg38_chr7:83451632-83451642(-) acacaatgcac >hg38_chr7:83560133-83560143(+) acacaatggat >hg38_chr7:83613382-83613392(+) TAACAATGTCA >hg38_chr7:83613423-83613433(+) AAACAATGTGA >hg38_chr7:83641469-83641479(-) GCACAATAGAA >hg38_chr7:83672267-83672277(-) ACACAATAGCC >hg38_chr7:83672395-83672405(-) GGACAAAGGGA >hg38_chr7:83693501-83693511(+) gtataatggga >hg38_chr7:83708637-83708647(-) gtacaacgtat >hg38_chr7:83731414-83731424(+) ttacaatgaca >hg38_chr7:83732454-83732464(-) TAACAATGCTG >hg38_chr7:83738602-83738612(-) GAACAATAGCA >hg38_chr7:83753427-83753437(+) gaacaatagcc >hg38_chr7:83754720-83754730(+) AAATAATGGCT >hg38_chr7:83780417-83780427(-) tgacaatgtct >hg38_chr7:83840343-83840353(-) ACACAATGCTT >hg38_chr7:83850184-83850194(+) taacaaagcga >hg38_chr7:83852456-83852466(-) aaacaataaaa >hg38_chr7:83853961-83853971(+) AAACAATGATA >hg38_chr7:83853970-83853980(+) TAACAATGTGT >hg38_chr7:83862060-83862070(-) ACACAATACCG >hg38_chr7:83862126-83862136(+) GAACAATAGGC >hg38_chr7:83882680-83882690(+) AGACAATGTTT >hg38_chr7:83926888-83926898(-) gaacaatgaaa >hg38_chr7:83952259-83952269(+) CGACAATACTT >hg38_chr7:83955434-83955444(+) CAACAATGCAA >hg38_chr7:83955461-83955471(-) GAATAATGGTC >hg38_chr7:84000568-84000578(-) ggataatggaa >hg38_chr7:84000597-84000607(-) aaacaatgagc >hg38_chr7:84002636-84002646(-) ACATAATGGCC >hg38_chr7:84016916-84016926(+) GAACAATGGAG >hg38_chr7:84022136-84022146(+) GAACAATGCAT >hg38_chr7:84022164-84022174(-) GGACAATGGGA >hg38_chr7:84032513-84032523(+) AGACAATAATA >hg38_chr7:84073591-84073601(+) GAACAATAGCA >hg38_chr7:84075769-84075779(-) ATACAATAGAA >hg38_chr7:84075794-84075804(-) CTACAATAGAG >hg38_chr7:84085957-84085967(-) CAACAATGGCA >hg38_chr7:84085969-84085979(-) TTACAATGTGT >hg38_chr7:84092361-84092371(-) AAACAAAGGCA >hg38_chr7:84113202-84113212(+) AAACAATGGAG >hg38_chr7:84113264-84113274(-) TAACAATGTCT >hg38_chr7:84124206-84124216(-) CTACAATAGGA >hg38_chr7:84138354-84138364(+) GAACAATGAAG >hg38_chr7:84149720-84149730(+) TAACAATGTTA >hg38_chr7:84149745-84149755(-) AAACAATGTTT >hg38_chr7:84157260-84157270(+) CAACAATGCAG >hg38_chr7:84157337-84157347(-) CAACAATGAAG >hg38_chr7:84168389-84168399(-) AAACAATAGAA >hg38_chr7:84178077-84178087(+) ATACAATGCAG >hg38_chr7:84178758-84178768(-) AAACAAAGGAC >hg38_chr7:84187588-84187598(-) GCACAAAGGAT >hg38_chr7:84201149-84201159(-) TAACAAAGGGG >hg38_chr7:84201327-84201337(+) TTATAATGGCA >hg38_chr7:84207214-84207224(+) ACACAATGGGT >hg38_chr7:84207254-84207264(-) TGACAATAGTC >hg38_chr7:84252159-84252169(-) gaacaatgaca >hg38_chr7:84256572-84256582(+) GAACAAAGGGA >hg38_chr7:84256634-84256644(+) GTACAACGGGA >hg38_chr7:84258057-84258067(-) AGACAATGACC >hg38_chr7:84277845-84277855(-) agacaatggaa >hg38_chr7:84291941-84291951(+) GCATAATGGCA >hg38_chr7:84363721-84363731(-) TAACAATATCA >hg38_chr7:84364023-84364033(+) aaacaatggta >hg38_chr7:84379772-84379782(+) AAACAATAAAT >hg38_chr7:84394935-84394945(-) taacaaagggt >hg38_chr7:84399258-84399268(-) ggacaatggat >hg38_chr7:84410143-84410153(-) AAACAATGAGT >hg38_chr7:84410645-84410655(-) ATACACTGGGA >hg38_chr7:84443156-84443166(+) caacaatagca >hg38_chr7:84454547-84454557(+) CAACAATAGCA >hg38_chr7:84454615-84454625(-) ATACAATATTT >hg38_chr7:84461586-84461596(+) AAACAATGGAG >hg38_chr7:84478329-84478339(+) ATACAATGGGA >hg38_chr7:84492304-84492314(-) GCACAATGCCC >hg38_chr7:84493595-84493605(-) TAACAATAGTA >hg38_chr7:84501506-84501516(-) aaactatggat >hg38_chr7:84505395-84505405(+) CAACAATAGTC >hg38_chr7:84530093-84530103(+) TCACAATGGTC >hg38_chr7:84531200-84531210(-) CAACAATGGTT >hg38_chr7:84533355-84533365(+) TGATAATGGAG >hg38_chr7:84533370-84533380(+) CTACAATGAAT >hg38_chr7:84539886-84539896(+) TGACAATGAAT >hg38_chr7:84549664-84549674(+) AAACAATAAGA >hg38_chr7:84561856-84561866(-) GGACAATGAAT >hg38_chr7:84565469-84565479(+) TAACAATAAAG >hg38_chr7:84565641-84565651(+) TTACAATAAAA >hg38_chr7:84623983-84623993(+) GAACAATGACA >hg38_chr7:84625870-84625880(+) GCACAATGCCT >hg38_chr7:84699552-84699562(+) TAACAATGTGA >hg38_chr7:84764200-84764210(+) GCACAATGGAT >hg38_chr7:84770281-84770291(-) GTACAATGGGA >hg38_chr7:84791002-84791012(+) ACACAATGATT >hg38_chr7:84791028-84791038(+) GCACAATAGCG >hg38_chr7:84873806-84873816(+) CGACAATGATG >hg38_chr7:84908354-84908364(-) AGACAATAAGA >hg38_chr7:84908841-84908851(-) AAACAAAGGAA >hg38_chr7:84912201-84912211(+) TCACAATAGAT >hg38_chr7:84914334-84914344(+) AGACAATGCTG >hg38_chr7:84924654-84924664(-) ACACTATGGAC >hg38_chr7:85000670-85000680(+) GCACAATGAAC >hg38_chr7:85019686-85019696(-) TAACAATAACT >hg38_chr7:85049766-85049776(+) AGACAATGCAA >hg38_chr7:85053878-85053888(+) GAACAATAGCA >hg38_chr7:85055330-85055340(+) GGACAATGCAG >hg38_chr7:85063725-85063735(-) AAACAATAGCA >hg38_chr7:85067567-85067577(+) TTATAATGGTT >hg38_chr7:85069053-85069063(+) TAACAATGACA >hg38_chr7:85114478-85114488(+) TAACAAAGGTG >hg38_chr7:85150786-85150796(+) TAACAATGCCC >hg38_chr7:85173615-85173625(-) TTACAATAGAT >hg38_chr7:85184595-85184605(+) acacaataggt >hg38_chr7:85195148-85195158(-) ATACAATGGGA >hg38_chr7:85197958-85197968(+) caacaatgtac >hg38_chr7:85218530-85218540(+) ttacaatgtgc >hg38_chr7:85239227-85239237(-) ccacaatggag >hg38_chr7:85280884-85280894(+) AAACAATAGAC >hg38_chr7:85287594-85287604(+) TGACAATGATT >hg38_chr7:85287626-85287636(-) TGACAATGACA >hg38_chr7:85357714-85357724(+) CAACAATAGGC >hg38_chr7:85364997-85365007(-) atacaaagata >hg38_chr7:85403032-85403042(+) CAACAATGGTA >hg38_chr7:85403040-85403050(+) GTACAAAGGAC >hg38_chr7:85454538-85454548(-) gaacaatagga >hg38_chr7:85454575-85454585(+) acacaatagct >hg38_chr7:85490003-85490013(+) ATACAATGCAG >hg38_chr7:85490029-85490039(+) CCACAATGAGG >hg38_chr7:85526010-85526020(+) TCACAATGCAC >hg38_chr7:85554635-85554645(+) TGATAATGGAG >hg38_chr7:85581330-85581340(+) GTATAATGGCA >hg38_chr7:85593421-85593431(+) aaacaaaggga >hg38_chr7:85594546-85594556(+) ACACAATGTTA >hg38_chr7:85594552-85594562(-) ATACAATAACA >hg38_chr7:85608278-85608288(+) aaacaatagtg >hg38_chr7:85631058-85631068(+) TCACAATGCCT >hg38_chr7:85662820-85662830(+) gtacaataggg >hg38_chr7:85668784-85668794(-) ATACTATGGTA >hg38_chr7:85669558-85669568(-) ctacaatagtc >hg38_chr7:85686926-85686936(+) aaacaatgggg >hg38_chr7:85697308-85697318(+) AGACAATGAGC >hg38_chr7:85721069-85721079(-) TTATAATGGTT >hg38_chr7:85721074-85721084(+) TTATAATGGAA >hg38_chr7:85732904-85732914(-) TTACTATGGTT >hg38_chr7:85732947-85732957(-) TTACAATGTAG >hg38_chr7:85737712-85737722(+) gaacaaaggag >hg38_chr7:85760682-85760692(-) agacaatgttg >hg38_chr7:85760697-85760707(+) gtacaatgtac >hg38_chr7:85760729-85760739(-) TAACAATATAT >hg38_chr7:85760748-85760758(+) TAACAATATAC >hg38_chr7:85765831-85765841(-) ggacaaaggtt >hg38_chr7:85829808-85829818(+) AAACAAAGGCG >hg38_chr7:85837312-85837322(+) GAACACTGGAT >hg38_chr7:85837321-85837331(+) ATATAATGGAG >hg38_chr7:85847349-85847359(-) acacaaaggtc >hg38_chr7:85879536-85879546(+) taacaaaggta >hg38_chr7:85928071-85928081(-) TTACAATGTAA >hg38_chr7:85977858-85977868(+) TAACAATGCCT >hg38_chr7:85977902-85977912(+) CTACAATGAAG >hg38_chr7:85978393-85978403(+) GCACAATATAA >hg38_chr7:86000087-86000097(-) atactatggat >hg38_chr7:86066355-86066365(-) AAACAATGAAA >hg38_chr7:86111586-86111596(+) TCACAATGACT >hg38_chr7:86321208-86321218(+) acacaatgccc >hg38_chr7:86492803-86492813(+) GCACAATGGGA >hg38_chr7:86540474-86540484(-) aaacaatgtgt >hg38_chr7:86558874-86558884(+) acacaatgaca >hg38_chr7:86615621-86615631(+) tcacaatgata >hg38_chr7:86662383-86662393(+) AAATAATGGAA >hg38_chr7:86662421-86662431(+) ACACAATGAAC >hg38_chr7:86698100-86698110(+) TAACAATAATA >hg38_chr7:86706413-86706423(+) ACACAATAGAC >hg38_chr7:86707543-86707553(+) gaacaatgggg >hg38_chr7:86717874-86717884(-) TCACAATGACT >hg38_chr7:86717884-86717894(-) GCACAATGTTT >hg38_chr7:86725445-86725455(+) tgacaatgaca >hg38_chr7:86740893-86740903(-) CCACAATGTGC >hg38_chr7:86769631-86769641(+) ATACAATAGGC >hg38_chr7:86825494-86825504(+) TAACAATAGAC >hg38_chr7:86825985-86825995(+) GAACAATGGGA >hg38_chr7:86841089-86841099(+) ccacaatgtat >hg38_chr7:86841134-86841144(-) gaacaattgta >hg38_chr7:86888853-86888863(+) gcacaatgtag >hg38_chr7:86899788-86899798(+) GAACAATGACA >hg38_chr7:86914184-86914194(+) GTACAATGGAT >hg38_chr7:86939419-86939429(-) GAACAATGTGT >hg38_chr7:86939442-86939452(+) CCACAATGAAC >hg38_chr7:86942308-86942318(-) TTACAATGATG >hg38_chr7:86942361-86942371(+) tgacaatgcac >hg38_chr7:86956791-86956801(+) AGACAATAGTG >hg38_chr7:86965944-86965954(-) AGACAATGGCC >hg38_chr7:86965983-86965993(+) TAACAATGACT >hg38_chr7:86972359-86972369(-) gaacaaaggag >hg38_chr7:87001029-87001039(-) ACACAATGCAG >hg38_chr7:87005022-87005032(-) ATACAATATGC >hg38_chr7:87059953-87059963(-) AAACAATGTCC >hg38_chr7:87098661-87098671(-) ccacaatgaac >hg38_chr7:87129577-87129587(-) gaacaatggct >hg38_chr7:87140592-87140602(-) GTACAATGTCT >hg38_chr7:87156311-87156321(-) AAACAATGTCA >hg38_chr7:87179639-87179649(-) AAACAAAGGCC >hg38_chr7:87193067-87193077(-) ATACAATGTAG >hg38_chr7:87193093-87193103(+) TCACAATGGGT >hg38_chr7:87195989-87195999(+) GTACAATATAA >hg38_chr7:87197358-87197368(+) AAACAATGTAG >hg38_chr7:87211125-87211135(-) agacaatgggg >hg38_chr7:87237110-87237120(+) AAACTATGGGT >hg38_chr7:87251404-87251414(-) atacagtggca >hg38_chr7:87269675-87269685(-) TAACAATGCCA >hg38_chr7:87317866-87317876(+) CCACAATGAAA >hg38_chr7:87339248-87339258(+) ATACAATGAAG >hg38_chr7:87342464-87342474(+) agacaatagca >hg38_chr7:87342482-87342492(-) taacaatataa >hg38_chr7:87342488-87342498(-) ttacaataaca >hg38_chr7:87342687-87342697(-) aaataatggta >hg38_chr7:87445170-87445180(-) GAACAATAGGT >hg38_chr7:87458388-87458398(-) TGACAATGACT >hg38_chr7:87461441-87461451(+) taactatggaa >hg38_chr7:87475085-87475095(+) ATACAATGAAT >hg38_chr7:87475099-87475109(+) ATACAATGCAG >hg38_chr7:87475130-87475140(+) GCACAAAGGGA >hg38_chr7:87480483-87480493(-) ggacaaaggcg >hg38_chr7:87480490-87480500(-) tgacaatggac >hg38_chr7:87499873-87499883(-) TAACAATGGTG >hg38_chr7:87604412-87604422(+) TAACAATAATA >hg38_chr7:87604442-87604452(+) TTACAATAACA >hg38_chr7:87604450-87604460(+) ACACAATAATA >hg38_chr7:87627941-87627951(-) ACACAATGGCC >hg38_chr7:87670279-87670289(-) tcacaatgcaa >hg38_chr7:87670290-87670300(-) atacaataatt >hg38_chr7:87670538-87670548(+) atacaatactc >hg38_chr7:87709461-87709471(+) GAACAATGGAC >hg38_chr7:87712901-87712911(+) ATATAATGGCT >hg38_chr7:87762313-87762323(-) tcacaataggt >hg38_chr7:87762322-87762332(+) gaacaatagta >hg38_chr7:87774775-87774785(+) caacaatgggc >hg38_chr7:87774812-87774822(+) ttataatggag >hg38_chr7:87775804-87775814(+) gaacaataggc >hg38_chr7:87776353-87776363(+) atacaatggaa >hg38_chr7:87798009-87798019(-) GTACAATGAGA >hg38_chr7:87807321-87807331(-) CTACAATGGCA >hg38_chr7:87888529-87888539(-) AGATAATGGGA >hg38_chr7:87888917-87888927(-) GAACAAAGGAA >hg38_chr7:87891531-87891541(-) acacaatggac >hg38_chr7:87934289-87934299(+) GCACAATGCAG >hg38_chr7:87981099-87981109(+) AAACAATGCAA >hg38_chr7:87982235-87982245(+) aaacaatgaac >hg38_chr7:88102998-88103008(+) AGACAATAGCC >hg38_chr7:88103295-88103305(-) ACACAAAGGAA >hg38_chr7:88103605-88103615(+) GGACAATGGAT >hg38_chr7:88108088-88108098(-) TCACAATGCAC >hg38_chr7:88124317-88124327(-) acacaatgctc >hg38_chr7:88167400-88167410(+) TGACAATGGAT >hg38_chr7:88210107-88210117(-) GCACAATGGGT >hg38_chr7:88217771-88217781(+) AGACAATGGGT >hg38_chr7:88221227-88221237(-) GGACAATAGCC >hg38_chr7:88221245-88221255(-) AAACAATGAAC >hg38_chr7:88224838-88224848(+) ctacaatagaa >hg38_chr7:88234030-88234040(-) ctacaatgcac >hg38_chr7:88238039-88238049(-) taacaaaggac >hg38_chr7:88243706-88243716(-) acataatggga >hg38_chr7:88243732-88243742(+) acacaataggc >hg38_chr7:88245517-88245527(+) agacaatagaa >hg38_chr7:88363437-88363447(-) tgacaatgaca >hg38_chr7:88377532-88377542(+) CAACAATGTCA >hg38_chr7:88563131-88563141(+) GCACAATGGGT >hg38_chr7:88566289-88566299(+) AGACAAAGGGA >hg38_chr7:88664820-88664830(-) TTACAAAGGAT >hg38_chr7:88686670-88686680(+) CCACAATGGCT >hg38_chr7:88841222-88841232(+) ttacactggat >hg38_chr7:88885361-88885371(+) GAACAATACCG >hg38_chr7:88943035-88943045(-) TAACAATGGTA >hg38_chr7:88954418-88954428(-) AAACAATGAGC >hg38_chr7:88965237-88965247(+) TTATAATGGAG >hg38_chr7:88965698-88965708(-) TCACAATGGCT >hg38_chr7:89006983-89006993(-) AGACGATGGAG >hg38_chr7:89023721-89023731(+) AGACAATGTTC >hg38_chr7:89023733-89023743(+) TTACAATGCTT >hg38_chr7:89049176-89049186(+) GTACAATGAAA >hg38_chr7:89103754-89103764(-) taataatggaa >hg38_chr7:89104023-89104033(+) ggacaatgtta >hg38_chr7:89114427-89114437(+) tgacaatgcag >hg38_chr7:89150388-89150398(-) AAATAATGCGT >hg38_chr7:89150434-89150444(-) agacaatgctt >hg38_chr7:89199211-89199221(-) AAACAATGTAA >hg38_chr7:89224260-89224270(+) TCACAATAGGA >hg38_chr7:89247297-89247307(-) gcacaatggcc >hg38_chr7:89283596-89283606(-) GTACAATGTGA >hg38_chr7:89311772-89311782(+) GAACAATAATC >hg38_chr7:89332177-89332187(+) AGACAATGAAG >hg38_chr7:89605545-89605555(-) GCATAATGGAC >hg38_chr7:89645706-89645716(+) CAACAATGATC >hg38_chr7:89775562-89775572(-) gaacaaaggga >hg38_chr7:89848177-89848187(-) AGACAATGATT >hg38_chr7:89848235-89848245(-) CAACAATGCTT >hg38_chr7:89908302-89908312(-) ACACAAAGGAC >hg38_chr7:89954129-89954139(-) GAACAATGTTT >hg38_chr7:90068194-90068204(-) gaacaaaggaa >hg38_chr7:90082150-90082160(+) GTACAATACAA >hg38_chr7:90082155-90082165(+) ATACAAAGGTT >hg38_chr7:90088710-90088720(-) tgacaataggc >hg38_chr7:90092594-90092604(+) ccacaatgaga >hg38_chr7:90103493-90103503(-) GAATAATGGGA >hg38_chr7:90179042-90179052(-) GAACAATGCCT >hg38_chr7:90180846-90180856(-) GAACAATGCAA >hg38_chr7:90213513-90213523(+) TAACAATAACA >hg38_chr7:90237396-90237406(-) TGACAATGGAA >hg38_chr7:90242596-90242606(-) ATACAATGGAA >hg38_chr7:90263048-90263058(+) ATATAATGTAA >hg38_chr7:90272098-90272108(-) ATACCATGGAA >hg38_chr7:90273297-90273307(+) taacaatgtta >hg38_chr7:90298292-90298302(-) AAACAATGGTC >hg38_chr7:90345176-90345186(+) ACACAATAGGA >hg38_chr7:90345806-90345816(+) ccacaatgggg >hg38_chr7:90346490-90346500(-) GGACAAAGGAC >hg38_chr7:90407585-90407595(+) aaacaaaggga >hg38_chr7:90411688-90411698(-) GAACAATGGTC >hg38_chr7:90420523-90420533(+) GAACACTGGTC >hg38_chr7:90453587-90453597(+) GAACAATGCAC >hg38_chr7:90453961-90453971(+) TTATAATGGTC >hg38_chr7:90453973-90453983(+) GAACAAAGGAA >hg38_chr7:90584617-90584627(-) ACACAATGCAC >hg38_chr7:90594945-90594955(+) CTACAATGTGC >hg38_chr7:90597203-90597213(-) GAACAATAGGT >hg38_chr7:90597769-90597779(-) TTACAATGAAT >hg38_chr7:90599809-90599819(+) GTACAATACTA >hg38_chr7:90604076-90604086(-) TAACAATGTTA >hg38_chr7:90607712-90607722(+) AAACAATAGGA >hg38_chr7:90689360-90689370(-) aaacaaaggac >hg38_chr7:90719100-90719110(+) GGACAAAGGGA >hg38_chr7:90721507-90721517(-) ggacaaaggaa >hg38_chr7:90766374-90766384(-) agacaatgttc >hg38_chr7:90833252-90833262(+) ACACAATGGCT >hg38_chr7:90833302-90833312(+) GTACAATGTAT >hg38_chr7:90866416-90866426(-) GTACAATGAAT >hg38_chr7:90866443-90866453(-) TTACAATGGTA >hg38_chr7:90890994-90891004(+) GGACACTGGTA >hg38_chr7:90891366-90891376(+) AAACAATGTGT >hg38_chr7:90896007-90896017(-) aaacaataagt >hg38_chr7:90897111-90897121(+) taataatgtat >hg38_chr7:90897121-90897131(-) taacaatagga >hg38_chr7:90908455-90908465(+) AGACAATGATT >hg38_chr7:90952198-90952208(-) AAAcaatggag >hg38_chr7:90953912-90953922(-) GAACAATAGAT >hg38_chr7:90972229-90972239(-) GCACAATATAT >hg38_chr7:90987424-90987434(+) TTACAATAAAA >hg38_chr7:91026167-91026177(+) AGACAATGAGA >hg38_chr7:91043173-91043183(+) GAACACTGGAT >hg38_chr7:91044424-91044434(+) agacaatagaa >hg38_chr7:91068333-91068343(+) gcataatggct >hg38_chr7:91081414-91081424(+) GTACAGTGGTA >hg38_chr7:91081776-91081786(-) GAACAATAAAA >hg38_chr7:91083496-91083506(-) AAACAATACTA >hg38_chr7:91113181-91113191(+) TAACAATAACC >hg38_chr7:91125096-91125106(+) GGACAATGTCT >hg38_chr7:91125110-91125120(+) TGACAATGTCG >hg38_chr7:91135838-91135848(-) GAACAAAGGGT >hg38_chr7:91138490-91138500(-) ATACAGTGGCA >hg38_chr7:91141549-91141559(-) TAACAATGACC >hg38_chr7:91169234-91169244(-) GTACACTGGAG >hg38_chr7:91181884-91181894(-) agacaatgata >hg38_chr7:91203963-91203973(+) GGACAAAGGAG >hg38_chr7:91212748-91212758(-) AGACAATATAG >hg38_chr7:91212787-91212797(+) GCACAATAATA >hg38_chr7:91228822-91228832(-) GAACAATAGAT >hg38_chr7:91231681-91231691(+) gtacaatgaga >hg38_chr7:91240884-91240894(-) Gaacaatgatt >hg38_chr7:91263132-91263142(+) GCACAATGGGT >hg38_chr7:91268882-91268892(-) CAACAATGCAA >hg38_chr7:91295041-91295051(-) ACACAATGCTA >hg38_chr7:91355805-91355815(-) AAACAATGAGA >hg38_chr7:91356642-91356652(+) GAACAATAGTT >hg38_chr7:91374770-91374780(+) ATACAAAGGCA >hg38_chr7:91421189-91421199(-) CAACAATGGCC >hg38_chr7:91426701-91426711(+) GTACAATGGAC >hg38_chr7:91433050-91433060(+) ctacaatgaag >hg38_chr7:91435606-91435616(-) TAACAAAGGCA >hg38_chr7:91545873-91545883(+) agacaatggtt >hg38_chr7:91546488-91546498(+) aaacaatgaga >hg38_chr7:91558169-91558179(+) AGACAATGGCG >hg38_chr7:91559878-91559888(+) CAACAATGAAG >hg38_chr7:91559896-91559906(+) TAACAATAGTC >hg38_chr7:91566234-91566244(-) GAACAATGAAT >hg38_chr7:91567310-91567320(+) GCATAATGGTG >hg38_chr7:91619272-91619282(+) agacaatagaa >hg38_chr7:91632780-91632790(+) GAACAATGGTA >hg38_chr7:91657083-91657093(+) GCACAATATAT >hg38_chr7:91693047-91693057(+) AAACAATGAAA >hg38_chr7:91717816-91717826(+) CAACAATGCAT >hg38_chr7:91740818-91740828(-) aaacaatgatg >hg38_chr7:91740827-91740837(-) aaacaataaaa >hg38_chr7:91750627-91750637(+) taacaataaac >hg38_chr7:91826023-91826033(-) GAATAATGGTT >hg38_chr7:91826492-91826502(-) ggacaatgctt >hg38_chr7:91848098-91848108(-) ctacaatagac >hg38_chr7:91848133-91848143(+) aaacaatgtta >hg38_chr7:91848741-91848751(-) GAACAAAGGAG >hg38_chr7:91853926-91853936(+) atacaaaggaa >hg38_chr7:91940161-91940171(-) ggacaatgcca >hg38_chr7:91941944-91941954(+) ATACAATACAC >hg38_chr7:91970174-91970184(+) aaataatggaa >hg38_chr7:92001563-92001573(+) AGACAATAGCT >hg38_chr7:92001614-92001624(+) GTACAGTGGAA >hg38_chr7:92025272-92025282(-) taacaatgtaa >hg38_chr7:92035726-92035736(-) aaacaatggct >hg38_chr7:92060787-92060797(-) ATACAATGGCA >hg38_chr7:92089371-92089381(-) TAACAAAGGAA >hg38_chr7:92120200-92120210(+) atacaatgcaa >hg38_chr7:92120209-92120219(-) tgacaatgatt >hg38_chr7:92158070-92158080(+) ccacaatgata >hg38_chr7:92162910-92162920(+) ATACAATGATA >hg38_chr7:92199521-92199531(+) AAACAATAAGA >hg38_chr7:92221709-92221719(+) AGACAATGGGA >hg38_chr7:92227126-92227136(+) AGACAATGTGA >hg38_chr7:92238332-92238342(-) TAACAAAGGTC >hg38_chr7:92241902-92241912(+) AAATAATGGGC >hg38_chr7:92244169-92244179(-) ATACAATGTCT >hg38_chr7:92246645-92246655(-) CAACAATGCTC >hg38_chr7:92288429-92288439(+) agacaatatag >hg38_chr7:92293601-92293611(-) tgacaatgtag >hg38_chr7:92314947-92314957(-) tcacaatgtcc >hg38_chr7:92340867-92340877(+) aaacaatgttt >hg38_chr7:92340884-92340894(-) tcacaatggat >hg38_chr7:92399132-92399142(-) GTACAAAGGAA >hg38_chr7:92404463-92404473(+) taataatggac >hg38_chr7:92448153-92448163(-) TTACAATAACA >hg38_chr7:92448423-92448433(-) CTACAATAGAG >hg38_chr7:92450477-92450487(-) AGACAAAGGAC >hg38_chr7:92460163-92460173(+) GAACAATAGAG >hg38_chr7:92490848-92490858(-) GCACAATGAAG >hg38_chr7:92490912-92490922(-) ATACAATAATG >hg38_chr7:92528684-92528694(+) AAACGATGGCC >hg38_chr7:92534651-92534661(+) AAACAATGGGG >hg38_chr7:92534670-92534680(+) CCACAATGACT >hg38_chr7:92584734-92584744(+) AAACAATGAAG >hg38_chr7:92584755-92584765(+) AGACAATGAAG >hg38_chr7:92594374-92594384(-) ACACAACGGCT >hg38_chr7:92619829-92619839(+) TGACAATGAGC >hg38_chr7:92621187-92621197(-) GGACAATGCTG >hg38_chr7:92621250-92621260(+) ACACAATGCCA >hg38_chr7:92633521-92633531(+) AAACAAAGGAA >hg38_chr7:92645719-92645729(-) GAACAATAGGA >hg38_chr7:92684386-92684396(+) AGATAATGGGA >hg38_chr7:92685807-92685817(+) ttacaatagag >hg38_chr7:92685848-92685858(-) gaacaatagat >hg38_chr7:92691360-92691370(+) CTACAATGAAG >hg38_chr7:92691376-92691386(+) ACACAATGTCT >hg38_chr7:92691389-92691399(+) GTACAATAAAA >hg38_chr7:92698714-92698724(-) GAACAATGGTG >hg38_chr7:92704711-92704721(-) tgacactggta >hg38_chr7:92704731-92704741(-) atacaaaggaa >hg38_chr7:92704777-92704787(+) aaacaatgctg >hg38_chr7:92707537-92707547(+) AAACAATGTTG >hg38_chr7:92718096-92718106(-) ACACAATGGGG >hg38_chr7:92719732-92719742(+) ATACAAAGATA >hg38_chr7:92731542-92731552(+) AAACAAAGGCA >hg38_chr7:92737872-92737882(+) CCACAATGTAC >hg38_chr7:92741012-92741022(+) ttacaatgagt >hg38_chr7:92750651-92750661(+) TCACAATGTGG >hg38_chr7:92759870-92759880(+) ATACAATGAAG >hg38_chr7:92760404-92760414(-) ACACAAAGGGA >hg38_chr7:92763893-92763903(+) TGACAATGAGG >hg38_chr7:92770213-92770223(-) TGACAATGACA >hg38_chr7:92773129-92773139(-) ACACAATGATT >hg38_chr7:92781259-92781269(-) GGACAATAGAG >hg38_chr7:92781593-92781603(+) TTACAATAAAA >hg38_chr7:92784254-92784264(+) AAACAATAGCT >hg38_chr7:92784282-92784292(+) AAACAATAATC >hg38_chr7:92794137-92794147(-) AGACAATAGAA >hg38_chr7:92797919-92797929(+) TAACACTGGAG >hg38_chr7:92816147-92816157(+) tcacaatgttt >hg38_chr7:92818860-92818870(+) tcacaatgtgt >hg38_chr7:92819210-92819220(+) atataatggaa >hg38_chr7:92824458-92824468(+) TGACAATGTGT >hg38_chr7:92825100-92825110(-) tcacaatgggg >hg38_chr7:92832179-92832189(+) AAACAATGTCA >hg38_chr7:92832193-92832203(+) ACACAATGGGG >hg38_chr7:92832227-92832237(-) GGATAATGGTG >hg38_chr7:92834060-92834070(-) ACACAATGATA >hg38_chr7:92878155-92878165(+) aaacactggac >hg38_chr7:92974104-92974114(+) CAACAATGTAT >hg38_chr7:92988550-92988560(+) GAACAAAGGGG >hg38_chr7:93009705-93009715(-) tgacaatagca >hg38_chr7:93046744-93046754(-) AGACAATGGAC >hg38_chr7:93049314-93049324(-) aaacaataaca >hg38_chr7:93055838-93055848(-) AGACAATGGCA >hg38_chr7:93088716-93088726(-) atacaaaggta >hg38_chr7:93215143-93215153(+) GCACAATAGAC >hg38_chr7:93225446-93225456(+) TTACAATGCAA >hg38_chr7:93247613-93247623(-) tgacaatgcac >hg38_chr7:93269690-93269700(-) caataatgggt >hg38_chr7:93269693-93269703(-) atacaataatg >hg38_chr7:93290300-93290310(-) taacaatgatt >hg38_chr7:93337209-93337219(+) GAACAAAGGAA >hg38_chr7:93373958-93373968(+) atacaatgtat >hg38_chr7:93374343-93374353(+) GAACAATGGTA >hg38_chr7:93403882-93403892(-) ccacaatgaga >hg38_chr7:93414878-93414888(-) ccacaatgagc >hg38_chr7:93416723-93416733(-) acacaatgtgg >hg38_chr7:93429576-93429586(-) atacaaaggcc >hg38_chr7:93438551-93438561(-) TAACAATGGTA >hg38_chr7:93441096-93441106(+) tcacaatgcat >hg38_chr7:93476890-93476900(+) TCACAATGGGA >hg38_chr7:93486260-93486270(+) TAACAATGTTG >hg38_chr7:93548378-93548388(-) ctacaatgttg >hg38_chr7:93594425-93594435(-) CCACAATAGCA >hg38_chr7:93616931-93616941(+) ctacaataata >hg38_chr7:93652219-93652229(+) TAACAATGACA >hg38_chr7:93653375-93653385(-) ttacaataaac >hg38_chr7:93763073-93763083(-) ctacaatagtg >hg38_chr7:93769935-93769945(+) agacaatgggg >hg38_chr7:93814458-93814468(-) ctataatggtg >hg38_chr7:93817244-93817254(-) agacaatgggc >hg38_chr7:93836422-93836432(-) GAACAATGGAA >hg38_chr7:93874361-93874371(+) aaataatggtc >hg38_chr7:93906058-93906068(-) TAACAATGATG >hg38_chr7:93906073-93906083(-) TCACAATGAAT >hg38_chr7:93930993-93931003(-) gcataatggat >hg38_chr7:93932576-93932586(-) CGACAATGGAC >hg38_chr7:93944929-93944939(+) GAACAATGCAC >hg38_chr7:93949348-93949358(+) GAACAATATAC >hg38_chr7:93949661-93949671(-) AAATAATGGAC >hg38_chr7:93949815-93949825(+) AGATAATGGCT >hg38_chr7:93967829-93967839(+) TCACAATGTAT >hg38_chr7:93967855-93967865(+) TAACAATGGGC >hg38_chr7:93976448-93976458(+) GCACAATGACC >hg38_chr7:93997830-93997840(+) GTACAATAGAA >hg38_chr7:94001094-94001104(+) GCACAATAGAA >hg38_chr7:94001349-94001359(-) GGACAAAGGTA >hg38_chr7:94012960-94012970(+) GGACAAAGGAG >hg38_chr7:94088916-94088926(-) AAACAAAGGGC >hg38_chr7:94093225-94093235(-) tcacaaaggac >hg38_chr7:94095622-94095632(-) TTACAATGCAA >hg38_chr7:94117806-94117816(+) atacaatgcag >hg38_chr7:94118551-94118561(-) GGACAGTGGAT >hg38_chr7:94212061-94212071(-) agacaatgaca >hg38_chr7:94212331-94212341(-) ttacaatggag >hg38_chr7:94237149-94237159(+) AGACAAAGGAA >hg38_chr7:94238690-94238700(-) GAACAATGAAG >hg38_chr7:94276985-94276995(+) GAACAAAGGAT >hg38_chr7:94281222-94281232(-) TGACAATGTGA >hg38_chr7:94281247-94281257(+) GAACAATGCAT >hg38_chr7:94330730-94330740(+) atacaatgggg >hg38_chr7:94368614-94368624(+) CAACAATGGCT >hg38_chr7:94368639-94368649(-) ATACAATGGAT >hg38_chr7:94375003-94375013(+) GGACAATGGAA >hg38_chr7:94392936-94392946(-) CAACAATGTTT >hg38_chr7:94398107-94398117(-) TAACAATAAGT >hg38_chr7:94399360-94399370(+) GCATAATGGAA >hg38_chr7:94472873-94472883(+) GCACAATGTTT >hg38_chr7:94474637-94474647(+) ATACAATGGCT >hg38_chr7:94513741-94513751(+) acacaatagga >hg38_chr7:94549561-94549571(-) GGACAATGGCC >hg38_chr7:94587922-94587932(+) CCACAATGCCG >hg38_chr7:94631209-94631219(+) GAACAATGTAC >hg38_chr7:94633843-94633853(+) TCACAATGATT >hg38_chr7:94679017-94679027(-) aaacaatgcaa >hg38_chr7:94697781-94697791(+) ACACAATGGGT >hg38_chr7:94698394-94698404(-) ATACAATGGTA >hg38_chr7:94701759-94701769(-) TCACAATGTGT >hg38_chr7:94704295-94704305(-) atacaatgttt >hg38_chr7:94712009-94712019(+) taacaatatca >hg38_chr7:94712046-94712056(+) gtacaatacat >hg38_chr7:94740733-94740743(-) ATACAATTGAC >hg38_chr7:94882624-94882634(+) gaacaatggtt >hg38_chr7:94883961-94883971(+) ctacaatgcaa >hg38_chr7:94883990-94884000(-) caacaatgccg >hg38_chr7:94908291-94908301(-) AAACAATAGAT >hg38_chr7:94908321-94908331(+) AAACAAAGGGA >hg38_chr7:94912320-94912330(+) GGATAATGGCA >hg38_chr7:94913577-94913587(-) TTACAAAGGGC >hg38_chr7:94913617-94913627(-) TAACAATGGAA >hg38_chr7:94933608-94933618(+) TGATAATGGGA >hg38_chr7:94972176-94972186(-) GTACAATACCA >hg38_chr7:94995750-94995760(-) acacaatgggc >hg38_chr7:95047425-95047435(+) ACATAATGGCT >hg38_chr7:95090007-95090017(+) GTACAGTGGAC >hg38_chr7:95192994-95193004(+) TAACAATAGTG >hg38_chr7:95284416-95284426(-) CTACAATGAAA >hg38_chr7:95296145-95296155(+) AGACAATAGTG >hg38_chr7:95358543-95358553(+) TCACAATGGGT >hg38_chr7:95367887-95367897(+) GTACAATAATT >hg38_chr7:95422031-95422041(-) taacaatggga >hg38_chr7:95428121-95428131(-) aaacaatgtgt >hg38_chr7:95455601-95455611(-) gaataatggtc >hg38_chr7:95468177-95468187(-) TCACAATGACT >hg38_chr7:95468202-95468212(+) ACACAATAGGT >hg38_chr7:95468469-95468479(+) GCACAATGGTT >hg38_chr7:95468776-95468786(-) GAACAATGCTA >hg38_chr7:95468787-95468797(+) TTACAATAAGT >hg38_chr7:95471302-95471312(-) GGACAATAGCA >hg38_chr7:95473606-95473616(-) TCACAATGGCA >hg38_chr7:95526200-95526210(+) caacaatgagg >hg38_chr7:95526248-95526258(+) gaacaatgaac >hg38_chr7:95540425-95540435(+) ATACAATGCAG >hg38_chr7:95540603-95540613(-) TAACAAAGGCG >hg38_chr7:95611441-95611451(-) gaacaataaaa >hg38_chr7:95644213-95644223(+) tatcaatggta >hg38_chr7:95687327-95687337(-) gaacaatgctg >hg38_chr7:95701225-95701235(+) taacaatgtct >hg38_chr7:95705584-95705594(-) acacaatagtg >hg38_chr7:95711082-95711092(+) taacagtggat >hg38_chr7:95711128-95711138(+) caacaatggaa >hg38_chr7:95717227-95717237(-) atacaatacta >hg38_chr7:95755563-95755573(-) AAACAATGTTT >hg38_chr7:95755876-95755886(-) ACACAATGATA >hg38_chr7:95758550-95758560(-) ACACAAAGGAT >hg38_chr7:95763095-95763105(+) gaacaatggaa >hg38_chr7:95784420-95784430(+) GTACGATGGAG >hg38_chr7:95786411-95786421(-) TGACAAAGGTA >hg38_chr7:95812151-95812161(-) ACACAATGGCA >hg38_chr7:95828035-95828045(-) GCACAAAGGAA >hg38_chr7:95830343-95830353(-) taacaaagggg >hg38_chr7:95857960-95857970(-) ccacaatgatc >hg38_chr7:95886744-95886754(+) AGACAATGGAA >hg38_chr7:95886755-95886765(+) ACACAATAGGC >hg38_chr7:95908357-95908367(-) ACACAATAGAT >hg38_chr7:95923791-95923801(-) GTACAATGATG >hg38_chr7:95946934-95946944(+) atacaaaggcc >hg38_chr7:95962423-95962433(-) AAACAAAGGGC >hg38_chr7:95962616-95962626(-) agataatggat >hg38_chr7:95962624-95962634(-) aaacaatgaga >hg38_chr7:95963578-95963588(+) GGACAATAGTG >hg38_chr7:95973634-95973644(-) ATACAATGTCT >hg38_chr7:96009410-96009420(-) CAACAATGGCA >hg38_chr7:96067727-96067737(-) GGACAATGGAA >hg38_chr7:96076083-96076093(+) AGACAATGCAG >hg38_chr7:96078899-96078909(-) AAACAATGTGA >hg38_chr7:96080292-96080302(-) TTACAATAATA >hg38_chr7:96082406-96082416(+) ATACAATGGCA >hg38_chr7:96136832-96136842(-) AGACAATGTGC >hg38_chr7:96137027-96137037(+) AAACAATGGGC >hg38_chr7:96143851-96143861(-) TTACAATGCCA >hg38_chr7:96187264-96187274(+) GAACAATGAAA >hg38_chr7:96218731-96218741(+) ATACAATAAAC >hg38_chr7:96219577-96219587(-) GAACAATGGCT >hg38_chr7:96247555-96247565(-) GTACAATAATC >hg38_chr7:96285749-96285759(-) TAACAATGACC >hg38_chr7:96314676-96314686(+) GAACAAAGGGA >hg38_chr7:96321402-96321412(+) TCACAAAGGCG >hg38_chr7:96416537-96416547(+) GAACAAAGGGA >hg38_chr7:96537218-96537228(-) caacaatgtca >hg38_chr7:96537266-96537276(-) taacaatgcat >hg38_chr7:96537275-96537285(-) caacaatgcta >hg38_chr7:96542873-96542883(-) TAACAGTGGTA >hg38_chr7:96542905-96542915(+) AAACAATGGCA >hg38_chr7:96542924-96542934(+) CTacaatgtaa >hg38_chr7:96547682-96547692(+) TTACAATGGCA >hg38_chr7:96566980-96566990(-) acacaatagac >hg38_chr7:96589184-96589194(-) GGACAATGGGA >hg38_chr7:96591909-96591919(+) TTACAATAAAA >hg38_chr7:96592397-96592407(+) GTACAATACGT >hg38_chr7:96599604-96599614(+) TGACAATGCTT >hg38_chr7:96614693-96614703(-) agacaatagag >hg38_chr7:96625131-96625141(-) GAACAATGGGA >hg38_chr7:96633759-96633769(-) taacaatattc >hg38_chr7:96639056-96639066(+) GAACAATGTGT >hg38_chr7:96639355-96639365(+) aaacaatgtgg >hg38_chr7:96639936-96639946(+) atacagtggga >hg38_chr7:96653131-96653141(+) acacaatagta >hg38_chr7:96679191-96679201(-) TGACAATGTGT >hg38_chr7:96679210-96679220(-) CTACAATGAGC >hg38_chr7:96680448-96680458(+) ACACAATGTTA >hg38_chr7:96680456-96680466(-) TTACAATGTAA >hg38_chr7:96692087-96692097(+) ACATAATGGCA >hg38_chr7:96692702-96692712(+) gtacaatgctt >hg38_chr7:96707971-96707981(+) ACATAATGGCA >hg38_chr7:96708423-96708433(+) GCACAATAGGA >hg38_chr7:96716501-96716511(-) gtacaatatag >hg38_chr7:96718216-96718226(+) ATATAATGGGT >hg38_chr7:96718229-96718239(+) TTATAATGGTG >hg38_chr7:96723827-96723837(+) Taataatggct >hg38_chr7:96739667-96739677(-) TTACAATGCAA >hg38_chr7:96784993-96785003(-) GAACAATGATT >hg38_chr7:96785002-96785012(-) TAACAATAGGA >hg38_chr7:96785501-96785511(+) atacaaagacg >hg38_chr7:96827870-96827880(+) CAACAATGGAA >hg38_chr7:96832347-96832357(-) TGACAATGTGT >hg38_chr7:96832353-96832363(-) AAACAATGACA >hg38_chr7:96853729-96853739(+) agacaatggtt >hg38_chr7:96902160-96902170(-) AAATAATGGCT >hg38_chr7:96902194-96902204(+) ggacaatgcct >hg38_chr7:96981821-96981831(+) GAACAATGACC >hg38_chr7:97082554-97082564(-) GAACAATAAAA >hg38_chr7:97083466-97083476(-) ccacaatggca >hg38_chr7:97092879-97092889(+) GAACAAAGGCC >hg38_chr7:97092946-97092956(-) CCACAATAGGC >hg38_chr7:97154345-97154355(+) tcacaatggct >hg38_chr7:97178255-97178265(-) atacaatggaa >hg38_chr7:97182882-97182892(-) AAATAATGGCA >hg38_chr7:97199776-97199786(-) TAACAAAGGTC >hg38_chr7:97200883-97200893(+) CTACAATGGTG >hg38_chr7:97228632-97228642(-) GAACAATGCCA >hg38_chr7:97255165-97255175(+) TAACAATGATG >hg38_chr7:97255186-97255196(+) ATACAATGACA >hg38_chr7:97255192-97255202(+) TGACAATGCAG >hg38_chr7:97285578-97285588(+) AGACTATGGAG >hg38_chr7:97300107-97300117(-) TAACAATGAGG >hg38_chr7:97346536-97346546(+) AGACAATGGAA >hg38_chr7:97404550-97404560(-) ctacaatgcac >hg38_chr7:97406149-97406159(+) AAACAATAGCC >hg38_chr7:97426774-97426784(-) TCACAATGAGC >hg38_chr7:97492941-97492951(-) taacaatagct >hg38_chr7:97542055-97542065(-) aaacaatgagc >hg38_chr7:97556095-97556105(-) GAACAATGGGA >hg38_chr7:97556122-97556132(+) AGACAATAGAA >hg38_chr7:97576478-97576488(+) GTACAATGTAT >hg38_chr7:97772279-97772289(+) ATACAATGACC >hg38_chr7:97845137-97845147(-) aaacAATGTAT >hg38_chr7:97872158-97872168(+) TAACAATCGCT >hg38_chr7:98021772-98021782(-) GGACAATGGTG >hg38_chr7:98086362-98086372(+) AGACAACGGGC >hg38_chr7:98110747-98110757(-) GAACAATAGAA >hg38_chr7:98122537-98122547(-) TCACAATGCCT >hg38_chr7:98123643-98123653(+) gcacaatgggc >hg38_chr7:98124032-98124042(-) agacaatgcag >hg38_chr7:98132115-98132125(+) ggacaatggga >hg38_chr7:98135033-98135043(+) TAACAATGGTG >hg38_chr7:98265928-98265938(-) GGACAATGACT >hg38_chr7:98281210-98281220(-) ATACAAAGGGC >hg38_chr7:98362828-98362838(+) TTACAAAGGCA >hg38_chr7:98508401-98508411(-) gtacaatgtgt >hg38_chr7:98508789-98508799(+) aaacaatagaa >hg38_chr7:98565292-98565302(+) ACACAATAGTG >hg38_chr7:98569835-98569845(+) ACACAATGGCC >hg38_chr7:98570022-98570032(+) AAACAAAGGGA >hg38_chr7:98570128-98570138(-) CAACAATGGCT >hg38_chr7:98593194-98593204(-) ATACaataata >hg38_chr7:98599577-98599587(-) ggacaatggaa >hg38_chr7:98618951-98618961(+) gaacaatgaat >hg38_chr7:98618975-98618985(-) acataatggga >hg38_chr7:98629136-98629146(-) ACACAAAGGGA >hg38_chr7:98629150-98629160(-) TCACAATGTAT >hg38_chr7:98772142-98772152(+) GAACAAAGGAG >hg38_chr7:98789399-98789409(-) gaacaaaGGCA >hg38_chr7:98810145-98810155(-) CAACAATAGCA >hg38_chr7:98846529-98846539(+) CAACAATGGCA >hg38_chr7:98846560-98846570(+) GCACAATAGTG >hg38_chr7:98847351-98847361(+) GCACAAAGGGA >hg38_chr7:98936080-98936090(-) TGACAATGATA >hg38_chr7:99102065-99102075(-) ATACAATGCCT >hg38_chr7:99106624-99106634(-) cAACAATGGAA >hg38_chr7:99109963-99109973(-) gtacaaaggag >hg38_chr7:99110049-99110059(-) gaacaatgttt >hg38_chr7:99114425-99114435(+) atataatgata >hg38_chr7:99139502-99139512(-) CTACAATGCTC >hg38_chr7:99139548-99139558(+) AAACAATGTGT >hg38_chr7:99267618-99267628(+) GGACAATGAAG >hg38_chr7:99330686-99330696(-) GAACAATGAAA >hg38_chr7:99392969-99392979(-) GCACAATGCCC >hg38_chr7:99476809-99476819(-) AAACAATGGGC >hg38_chr7:99487583-99487593(+) TAACAAAGGGT >hg38_chr7:99557454-99557464(-) ggacaatagat >hg38_chr7:99557482-99557492(+) gaacaatagat >hg38_chr7:99561186-99561196(+) ACACAATGCCT >hg38_chr7:99619275-99619285(-) ATATAATGGGT >hg38_chr7:99772797-99772807(+) GTACAATACAC >hg38_chr7:99798839-99798849(+) aaacaatgcta >hg38_chr7:99829064-99829074(+) ttacaatgcta >hg38_chr7:99843096-99843106(+) tcacaatagaa >hg38_chr7:99925631-99925641(+) atacaataagt >hg38_chr7:99942179-99942189(+) taacaatgtat >hg38_chr7:99942983-99942993(+) gaacaatacta >hg38_chr7:99943022-99943032(+) tgacaatagaa >hg38_chr7:99944858-99944868(+) GAACAAAGGTT >hg38_chr7:99994359-99994369(+) ACACAATGATC >hg38_chr7:100015599-100015609(-) ACACAATGAGT >hg38_chr7:100016818-100016828(-) TTACAATGAAT >hg38_chr7:100021501-100021511(+) taacaatggaa >hg38_chr7:100082700-100082710(-) ttacaatgcga >hg38_chr7:100087283-100087293(-) ctacaatggca >hg38_chr7:100099555-100099565(-) AAACAAAGGGC >hg38_chr7:100099565-100099575(-) GAACAATGGCA >hg38_chr7:100157813-100157823(+) GGACAATAGCT >hg38_chr7:100177369-100177379(+) AGACAATGGGA >hg38_chr7:100208791-100208801(+) atactatggca >hg38_chr7:100370362-100370372(-) taacaaagggt >hg38_chr7:100511084-100511094(-) CAACAATGGCT >hg38_chr7:100656512-100656522(+) AGACAATGACC >hg38_chr7:100695895-100695905(-) AAACAAAGGGG >hg38_chr7:100825380-100825390(-) GAACAATGGAG >hg38_chr7:101044834-101044844(-) TGACAAAGGTA >hg38_chr7:101058579-101058589(+) GTACCATGGAC >hg38_chr7:101059398-101059408(-) TAACAATAATT >hg38_chr7:101059429-101059439(-) ATACAATGAAT >hg38_chr7:101100178-101100188(+) ACACAATGACA >hg38_chr7:101294264-101294274(+) tcacaatagtg >hg38_chr7:101306264-101306274(+) taacaatgtga >hg38_chr7:101309298-101309308(+) agacaaaggga >hg38_chr7:101402310-101402320(+) GAACACTGGGA >hg38_chr7:101486726-101486736(-) AGACAAAGGCA >hg38_chr7:101678774-101678784(-) GAACAATAGTA >hg38_chr7:101754980-101754990(+) GAACAAAGGCT >hg38_chr7:101784789-101784799(-) AGACAATGGGG >hg38_chr7:101804136-101804146(-) AAACAATAGAC >hg38_chr7:101925107-101925117(+) ACACAATGGGG >hg38_chr7:102094091-102094101(-) AAACAATGAAT >hg38_chr7:102111246-102111256(-) TTATAATGGAA >hg38_chr7:102119405-102119415(+) AGACAACGGCA >hg38_chr7:102138878-102138888(+) AGACAAAGGCA >hg38_chr7:102138898-102138908(-) AAACAATGTGA >hg38_chr7:102152871-102152881(-) TGACAATGACG >hg38_chr7:102252486-102252496(-) GCATAATGGAG >hg38_chr7:102286358-102286368(-) GGACAATGGGA >hg38_chr7:102333972-102333982(+) tgacaatgtgt >hg38_chr7:102442016-102442026(-) AGACAAAGGAA >hg38_chr7:102748818-102748828(+) AGACAAAGGGC >hg38_chr7:102830143-102830153(+) AGACAATAGCC >hg38_chr7:102885966-102885976(-) gaacaatggag >hg38_chr7:102901108-102901118(-) ccacaatgggc >hg38_chr7:102913252-102913262(-) GAACAACGGAA >hg38_chr7:102913632-102913642(-) TTACAAAGGAT >hg38_chr7:102919119-102919129(-) acacaatgtca >hg38_chr7:102920133-102920143(-) aaacaataact >hg38_chr7:102927861-102927871(+) ACACAATGAAA >hg38_chr7:102933862-102933872(-) GAACAATGAAA >hg38_chr7:102933925-102933935(-) TTACAATGGTA >hg38_chr7:102934875-102934885(+) GGACAATGACA >hg38_chr7:102936518-102936528(-) TAACAATATCC >hg38_chr7:102941877-102941887(+) AGACAATGCAA >hg38_chr7:102942411-102942421(-) CAACAATGTCT >hg38_chr7:102996894-102996904(-) acacaatgtgc >hg38_chr7:103002092-103002102(-) gcacaaaggaa >hg38_chr7:103082680-103082690(-) CAACAATAGGT >hg38_chr7:103292369-103292379(+) GTACAAAGGCT >hg38_chr7:103391811-103391821(-) TGACAATGTGT >hg38_chr7:103392256-103392266(-) TAACAATGTCT >hg38_chr7:103477046-103477056(-) TCATAATGGAA >hg38_chr7:103477118-103477128(-) CAATAATGGAC >hg38_chr7:103500039-103500049(-) CAACAATGTAA >hg38_chr7:103534190-103534200(+) AAACAATAATA >hg38_chr7:103548682-103548692(-) ACACAATAATA >hg38_chr7:103596511-103596521(-) CAACAATGGGG >hg38_chr7:103609924-103609934(-) gtataatgaaa >hg38_chr7:103627449-103627459(+) AAACAATGTCA >hg38_chr7:103633216-103633226(+) CAACAATGGCA >hg38_chr7:103637402-103637412(+) ATACTATGGCA >hg38_chr7:103638760-103638770(+) GAATAATGGAT >hg38_chr7:103638783-103638793(+) GAACAATGTCC >hg38_chr7:103683244-103683254(+) ggacaatgtcc >hg38_chr7:103697560-103697570(+) acacaatggga >hg38_chr7:103731052-103731062(+) ttacaatagag >hg38_chr7:103731275-103731285(+) atacaatgcag >hg38_chr7:103751523-103751533(+) ATACACTGGAG >hg38_chr7:103765992-103766002(+) TTACTATGGTC >hg38_chr7:103766388-103766398(+) TGACAATGAGA >hg38_chr7:103775923-103775933(+) ctataatggaa >hg38_chr7:103783834-103783844(-) ATACCATGGAT >hg38_chr7:103796014-103796024(-) AGACAATAGAA >hg38_chr7:103809692-103809702(-) ctacaatgctg >hg38_chr7:103809708-103809718(-) CTAcaatgtta >hg38_chr7:103832674-103832684(-) acactatggtt >hg38_chr7:103858802-103858812(+) GGACAATGTGA >hg38_chr7:103880394-103880404(-) TTATAATGGTA >hg38_chr7:103961512-103961522(+) GCATAATGGAG >hg38_chr7:104001605-104001615(+) tgacaaaggat >hg38_chr7:104005610-104005620(+) TGACAATACGT >hg38_chr7:104012755-104012765(+) gtacaatgtta >hg38_chr7:104013318-104013328(+) tcacaatagca >hg38_chr7:104025537-104025547(+) GCACAAAGGAA >hg38_chr7:104028933-104028943(-) ATACAAAGGTA >hg38_chr7:104048251-104048261(+) ccacaataggt >hg38_chr7:104048265-104048275(+) gtacaatgaag >hg38_chr7:104074645-104074655(+) ctacaatgttt >hg38_chr7:104089773-104089783(+) GAATAATGGAA >hg38_chr7:104089918-104089928(+) AGACAAAGGCA >hg38_chr7:104106767-104106777(-) GCACAATAGAT >hg38_chr7:104127867-104127877(-) CCACAATAGCC >hg38_chr7:104127907-104127917(-) TTACAATGAAG >hg38_chr7:104149937-104149947(+) ATACAATATTT >hg38_chr7:104149976-104149986(-) GAACAAAGGAC >hg38_chr7:104156535-104156545(+) ATACAATGGAA >hg38_chr7:104157601-104157611(-) GAACAATGTCC >hg38_chr7:104157661-104157671(-) AAACAATGGGA >hg38_chr7:104157835-104157845(+) ctacaatgaca >hg38_chr7:104157853-104157863(+) ctacaatATAA >hg38_chr7:104175423-104175433(+) aaacaaagggg >hg38_chr7:104224215-104224225(+) GGACAAAGGTC >hg38_chr7:104232422-104232432(+) caacaatgaag >hg38_chr7:104341724-104341734(-) CGACAATGGAG >hg38_chr7:104443567-104443577(+) AAATAATGGAA >hg38_chr7:104548763-104548773(+) AAACAATGGTC >hg38_chr7:104646386-104646396(-) TCACAATGATG >hg38_chr7:104646423-104646433(+) GCACAATGAGG >hg38_chr7:104738309-104738319(-) CAACAAAGGTA >hg38_chr7:104768535-104768545(-) TGACAAAGGGA >hg38_chr7:104791260-104791270(+) GGACAAAGGGA >hg38_chr7:104807788-104807798(-) GTACAAAGATA >hg38_chr7:104845696-104845706(-) GAACAATAACC >hg38_chr7:104845709-104845719(+) TGACAATGAGC >hg38_chr7:104848565-104848575(-) GGACAATGATT >hg38_chr7:104900733-104900743(+) GGACAATAGGG >hg38_chr7:104917796-104917806(+) TGACAATGGCT >hg38_chr7:104931500-104931510(+) GTACAATAGCA >hg38_chr7:104943699-104943709(-) GAACAATAGAC >hg38_chr7:104983672-104983682(+) GGACAATGAAC >hg38_chr7:104997237-104997247(-) AAATAATGGTT >hg38_chr7:104997682-104997692(+) GAACAATGTAG >hg38_chr7:104998628-104998638(+) ATACAATGCCT >hg38_chr7:104998653-104998663(+) TAACAATATGA >hg38_chr7:105010391-105010401(+) GCACAAAGGTA >hg38_chr7:105039214-105039224(-) agacaatgact >hg38_chr7:105060776-105060786(-) acacaatgcta >hg38_chr7:105060802-105060812(-) acacaatgcac >hg38_chr7:105071215-105071225(-) atacaaaggca >hg38_chr7:105081783-105081793(+) TGACTATGGAA >hg38_chr7:105084790-105084800(+) ccacaatagca >hg38_chr7:105109233-105109243(-) TCACAATGGGC >hg38_chr7:105113922-105113932(-) GTACAATGCTA >hg38_chr7:105119426-105119436(-) TCACAATAGAC >hg38_chr7:105185818-105185828(-) TAACAAAGGAG >hg38_chr7:105202719-105202729(+) acacaatggca >hg38_chr7:105202758-105202768(+) gtacaatgcca >hg38_chr7:105206031-105206041(+) gcacaatggat >hg38_chr7:105252341-105252351(+) AAACAAAGGGC >hg38_chr7:105252383-105252393(+) GAACAATAAAG >hg38_chr7:105257316-105257326(-) TAACAATAGCA >hg38_chr7:105268700-105268710(+) GGACAATACGT >hg38_chr7:105269583-105269593(+) TGACAATAGAC >hg38_chr7:105277631-105277641(-) gaacactggga >hg38_chr7:105298824-105298834(+) TCACAATGCCA >hg38_chr7:105298868-105298878(+) AGACAATGTAG >hg38_chr7:105304152-105304162(-) GAACAATGGGT >hg38_chr7:105304410-105304420(+) GTACAATGGCA >hg38_chr7:105332097-105332107(+) AAACAAGGGCG >hg38_chr7:105389548-105389558(+) GGACAAAGGTT >hg38_chr7:105429863-105429873(+) AAACAATAACT >hg38_chr7:105454662-105454672(+) GTATAATGGCT >hg38_chr7:105461588-105461598(+) taacaataata >hg38_chr7:105493034-105493044(+) CAACAATGCAC >hg38_chr7:105493115-105493125(+) ATATAATGTAC >hg38_chr7:105522577-105522587(+) CAATAATGGAA >hg38_chr7:105597039-105597049(+) AAACAATGCTG >hg38_chr7:105605529-105605539(+) CTACAATGTCT >hg38_chr7:105605606-105605616(-) AAACAAAGGTT >hg38_chr7:105644138-105644148(+) AGACAATGTAG >hg38_chr7:105649138-105649148(-) GGACAATCGTG >hg38_chr7:105655168-105655178(-) GGACAATGGAC >hg38_chr7:105683189-105683199(+) AAACAATGTGa >hg38_chr7:105721906-105721916(+) ATACAATGGGG >hg38_chr7:105723790-105723800(-) GCATAATGGAG >hg38_chr7:105743699-105743709(+) TAACAATGCCA >hg38_chr7:105760241-105760251(+) TGACAAAGGat >hg38_chr7:105835000-105835010(+) AAACAATGGAA >hg38_chr7:105849584-105849594(-) AAACAATGGCT >hg38_chr7:105849729-105849739(+) TGACAATGGGT >hg38_chr7:105890566-105890576(+) CTATAATGGGA >hg38_chr7:105940228-105940238(-) GAACAATATGA >hg38_chr7:105963427-105963437(+) TGACAATGTCC >hg38_chr7:106109185-106109195(+) atacaatggtt >hg38_chr7:106202833-106202843(-) GTACAATAGTG >hg38_chr7:106232100-106232110(+) gaacaatgcag >hg38_chr7:106287314-106287324(+) TGACAATGAAG >hg38_chr7:106328817-106328827(-) gcacaatgctt >hg38_chr7:106330011-106330021(+) aaacaatgtca >hg38_chr7:106330669-106330679(+) TGACAATGGTA >hg38_chr7:106346652-106346662(+) taacaatgtga >hg38_chr7:106394072-106394082(+) caacaatgaaa >hg38_chr7:106394112-106394122(-) taacaatgggg >hg38_chr7:106401005-106401015(+) ttacaatgcaa >hg38_chr7:106401270-106401280(+) AGACAATGTGT >hg38_chr7:106428438-106428448(+) AAACAATGGAA >hg38_chr7:106434098-106434108(-) tcacaatagtt >hg38_chr7:106479475-106479485(-) aaacaatgggg >hg38_chr7:106523703-106523713(+) CAACAATGCTA >hg38_chr7:106567908-106567918(-) taacaatagaa >hg38_chr7:106568834-106568844(-) TCACAATGAGC >hg38_chr7:106575288-106575298(-) GGACAATGAGA >hg38_chr7:106600710-106600720(+) AAACAATGTAA >hg38_chr7:106616697-106616707(+) AAACAATGCTC >hg38_chr7:106617835-106617845(+) atacaatagta >hg38_chr7:106703074-106703084(+) aaataatggtg >hg38_chr7:106718420-106718430(-) TCACAATGAAT >hg38_chr7:106723687-106723697(+) GAACAATGGAC >hg38_chr7:106731826-106731836(-) caacaatggag >hg38_chr7:106734387-106734397(-) caacaatagaa >hg38_chr7:106734423-106734433(-) atacaatagta >hg38_chr7:106734885-106734895(-) tcacaaaggta >hg38_chr7:106744204-106744214(-) ggacaatgaac >hg38_chr7:106857818-106857828(-) ATATAATGGCA >hg38_chr7:106857865-106857875(+) ACACAATGCAC >hg38_chr7:106894126-106894136(-) ccacaatgggt >hg38_chr7:106894931-106894941(-) GAACAAAGGAA >hg38_chr7:106905989-106905999(-) GCACAACGGTT >hg38_chr7:106952460-106952470(-) TCACAATGTGA >hg38_chr7:107044069-107044079(-) AGACAAAGGCA >hg38_chr7:107044255-107044265(+) AAACAAAGGAC >hg38_chr7:107051359-107051369(+) AGACAATGGAA >hg38_chr7:107129410-107129420(-) aGACAATGGAC >hg38_chr7:107140022-107140032(-) ATACAATGGAA >hg38_chr7:107140027-107140037(-) ATACAATACAA >hg38_chr7:107150954-107150964(+) AAACAATGCCA >hg38_chr7:107167705-107167715(-) TTACAAAGGCA >hg38_chr7:107175262-107175272(-) gtacagtggct >hg38_chr7:107179300-107179310(+) ATACAATGCCA >hg38_chr7:107188521-107188531(-) aaacaaaggag >hg38_chr7:107189873-107189883(-) CTACAATGACA >hg38_chr7:107189896-107189906(-) TGACAATGAGC >hg38_chr7:107189902-107189912(-) CTACAATGACA >hg38_chr7:107194432-107194442(-) AAACAATGTCT >hg38_chr7:107218590-107218600(+) acacaatggga >hg38_chr7:107223253-107223263(+) ACACAATGAGG >hg38_chr7:107224648-107224658(+) TGACAATGTCA >hg38_chr7:107226616-107226626(+) caacaatggtg >hg38_chr7:107234146-107234156(+) GAACAAAGGAA >hg38_chr7:107291666-107291676(+) caacaatgcct >hg38_chr7:107291693-107291703(+) ctacaaaggaa >hg38_chr7:107324784-107324794(-) gaacaaaggcc >hg38_chr7:107328251-107328261(-) ttacaatgaca >hg38_chr7:107328283-107328293(+) acacaatagta >hg38_chr7:107355920-107355930(-) taacaatagtg >hg38_chr7:107365992-107366002(+) CAACAATAGAC >hg38_chr7:107373179-107373189(-) ATATAATGAAT >hg38_chr7:107397386-107397396(+) aAACAATGTTA >hg38_chr7:107405304-107405314(+) gaacaatggtT >hg38_chr7:107432093-107432103(-) gtacaaaggat >hg38_chr7:107438879-107438889(-) aaacaatgcct >hg38_chr7:107462306-107462316(+) acacaaaggga >hg38_chr7:107469534-107469544(+) TGACAATGTTT >hg38_chr7:107560019-107560029(+) gaacaataatc >hg38_chr7:107584290-107584300(-) TAACAAAGGAG >hg38_chr7:107592726-107592736(+) atacaatggaa >hg38_chr7:107595439-107595449(+) TAACAATGGCA >hg38_chr7:107692486-107692496(-) AAACAAtgagc >hg38_chr7:107692538-107692548(-) gcacaatatat >hg38_chr7:107697396-107697406(+) GCACAATGCTG >hg38_chr7:107726128-107726138(+) TAACAATAAAT >hg38_chr7:107740225-107740235(+) AAACAATATAC >hg38_chr7:107740489-107740499(+) GGACAATGCCT >hg38_chr7:107746202-107746212(-) AAACTATGGCA >hg38_chr7:107760098-107760108(-) AGACAATGAAC >hg38_chr7:107910909-107910919(-) AAATAATGGGA >hg38_chr7:107937977-107937987(-) ACACAATAATA >hg38_chr7:107938145-107938155(+) ATATAATAGTA >hg38_chr7:107947654-107947664(-) GGACAATGGGG >hg38_chr7:107959635-107959645(+) CCACAATGGCT >hg38_chr7:107959667-107959677(+) TAACAATGCTT >hg38_chr7:107975572-107975582(+) TTACAATAACA >hg38_chr7:107975578-107975588(+) TAACAATGCTG >hg38_chr7:108015210-108015220(+) TCACAATGCAG >hg38_chr7:108051013-108051023(+) GCACAATGTCA >hg38_chr7:108157863-108157873(+) GGACAATGCTT >hg38_chr7:108246315-108246325(+) GAACAAAGGCA >hg38_chr7:108249692-108249702(+) AAACAATGTTC >hg38_chr7:108253894-108253904(+) TTACAATGACA >hg38_chr7:108285333-108285343(+) GAACAATGTGC >hg38_chr7:108290752-108290762(-) ACATAATGGAT >hg38_chr7:108307330-108307340(-) AAACAATGGGC >hg38_chr7:108307556-108307566(+) TGACAATAGCT >hg38_chr7:108308633-108308643(-) AGACAATGATG >hg38_chr7:108309153-108309163(+) TCACAATAGAG >hg38_chr7:108311694-108311704(+) ACACAATGGAA >hg38_chr7:108323126-108323136(+) TAACAAAGCGA >hg38_chr7:108328649-108328659(+) ATACAATGGGA >hg38_chr7:108329610-108329620(+) GAACAATGGGT >hg38_chr7:108332625-108332635(+) AAACACTGGAT >hg38_chr7:108345886-108345896(+) GAACAATGGGT >hg38_chr7:108352074-108352084(-) gtataatggtg >hg38_chr7:108371459-108371469(-) GTACAATAGCA >hg38_chr7:108428536-108428546(+) AAACAATGCTG >hg38_chr7:108449428-108449438(-) GAACAAAGGAG >hg38_chr7:108454610-108454620(-) TAATAATGGCA >hg38_chr7:108454648-108454658(+) GCACAATGCAG >hg38_chr7:108460042-108460052(-) gcacaatgact >hg38_chr7:108460085-108460095(-) AAACAATGAAA >hg38_chr7:108468395-108468405(+) taacaaaggga >hg38_chr7:108513686-108513696(-) aaacaatgagc >hg38_chr7:108526129-108526139(+) AAACACTGGCG >hg38_chr7:108545437-108545447(-) TCACAATGGAA >hg38_chr7:108548154-108548164(+) GAATAATGGGA >hg38_chr7:108548162-108548172(+) GGACAATAATA >hg38_chr7:108567443-108567453(-) GTACAATGGAA >hg38_chr7:108571140-108571150(-) atacaatagaa >hg38_chr7:108581813-108581823(+) tgacaatcgga >hg38_chr7:108593017-108593027(-) TAACAATAGAA >hg38_chr7:108598419-108598429(-) TTACAATGCTC >hg38_chr7:108618468-108618478(+) GGACAATGATT >hg38_chr7:108651498-108651508(+) TAACTATGGGA >hg38_chr7:108662466-108662476(-) GGACAATAGTT >hg38_chr7:108662506-108662516(-) GAACAATAGGT >hg38_chr7:108748941-108748951(+) AGACAATGTCA >hg38_chr7:108748980-108748990(-) CAACAATAGCT >hg38_chr7:108749022-108749032(-) GTACGATGGAA >hg38_chr7:108750608-108750618(-) ttacaaagggc >hg38_chr7:108765704-108765714(-) taacaataata >hg38_chr7:108768940-108768950(+) TAACAATACAC >hg38_chr7:108768986-108768996(-) ACACAATAGTT >hg38_chr7:108808828-108808838(+) TAACAATGTCC >hg38_chr7:108859813-108859823(-) GGACAAAGGAA >hg38_chr7:108879424-108879434(-) taacaatgagt >hg38_chr7:108972180-108972190(+) GGACAATGAAC >hg38_chr7:108973290-108973300(-) GAACAAAGGAA >hg38_chr7:109002055-109002065(-) TTATAATGGAA >hg38_chr7:109007793-109007803(+) gaacaatgact >hg38_chr7:109062937-109062947(-) atacaataagg >hg38_chr7:109069106-109069116(-) taacaatatac >hg38_chr7:109474117-109474127(-) aaactatgggc >hg38_chr7:109638529-109638539(-) agacaatggcc >hg38_chr7:109638552-109638562(+) tcacaatgaca >hg38_chr7:109835765-109835775(+) acacaataaaa >hg38_chr7:109939453-109939463(-) agataatggaa >hg38_chr7:110049356-110049366(-) agacaatagag >hg38_chr7:110049404-110049414(-) TGACAATGGAA >hg38_chr7:110089712-110089722(+) gcacaatgtat >hg38_chr7:110128360-110128370(+) AGACAAAGGAC >hg38_chr7:110128380-110128390(+) AAACAATGCTG >hg38_chr7:110146964-110146974(+) AAATAATGGGA >hg38_chr7:110180609-110180619(-) ACACAATGGAT >hg38_chr7:110224202-110224212(-) ATACAATAGGT >hg38_chr7:110244024-110244034(-) gcacaatgaga >hg38_chr7:110295840-110295850(+) ccacaatggtt >hg38_chr7:110317976-110317986(+) TCACAATGGGC >hg38_chr7:110371956-110371966(+) ACACAATAGAG >hg38_chr7:110375024-110375034(-) TAACAATGAGG >hg38_chr7:110382905-110382915(+) cgacaaaggct >hg38_chr7:110388608-110388618(+) TGACTATGGTA >hg38_chr7:110389910-110389920(+) taacaatagcc >hg38_chr7:110404394-110404404(+) ttacaatagac >hg38_chr7:110412877-110412887(-) GCACAATAGCC >hg38_chr7:110463109-110463119(-) gtacaatgggg >hg38_chr7:110577065-110577075(+) caacaatgcca >hg38_chr7:110590767-110590777(-) taacaaaggat >hg38_chr7:110632574-110632584(-) AAACAATGGCC >hg38_chr7:110633556-110633566(+) TCACAATAGTC >hg38_chr7:110685875-110685885(-) CAACAATGGAA >hg38_chr7:110835937-110835947(-) TTACAATGTTC >hg38_chr7:110850329-110850339(+) tgacaatgacc >hg38_chr7:110852689-110852699(-) ttacaataacg >hg38_chr7:110852701-110852711(-) gaacaaaggca >hg38_chr7:110867746-110867756(-) AAACAAAGGCC >hg38_chr7:110899404-110899414(+) GAACAATAGTA >hg38_chr7:110899428-110899438(+) ATACAATGACT >hg38_chr7:110930149-110930159(+) CAACAATGAAA >hg38_chr7:110943545-110943555(-) gaacgatggga >hg38_chr7:110945038-110945048(+) AAACAAAGGGA >hg38_chr7:110960008-110960018(-) CAACAATGTGA >hg38_chr7:111012321-111012331(+) ATACAATGTTG >hg38_chr7:111015125-111015135(+) tcacaatagcc >hg38_chr7:111021135-111021145(+) GAACAATACAC >hg38_chr7:111021224-111021234(-) GGATAATGGAA >hg38_chr7:111077877-111077887(-) gcacaaaggtc >hg38_chr7:111087759-111087769(-) ccacaatagca >hg38_chr7:111087804-111087814(+) AAACAATGACC >hg38_chr7:111087819-111087829(+) TAACAATGACC >hg38_chr7:111097201-111097211(+) GAACAATGGAA >hg38_chr7:111105404-111105414(+) GAACAATACAC >hg38_chr7:111105831-111105841(-) AGACAATGGCC >hg38_chr7:111106279-111106289(-) GAACAATGACT >hg38_chr7:111113728-111113738(-) GTACAATGGTT >hg38_chr7:111113745-111113755(+) GCACAATGTAA >hg38_chr7:111118122-111118132(-) ttacaataaaa >hg38_chr7:111119524-111119534(-) ATACAAAGGAA >hg38_chr7:111132563-111132573(-) TCACAATGACA >hg38_chr7:111138297-111138307(-) TGACAATAGCA >hg38_chr7:111138510-111138520(-) AAACAATGAAT >hg38_chr7:111138544-111138554(-) GTACAATGTAA >hg38_chr7:111139053-111139063(-) TCACAATAGCC >hg38_chr7:111144699-111144709(+) TTACAATGAAA >hg38_chr7:111155642-111155652(-) TGACAATGCAC >hg38_chr7:111161276-111161286(+) tgacaatagaa >hg38_chr7:111168364-111168374(-) ACATAATGGGA >hg38_chr7:111206712-111206722(-) gcacaatagag >hg38_chr7:111222643-111222653(+) ttacaatcgaa >hg38_chr7:111255861-111255871(+) ATACAATGCTT >hg38_chr7:111256556-111256566(-) TAACAATGAAA >hg38_chr7:111256613-111256623(-) GAACAATGGAC >hg38_chr7:111257596-111257606(-) TAATAATGGAA >hg38_chr7:111267864-111267874(-) ATACAATGACT >hg38_chr7:111274621-111274631(+) TTACAATAGCA >hg38_chr7:111292113-111292123(+) AGACAATCGTC >hg38_chr7:111299102-111299112(+) GTACAATGTCA >hg38_chr7:111311797-111311807(+) tcacaatagtc >hg38_chr7:111311830-111311840(-) cgataatgggt >hg38_chr7:111311839-111311849(-) gtacaattgcg >hg38_chr7:111320942-111320952(+) gaacaaaggag >hg38_chr7:111328246-111328256(+) TTACAAAGGAA >hg38_chr7:111329818-111329828(+) ttacaataaca >hg38_chr7:111339661-111339671(+) GTACAATTGAA >hg38_chr7:111362943-111362953(+) agactatggaa >hg38_chr7:111378730-111378740(-) AGACAATGGAT >hg38_chr7:111384434-111384444(-) TGACAATGTAC >hg38_chr7:111412339-111412349(+) caacaatagca >hg38_chr7:111413841-111413851(+) gaacaatgcaa >hg38_chr7:111417400-111417410(-) aaacaatgtgc >hg38_chr7:111438645-111438655(-) aaacaataacc >hg38_chr7:111496177-111496187(+) CTACAATGCCG >hg38_chr7:111510059-111510069(+) TTACAATGCTG >hg38_chr7:111574472-111574482(-) atacaatgtta >hg38_chr7:111619579-111619589(-) tgacaatagac >hg38_chr7:111619867-111619877(+) agacaatagac >hg38_chr7:111619874-111619884(+) agacaatagta >hg38_chr7:111682830-111682840(+) aaacaataggc >hg38_chr7:111709486-111709496(+) tcacaataggg >hg38_chr7:111763395-111763405(-) TCACAATGAAA >hg38_chr7:111770784-111770794(+) CAATAATGGTA >hg38_chr7:111803193-111803203(-) GCACAATGACT >hg38_chr7:111811664-111811674(+) TAACAATAAAA >hg38_chr7:111822489-111822499(-) TTACAATAAAC >hg38_chr7:111837379-111837389(-) gtacaatgttg >hg38_chr7:111874050-111874060(+) CGACAAAGGCA >hg38_chr7:111874064-111874074(-) GAACAATAGAG >hg38_chr7:111880559-111880569(+) gtataatgccg >hg38_chr7:111900334-111900344(+) GCACAATAGAC >hg38_chr7:111900376-111900386(+) GAACAATGCCG >hg38_chr7:111931275-111931285(+) GTACAATGGTT >hg38_chr7:111931331-111931341(+) GTACAAAGGCT >hg38_chr7:111957280-111957290(-) TAACAATAAAT >hg38_chr7:112030485-112030495(-) CAACAATGTGT >hg38_chr7:112035530-112035540(+) gaacaatagta >hg38_chr7:112095218-112095228(+) AGACAATGAAA >hg38_chr7:112105057-112105067(-) tgacaatggcc >hg38_chr7:112113127-112113137(-) TAATAATGGCT >hg38_chr7:112129192-112129202(+) atactatggga >hg38_chr7:112161525-112161535(-) ACACAATGATA >hg38_chr7:112173359-112173369(+) GGACAATAGCC >hg38_chr7:112173389-112173399(-) AGACAATGGAA >hg38_chr7:112182821-112182831(+) ATACAATGAGA >hg38_chr7:112182879-112182889(+) GGACAATGCAC >hg38_chr7:112204618-112204628(-) AGACAATAGTT >hg38_chr7:112206383-112206393(-) GAACAATGACT >hg38_chr7:112215957-112215967(-) TTATAATGGTA >hg38_chr7:112216167-112216177(+) TCACAAAGGTA >hg38_chr7:112216186-112216196(+) TAATAATGTAC >hg38_chr7:112243097-112243107(+) taacaatgctg >hg38_chr7:112252347-112252357(-) GAACAACGGGG >hg38_chr7:112252423-112252433(+) GTACAAGGGTA >hg38_chr7:112261007-112261017(+) CAACAATAGGT >hg38_chr7:112267231-112267241(-) GAACAATAATT >hg38_chr7:112271045-112271055(+) AAATAATGGTA >hg38_chr7:112282987-112282997(+) acacaatgatt >hg38_chr7:112283822-112283832(+) GGACAATGTGG >hg38_chr7:112283882-112283892(-) TGACAATGTCC >hg38_chr7:112295712-112295722(-) ATACAAAGGCA >hg38_chr7:112335242-112335252(-) AAACTATGGTT >hg38_chr7:112335298-112335308(-) AGACAATGTCA >hg38_chr7:112337294-112337304(-) TTACAAAGGCA >hg38_chr7:112420389-112420399(-) agacaatagac >hg38_chr7:112423093-112423103(-) CAACAATAGGA >hg38_chr7:112429133-112429143(-) GTACAACGGAG >hg38_chr7:112435341-112435351(+) GGACAATGAGT >hg38_chr7:112458060-112458070(+) ACACAATGGCG >hg38_chr7:112458103-112458113(+) CTATAATGGTT >hg38_chr7:112461039-112461049(-) TTACAAAGGTA >hg38_chr7:112462420-112462430(-) GAACAATGGGC >hg38_chr7:112471365-112471375(-) atataatgtaa >hg38_chr7:112495369-112495379(-) AAACAATAAAT >hg38_chr7:112502106-112502116(-) ACACAAAGGGA >hg38_chr7:112516684-112516694(-) AAACAATAGTG >hg38_chr7:112525051-112525061(+) TAATAATGGTA >hg38_chr7:112530617-112530627(+) GAACAATGATT >hg38_chr7:112593648-112593658(-) ttacaataata >hg38_chr7:112594605-112594615(+) CCACAATAGGT >hg38_chr7:112594634-112594644(-) GAACAATGAGA >hg38_chr7:112596536-112596546(+) AAACAATGGGT >hg38_chr7:112622360-112622370(+) TGACAATGACT >hg38_chr7:112635645-112635655(-) tgacaatagcc >hg38_chr7:112675023-112675033(+) ctacaatgcag >hg38_chr7:112781543-112781553(+) gcacaatggta >hg38_chr7:112821460-112821470(+) TCACAATAGCA >hg38_chr7:112911504-112911514(+) acacaatgcca >hg38_chr7:112912991-112913001(+) CAACAATGATG >hg38_chr7:112934802-112934812(+) CAACAATGCTT >hg38_chr7:112937318-112937328(-) TAACTATGGAA >hg38_chr7:112937405-112937415(+) TTACAATAACA >hg38_chr7:112938138-112938148(-) ACATAATGGAA >hg38_chr7:112940420-112940430(+) CGACAATGGTT >hg38_chr7:112950727-112950737(+) ccacaatgaga >hg38_chr7:112950738-112950748(-) atacagtggta >hg38_chr7:112982599-112982609(+) ATACAATGGTA >hg38_chr7:112989118-112989128(-) GCATAATGGCA >hg38_chr7:112995698-112995708(+) ggacaatggca >hg38_chr7:113070420-113070430(-) CCACAATAGGG >hg38_chr7:113076469-113076479(+) gaataatggtc >hg38_chr7:113076972-113076982(+) TAACAATaaca >hg38_chr7:113076978-113076988(+) Taacaatagcc >hg38_chr7:113077004-113077014(+) tcacaatgtgc >hg38_chr7:113078196-113078206(-) CTACAATATAA >hg38_chr7:113086116-113086126(-) AGACAATGCAT >hg38_chr7:113086135-113086145(-) GCATAATGGAA >hg38_chr7:113093620-113093630(-) taataatggtc >hg38_chr7:113094206-113094216(+) AGACAATGGCA >hg38_chr7:113118223-113118233(-) taataatagta >hg38_chr7:113144997-113145007(-) tcacaatgact >hg38_chr7:113152115-113152125(+) ACACAATGCTT >hg38_chr7:113185300-113185310(+) GTACAATGGAC >hg38_chr7:113185608-113185618(-) GGATAATGGGG >hg38_chr7:113208033-113208043(+) TGACAATGTAT >hg38_chr7:113241970-113241980(-) ggacaatagtc >hg38_chr7:113289413-113289423(-) aaacaatagaa >hg38_chr7:113298098-113298108(+) GGACAATAGGC >hg38_chr7:113309500-113309510(+) GAATAATGGAG >hg38_chr7:113310205-113310215(+) TAACAATGAGG >hg38_chr7:113339827-113339837(+) TCACAATGTTT >hg38_chr7:113345969-113345979(-) TAACCATGGAC >hg38_chr7:113366395-113366405(+) ttacaatggca >hg38_chr7:113393407-113393417(+) atacaatgacc >hg38_chr7:113414536-113414546(-) GGACAATAGGA >hg38_chr7:113414544-113414554(-) AAATAATGGGA >hg38_chr7:113421896-113421906(-) TAACAATAGGA >hg38_chr7:113455496-113455506(+) tgacaaaggta >hg38_chr7:113455504-113455514(+) gtacaaaggca >hg38_chr7:113529927-113529937(-) acacaatgctg >hg38_chr7:113716793-113716803(+) AAACAATAAGA >hg38_chr7:113771374-113771384(+) CCACAATGTCA >hg38_chr7:113793744-113793754(-) ttacaatgttg >hg38_chr7:113826501-113826511(+) AAACAAAGGCT >hg38_chr7:113826576-113826586(-) aaacaaaggct >hg38_chr7:113984182-113984192(-) TCATAATGGGT >hg38_chr7:113994375-113994385(-) atacaatgcat >hg38_chr7:114025915-114025925(-) TAACAAAGACG >hg38_chr7:114085450-114085460(+) CAACAATGAAG >hg38_chr7:114102436-114102446(-) gtataatggcg >hg38_chr7:114104083-114104093(-) GCACAATGGCA >hg38_chr7:114107782-114107792(+) GAATAATGGAT >hg38_chr7:114131471-114131481(+) GGACAATGTTA >hg38_chr7:114136745-114136755(+) TTACAATGAAT >hg38_chr7:114143601-114143611(-) tcataatggaa >hg38_chr7:114153988-114153998(+) GAACAATTGTA >hg38_chr7:114160953-114160963(-) gaacaatacgt >hg38_chr7:114163019-114163029(-) TTACAATGCTC >hg38_chr7:114178185-114178195(-) TAATAATGGAA >hg38_chr7:114181149-114181159(-) GCACAAAGGTC >hg38_chr7:114212903-114212913(+) TCACAATATAT >hg38_chr7:114308848-114308858(-) ttacaatggca >hg38_chr7:114323336-114323346(-) TCACAATGTTT >hg38_chr7:114346839-114346849(+) ctacaatgagg >hg38_chr7:114346867-114346877(-) gtacaatagaa >hg38_chr7:114361949-114361959(+) GAACAATGCCT >hg38_chr7:114414400-114414410(+) TGACAAAGGAT >hg38_chr7:114415066-114415076(-) GGACAATCGTA >hg38_chr7:114417060-114417070(-) CCACAATAGCA >hg38_chr7:114427823-114427833(-) AAACAATGCCT >hg38_chr7:114467976-114467986(-) gGACAATGCCT >hg38_chr7:114468020-114468030(+) ctacaatgtat >hg38_chr7:114528429-114528439(+) AAACAATGTCA >hg38_chr7:114533411-114533421(-) GAACAATGTTT >hg38_chr7:114567556-114567566(-) ACACAATGTAC >hg38_chr7:114574662-114574672(-) TAACAATAAGA >hg38_chr7:114599076-114599086(-) gtacaataaag >hg38_chr7:114599125-114599135(+) ggacaatggcc >hg38_chr7:114606176-114606186(+) ggataatggtg >hg38_chr7:114623243-114623253(+) GAACAATGGTG >hg38_chr7:114630367-114630377(-) CAACAATAGGC >hg38_chr7:114649732-114649742(+) AAACAATAGCG >hg38_chr7:114650078-114650088(-) CTACAATAATA >hg38_chr7:114709712-114709722(+) AAACAATAGCA >hg38_chr7:114730231-114730241(-) ggacaaaggaa >hg38_chr7:114779036-114779046(-) taacaatgaca >hg38_chr7:114803963-114803973(+) TTACAACGGGC >hg38_chr7:114821009-114821019(-) AGACAAAGGTA >hg38_chr7:114836081-114836091(-) tcacaatagcc >hg38_chr7:114859180-114859190(-) TGACAAAGGTC >hg38_chr7:114874284-114874294(+) TTACAATGGAT >hg38_chr7:114874310-114874320(-) GGACAATGAGT >hg38_chr7:114875044-114875054(+) GAACAATGTAG >hg38_chr7:114923160-114923170(-) CAACAATGACA >hg38_chr7:114927258-114927268(-) AAAcaatgatt >hg38_chr7:114927724-114927734(-) ttacaatggaa >hg38_chr7:114937296-114937306(-) ATACAATATGT >hg38_chr7:114938945-114938955(-) TTACAATAACA >hg38_chr7:114939914-114939924(+) taacaatggca >hg38_chr7:114948984-114948994(-) GCACAATGGAA >hg38_chr7:114975314-114975324(-) GGACAATAGAA >hg38_chr7:114998420-114998430(-) CAACAATGCAA >hg38_chr7:115015743-115015753(-) GGACAATGTTG >hg38_chr7:115020485-115020495(-) aaacaaTGGAA >hg38_chr7:115033476-115033486(+) GAATAATGGCT >hg38_chr7:115047355-115047365(-) AGACAATAATA >hg38_chr7:115087705-115087715(+) CAACAATAGCT >hg38_chr7:115096368-115096378(+) gtacaatgcaa >hg38_chr7:115111324-115111334(+) ACACAAAGGCA >hg38_chr7:115135855-115135865(-) ATATAATGGGG >hg38_chr7:115137157-115137167(-) CAACAATGATC >hg38_chr7:115178980-115178990(+) TTACAATGCAG >hg38_chr7:115189512-115189522(+) TAACAATGTTC >hg38_chr7:115190456-115190466(-) GTACAATAGTA >hg38_chr7:115191238-115191248(+) TGATAATGGCA >hg38_chr7:115204814-115204824(-) TCACAATGGAG >hg38_chr7:115218693-115218703(-) CTACAATAGAT >hg38_chr7:115219724-115219734(+) ATACAAAGGAG >hg38_chr7:115219741-115219751(+) ATACAATAAAT >hg38_chr7:115223760-115223770(-) agacaataaat >hg38_chr7:115223771-115223781(-) aaataatgggt >hg38_chr7:115231339-115231349(+) TAACAAAGGCT >hg38_chr7:115250715-115250725(-) TGACAGTGGCG >hg38_chr7:115250750-115250760(+) GAACAATAGCA >hg38_chr7:115254250-115254260(+) GAACAATGTAT >hg38_chr7:115288741-115288751(-) ACACAATAAAA >hg38_chr7:115322504-115322514(-) CTATAATGGCA >hg38_chr7:115328074-115328084(+) ATACAATTGAT >hg38_chr7:115335345-115335355(-) TAACAATAGTT >hg38_chr7:115336219-115336229(+) GAACAATGAAT >hg38_chr7:115336258-115336268(+) GGACAATAATA >hg38_chr7:115341112-115341122(+) gcacaatgaac >hg38_chr7:115350826-115350836(+) TAACAATGAGG >hg38_chr7:115359426-115359436(+) TAACAATGTAA >hg38_chr7:115359698-115359708(+) TAACAATGgca >hg38_chr7:115360081-115360091(-) ATACAATGGTA >hg38_chr7:115389024-115389034(-) GAACAATAGTT >hg38_chr7:115426548-115426558(-) AAACAATAACG >hg38_chr7:115426563-115426573(-) TAACAATGGGT >hg38_chr7:115489614-115489624(+) AAACAATGGCA >hg38_chr7:115514307-115514317(+) gtacaatatga >hg38_chr7:115537538-115537548(-) aaacaatgcac >hg38_chr7:115541185-115541195(-) GAACAATGCTT >hg38_chr7:115550101-115550111(+) GCACAATAGCT >hg38_chr7:115550537-115550547(-) TTACAATGAAA >hg38_chr7:115550545-115550555(+) TAACAAAGGAC >hg38_chr7:115583413-115583423(-) taacaatgcca >hg38_chr7:115592225-115592235(-) GGACAATGAAC >hg38_chr7:115623632-115623642(+) TGATAATGGCT >hg38_chr7:115661446-115661456(+) GAACAATATGA >hg38_chr7:115671469-115671479(-) TTATAATGGGG >hg38_chr7:115671485-115671495(+) ATACACTGGGA >hg38_chr7:115718343-115718353(+) TTACAATAATA >hg38_chr7:115721644-115721654(+) AGACAATGGCC >hg38_chr7:115723219-115723229(-) ATATAATGTAT >hg38_chr7:115728803-115728813(+) TTACTATGGAC >hg38_chr7:115748385-115748395(-) CTACAATGGCC >hg38_chr7:115754397-115754407(+) ACACAATGGAC >hg38_chr7:115787635-115787645(+) GGACAATGGTG >hg38_chr7:115795110-115795120(-) taacaatgACA >hg38_chr7:115812979-115812989(-) CAACAATGGGT >hg38_chr7:115842993-115843003(+) AAACAAtgtga >hg38_chr7:115860559-115860569(-) TCACAATGGGT >hg38_chr7:115865286-115865296(-) ATACAATAAGT >hg38_chr7:115905740-115905750(+) CTACAATGCTT >hg38_chr7:115909604-115909614(-) CTATAATGGGA >hg38_chr7:115910767-115910777(-) GAACAATAAAA >hg38_chr7:115911824-115911834(-) GAACAATAATA >hg38_chr7:115933678-115933688(+) GAACAATAGCC >hg38_chr7:115935849-115935859(+) ACACAATAGAA >hg38_chr7:115942018-115942028(-) GAACAAAGGAA >hg38_chr7:115971852-115971862(+) TTACAAAGGGA >hg38_chr7:115977349-115977359(+) GGACAAAGGAA >hg38_chr7:115985967-115985977(-) ATACAATGTTC >hg38_chr7:115988581-115988591(+) ggacaatgaca >hg38_chr7:116011781-116011791(+) cgataatgagt >hg38_chr7:116013499-116013509(+) AAACAATAGCC >hg38_chr7:116110428-116110438(+) TGACAATGATG >hg38_chr7:116112335-116112345(-) GAACAAAGGTG >hg38_chr7:116134402-116134412(-) GTACAATGTGT >hg38_chr7:116139304-116139314(+) GAACAATGGCC >hg38_chr7:116163622-116163632(-) AGACAATGCCT >hg38_chr7:116169663-116169673(+) GGACAATATAT >hg38_chr7:116170018-116170028(+) AAACAATGCAA >hg38_chr7:116170309-116170319(-) ggacaatgaac >hg38_chr7:116170363-116170373(-) ctacaatgaga >hg38_chr7:116172672-116172682(+) ATACAATGTAA >hg38_chr7:116183146-116183156(-) acacaatgtat >hg38_chr7:116183831-116183841(+) caacaatgtgt >hg38_chr7:116210507-116210517(-) GAACAAAGGGG >hg38_chr7:116213402-116213412(-) CAACAATGTAA >hg38_chr7:116218366-116218376(+) CCACAATGAAC >hg38_chr7:116225594-116225604(+) TTACAGTGGAT >hg38_chr7:116227608-116227618(-) GGACAAAGGAA >hg38_chr7:116233039-116233049(+) TTACAATGTGG >hg38_chr7:116294692-116294702(+) atataatgata >hg38_chr7:116317361-116317371(-) GAACAATGCAA >hg38_chr7:116339501-116339511(-) AGACAATGTAG >hg38_chr7:116339510-116339520(+) CTACAGTGGTA >hg38_chr7:116362258-116362268(+) ATACAATGAGA >hg38_chr7:116425941-116425951(-) ccacaatgaaa >hg38_chr7:116443322-116443332(+) AAACAATGCCT >hg38_chr7:116506578-116506588(+) ATACAATGATC >hg38_chr7:116506637-116506647(+) ATACAATAAAA >hg38_chr7:116522518-116522528(+) TAACAATAGAT >hg38_chr7:116523672-116523682(+) CAACAATAGCC >hg38_chr7:116540291-116540301(-) CAATAATGGAA >hg38_chr7:116542112-116542122(-) ATACAATGCAT >hg38_chr7:116572164-116572174(+) TTACAATAGCA >hg38_chr7:116572170-116572180(-) ACACAATGCTA >hg38_chr7:116616990-116617000(-) GAACAATAACT >hg38_chr7:116640122-116640132(+) acacaatgata >hg38_chr7:116688580-116688590(+) GTACAATGGTA >hg38_chr7:116709335-116709345(+) AAACAATAGTG >hg38_chr7:116715058-116715068(+) CAACAATAGTG >hg38_chr7:116732314-116732324(-) TTACAATGGGA >hg38_chr7:116733196-116733206(-) gaacaatacta >hg38_chr7:116738744-116738754(-) TAACAAAGGAG >hg38_chr7:116738771-116738781(-) GAACAATTGTA >hg38_chr7:116760936-116760946(+) TAACAATGTTT >hg38_chr7:116761640-116761650(-) ACACAATAAAC >hg38_chr7:116763353-116763363(-) ATACAATGGCC >hg38_chr7:116764253-116764263(+) GTACAATAATT >hg38_chr7:116792618-116792628(+) ATACAATGCTC >hg38_chr7:116792997-116793007(-) CTACAATACGG >hg38_chr7:116794097-116794107(-) GTACAAAGGTT >hg38_chr7:116794143-116794153(-) GGACAATAAGA >hg38_chr7:116801055-116801065(-) GAACAATAATA >hg38_chr7:116802451-116802461(-) AGACAAAGGGC >hg38_chr7:116803018-116803028(+) ATACAAAGGCT >hg38_chr7:116803018-116803028(+) ATACAAAGGCT >hg38_chr7:116857221-116857231(-) agacaatgcag >hg38_chr7:116857265-116857275(-) taacaatagta >hg38_chr7:116866135-116866145(+) ACACAATGAAG >hg38_chr7:116884105-116884115(-) ATACACTGGAG >hg38_chr7:116884125-116884135(+) GCACAATGGCC >hg38_chr7:116909218-116909228(-) AGACAATGATT >hg38_chr7:116934306-116934316(+) GGACAATGCAT >hg38_chr7:116963241-116963251(-) ATACAATAATG >hg38_chr7:116988540-116988550(-) ATACACTGGTC >hg38_chr7:116991090-116991100(-) atacaatggag >hg38_chr7:117012506-117012516(+) gaacaatgcct >hg38_chr7:117019619-117019629(+) GAACAATAGTT >hg38_chr7:117020211-117020221(-) AGACAATAGCA >hg38_chr7:117021222-117021232(+) ATACAATGAAA >hg38_chr7:117021257-117021267(+) GCACAATGGGC >hg38_chr7:117021293-117021303(+) CTACAATGGAT >hg38_chr7:117035346-117035356(-) AAACAAAGGCG >hg38_chr7:117037579-117037589(-) ATATAATGGAT >hg38_chr7:117037595-117037605(-) ACACAATGTGC >hg38_chr7:117037625-117037635(-) GAACAATAGAA >hg38_chr7:117054289-117054299(-) GAACAATGCCT >hg38_chr7:117062852-117062862(+) AAATAATGGGT >hg38_chr7:117126131-117126141(-) TGACAATGTTA >hg38_chr7:117155363-117155373(-) ttacaatgaaa >hg38_chr7:117197696-117197706(-) taacaatgttc >hg38_chr7:117213179-117213189(-) GTACAATGGTG >hg38_chr7:117314826-117314836(+) ACACAATGCCA >hg38_chr7:117314838-117314848(+) ACACAATGGGT >hg38_chr7:117352109-117352119(-) atacaatagct >hg38_chr7:117352138-117352148(-) caacaatgcaa >hg38_chr7:117353148-117353158(+) gaacaataata >hg38_chr7:117387710-117387720(-) agactatggca >hg38_chr7:117423145-117423155(+) ggacaatcgaa >hg38_chr7:117431161-117431171(-) taataatggga >hg38_chr7:117431167-117431177(-) acacaataata >hg38_chr7:117479086-117479096(-) GAACAATGTAA >hg38_chr7:117479099-117479109(-) CGATAATGACA >hg38_chr7:117508792-117508802(+) ATACAATGTGG >hg38_chr7:117600544-117600554(-) TAATAATGTAA >hg38_chr7:117616498-117616508(-) GGACAAAGGAA >hg38_chr7:117616723-117616733(-) ACACAATAGGT >hg38_chr7:117631344-117631354(-) taacaaaggat >hg38_chr7:117645994-117646004(-) AAACAATGTAC >hg38_chr7:117653462-117653472(+) acataatggaa >hg38_chr7:117653499-117653509(+) ttacaatggca >hg38_chr7:117657094-117657104(+) ACACAATGCAA >hg38_chr7:117674025-117674035(+) GTACAATTGTA >hg38_chr7:117674026-117674036(-) ATACAATTGTA >hg38_chr7:117710278-117710288(-) TAACAATATGT >hg38_chr7:117710320-117710330(+) ATACAATGCAG >hg38_chr7:117721531-117721541(-) ACACAAAGGTA >hg38_chr7:117741172-117741182(+) CTACAATGCCT >hg38_chr7:117751128-117751138(+) CAACAATAGAC >hg38_chr7:117779081-117779091(+) AGACAATGGTG >hg38_chr7:117779129-117779139(+) AGACTATGGAG >hg38_chr7:117779271-117779281(+) TTACAAAGGCC >hg38_chr7:117822348-117822358(-) atacaatagga >hg38_chr7:117828538-117828548(-) CTACAATGAAG >hg38_chr7:117854044-117854054(+) ATACAATGCTA >hg38_chr7:117888640-117888650(-) TAACAATGCAG >hg38_chr7:117907176-117907186(-) aaacaatgcca >hg38_chr7:117953237-117953247(-) GTACAATGAGT >hg38_chr7:117963861-117963871(+) TTACAAAGGGT >hg38_chr7:117964802-117964812(+) taacaataaaa >hg38_chr7:117965108-117965118(+) GGACAATGTCC >hg38_chr7:117991225-117991235(-) GCACAATGAAT >hg38_chr7:117991256-117991266(+) GTACACTGGTT >hg38_chr7:118066931-118066941(+) TCACAATGTGC >hg38_chr7:118067165-118067175(-) GAACAAAGGGA >hg38_chr7:118072784-118072794(-) CAACAATGCCT >hg38_chr7:118072795-118072805(-) TAACAAAGGAA >hg38_chr7:118078684-118078694(-) ACACAATGAAA >hg38_chr7:118083886-118083896(-) CAACAATGATG >hg38_chr7:118106688-118106698(-) ACATAATGGAG >hg38_chr7:118132289-118132299(+) ctacaatgtca >hg38_chr7:118146520-118146530(-) taacaatagta >hg38_chr7:118153775-118153785(+) GTACAATATCT >hg38_chr7:118171003-118171013(+) TGACAATGGTC >hg38_chr7:118178096-118178106(+) ACACAATAGCT >hg38_chr7:118202764-118202774(-) GCACAAtggca >hg38_chr7:118245782-118245792(-) caacaatagca >hg38_chr7:118256272-118256282(+) agacaatagag >hg38_chr7:118354009-118354019(-) ggacaaaggac >hg38_chr7:118538589-118538599(-) aaacaatggct >hg38_chr7:118588664-118588674(-) agacaatggga >hg38_chr7:118614907-118614917(+) ggacaatagcc >hg38_chr7:118664650-118664660(-) ATACAGTGGTG >hg38_chr7:118792937-118792947(-) agacaataggg >hg38_chr7:118856605-118856615(+) AGACAATGCCA >hg38_chr7:118861596-118861606(+) ggacaaaggga >hg38_chr7:118918823-118918833(-) ATATAATGTAT >hg38_chr7:118933376-118933386(-) agacaatagac >hg38_chr7:118946363-118946373(+) taacaatatca >hg38_chr7:119047175-119047185(-) aaacaatgggt >hg38_chr7:119047232-119047242(+) ggacaatggtc >hg38_chr7:119200927-119200937(+) gaacaatgtcc >hg38_chr7:119249519-119249529(-) taacaataggg >hg38_chr7:119421280-119421290(+) AAACAAAGGAA >hg38_chr7:119469313-119469323(-) GTACAATGCTG >hg38_chr7:119595215-119595225(-) agataatgggg >hg38_chr7:119630721-119630731(+) taacaatggac >hg38_chr7:119748411-119748421(-) acacaatgcct >hg38_chr7:119753455-119753465(+) GAACAATAGCT >hg38_chr7:119834888-119834898(-) gaacaatagat >hg38_chr7:120007067-120007077(-) ggacaaaggat >hg38_chr7:120007096-120007106(-) tcacaaaggaa >hg38_chr7:120015168-120015178(-) taacaaaggac >hg38_chr7:120016187-120016197(-) GGACAATGTCT >hg38_chr7:120016399-120016409(-) TCACAATGCCT >hg38_chr7:120207317-120207327(+) gaacaaaggct >hg38_chr7:120256433-120256443(+) ggacaatgaaa >hg38_chr7:120270935-120270945(+) taactATGGAA >hg38_chr7:120338139-120338149(-) AGACAATGAAA >hg38_chr7:120367857-120367867(-) GGACAATGAAA >hg38_chr7:120461084-120461094(+) AGACAATGTGC >hg38_chr7:120461096-120461106(-) TAACAATAGGC >hg38_chr7:120477053-120477063(+) GCATAATGGTA >hg38_chr7:120486604-120486614(+) AAACAAAGGGG >hg38_chr7:120502253-120502263(+) agacaataggg >hg38_chr7:120598767-120598777(-) taacaatgcaa >hg38_chr7:120662494-120662504(+) ACACAATGGAT >hg38_chr7:120700801-120700811(+) AGACAATAGCT >hg38_chr7:120700843-120700853(-) GCATAATGGAT >hg38_chr7:120710182-120710192(+) GGACAATGAAG >hg38_chr7:120710226-120710236(-) TTACAATAAAA >hg38_chr7:120716460-120716470(-) ACACAATGGAG >hg38_chr7:120716527-120716537(+) GCATAATGGCT >hg38_chr7:120748095-120748105(+) GCACAATGAAA >hg38_chr7:120756592-120756602(-) TGACAAAGGAA >hg38_chr7:120757208-120757218(+) ACACAATGGGA >hg38_chr7:120757457-120757467(-) gtacaatgtgc >hg38_chr7:120783461-120783471(-) agacaatggga >hg38_chr7:120786564-120786574(-) AGACAATCGTT >hg38_chr7:120824661-120824671(+) TAATAATGGGT >hg38_chr7:120832946-120832956(+) AAACAATGCCA >hg38_chr7:120930046-120930056(+) GAACAATGCAT >hg38_chr7:120950490-120950500(-) AGAGAATGGCG >hg38_chr7:120952610-120952620(+) aaacaaaggaa >hg38_chr7:120967041-120967051(-) AGACAAAGGGC >hg38_chr7:121019570-121019580(+) AAACAATGCAA >hg38_chr7:121062051-121062061(-) AAACAATGACT >hg38_chr7:121074124-121074134(-) acacaatgtct >hg38_chr7:121074200-121074210(-) ggacaatgacc >hg38_chr7:121108123-121108133(-) GTACAATAGCA >hg38_chr7:121116644-121116654(-) GGACTATGGAT >hg38_chr7:121116675-121116685(+) ACACAAAGGCA >hg38_chr7:121122968-121122978(+) CAACAATGTCA >hg38_chr7:121126725-121126735(-) AAACAATGAAA >hg38_chr7:121127030-121127040(-) AGACAATGGAA >hg38_chr7:121135079-121135089(-) taacaatagat >hg38_chr7:121148190-121148200(+) ATACAATATGT >hg38_chr7:121151444-121151454(-) aaacaatgtgt >hg38_chr7:121164904-121164914(-) GAACAATATAG >hg38_chr7:121165973-121165983(-) TTACAATGTTT >hg38_chr7:121179746-121179756(-) GAACAATGACC >hg38_chr7:121205402-121205412(-) CAACAATGTGA >hg38_chr7:121271372-121271382(+) TAACAATGGGG >hg38_chr7:121295702-121295712(+) GGACAATGGTC >hg38_chr7:121307388-121307398(-) GAACAATGGAC >hg38_chr7:121355092-121355102(+) taacaaaggga >hg38_chr7:121358172-121358182(+) GCACAATGTCA >hg38_chr7:121358636-121358646(-) ACACAATGCCT >hg38_chr7:121367004-121367014(+) GCACAATGACA >hg38_chr7:121401638-121401648(+) AAACAATGATG >hg38_chr7:121402160-121402170(-) AAACAATGCAT >hg38_chr7:121416537-121416547(+) ccacaatgcaa >hg38_chr7:121432102-121432112(-) atacactggga >hg38_chr7:121464306-121464316(+) GCACAATGAAA >hg38_chr7:121464353-121464363(+) AAATAATGGAG >hg38_chr7:121474756-121474766(+) gaacaataaaa >hg38_chr7:121480263-121480273(-) GCACAATGTAG >hg38_chr7:121481168-121481178(+) ccacaatgcac >hg38_chr7:121508876-121508886(-) aaacaaaggga >hg38_chr7:121511392-121511402(+) gaacaaaggac >hg38_chr7:121530493-121530503(+) tgacaaaggaa >hg38_chr7:121534972-121534982(-) gaacaataata >hg38_chr7:121544848-121544858(+) taacaatgttg >hg38_chr7:121575180-121575190(+) TGACAATAGAA >hg38_chr7:121715122-121715132(+) TAACAAAGGGG >hg38_chr7:121763460-121763470(-) aaacaatagac >hg38_chr7:121763491-121763501(-) aaacaatgggt >hg38_chr7:121771277-121771287(+) agacaatcggg >hg38_chr7:121771301-121771311(+) acacaatgata >hg38_chr7:121771314-121771324(+) acacaatgaaa >hg38_chr7:121781563-121781573(+) GAACAATACAC >hg38_chr7:121798570-121798580(-) AAACAAAGGGA >hg38_chr7:121800908-121800918(+) GGACAATGAGA >hg38_chr7:121813003-121813013(+) aaacaatggat >hg38_chr7:121817286-121817296(+) ttacaaaggaa >hg38_chr7:121831551-121831561(+) GCACAATAGCA >hg38_chr7:121843811-121843821(-) CTACAAAGGTA >hg38_chr7:121872823-121872833(+) GGACAATGGGT >hg38_chr7:121873386-121873396(+) ATACACTGGAG >hg38_chr7:121884948-121884958(-) ACACAAAGGAG >hg38_chr7:121884993-121885003(+) TAACAATAAAT >hg38_chr7:121885003-121885013(+) TAACAATAAGC >hg38_chr7:121912293-121912303(+) AAACAATATAA >hg38_chr7:121912375-121912385(-) acacaatgaat >hg38_chr7:121920140-121920150(-) ATACAATGGGA >hg38_chr7:121931794-121931804(+) AAACAATGTGT >hg38_chr7:121953493-121953503(-) TGACAATGGAT >hg38_chr7:121983735-121983745(+) TTACAATGGCT >hg38_chr7:121983989-121983999(+) TTACAATGCAA >hg38_chr7:121991250-121991260(-) TGACAATGATA >hg38_chr7:121996037-121996047(+) ATACAATACGA >hg38_chr7:121996067-121996077(+) TAATAATGATA >hg38_chr7:122032195-122032205(+) GCACAAAGGTA >hg38_chr7:122032204-122032214(+) TAACAATAAAA >hg38_chr7:122065049-122065059(-) gaacaatagga >hg38_chr7:122070098-122070108(+) tcacaatgatc >hg38_chr7:122082868-122082878(-) GAATAATGGAT >hg38_chr7:122087989-122087999(-) tgacaatgatt >hg38_chr7:122088147-122088157(+) TGACAATGCTC >hg38_chr7:122095592-122095602(+) AAACAATAAAA >hg38_chr7:122098028-122098038(+) agacaatagca >hg38_chr7:122143493-122143503(+) TCACAATGGGC >hg38_chr7:122146140-122146150(-) agataatggag >hg38_chr7:122148987-122148997(-) CAACAATAGTA >hg38_chr7:122155841-122155851(+) gcacaatataa >hg38_chr7:122181052-122181062(-) ccacaatgaga >hg38_chr7:122187907-122187917(-) ttacaatagct >hg38_chr7:122187919-122187929(-) aaacaatgcaa >hg38_chr7:122259729-122259739(-) gaacaatgagg >hg38_chr7:122260562-122260572(+) AGACAATGGCA >hg38_chr7:122292120-122292130(-) GTACAATAAAG >hg38_chr7:122329420-122329430(+) TAACAATAGAA >hg38_chr7:122361682-122361692(+) AAACAATGGCA >hg38_chr7:122416516-122416526(+) GTACAATACCA >hg38_chr7:122428838-122428848(+) gtacaatgaat >hg38_chr7:122430976-122430986(-) TAACAATGCCC >hg38_chr7:122434172-122434182(+) TTACAATGTGC >hg38_chr7:122443081-122443091(-) AGACAATGGAT >hg38_chr7:122443093-122443103(-) AAACAATAGGG >hg38_chr7:122449101-122449111(-) aaacaataaat >hg38_chr7:122457480-122457490(+) GAACAATGACC >hg38_chr7:122457515-122457525(+) aaacaatgcaa >hg38_chr7:122461123-122461133(+) TCACAATAGCA >hg38_chr7:122467805-122467815(-) AGACAATGCTT >hg38_chr7:122469099-122469109(+) AAACAATAGTT >hg38_chr7:122469124-122469134(+) TAACTATGGCA >hg38_chr7:122471049-122471059(+) TTACAATAGGA >hg38_chr7:122517261-122517271(-) ctacaatggaa >hg38_chr7:122529103-122529113(+) TTACAATGCCA >hg38_chr7:122543546-122543556(+) AAATAATGGTG >hg38_chr7:122695744-122695754(+) TAACAAAGGTT >hg38_chr7:122715191-122715201(-) gaacaatgtga >hg38_chr7:122719481-122719491(+) AAACAAAGGCA >hg38_chr7:122736312-122736322(+) GCACAATGAAA >hg38_chr7:122736814-122736824(+) TGACAATGCTT >hg38_chr7:122739210-122739220(+) AAATAATGGCA >hg38_chr7:122750145-122750155(-) ATACAATAGTC >hg38_chr7:122750458-122750468(-) GAACAAAGGAA >hg38_chr7:122750502-122750512(-) AGACAAAGGCA >hg38_chr7:122781320-122781330(-) AGACAATAATA >hg38_chr7:122848303-122848313(+) GAACAATGGGG >hg38_chr7:122889665-122889675(+) AAACAATGGGC >hg38_chr7:122889723-122889733(+) GGACAAAGGAA >hg38_chr7:122909190-122909200(-) AAACAATGGAT >hg38_chr7:122968711-122968721(+) tcacaATGGCT >hg38_chr7:122972263-122972273(+) GAACAATGACA >hg38_chr7:122974491-122974501(+) agacaatgcct >hg38_chr7:123020167-123020177(-) caacaatagtg >hg38_chr7:123028551-123028561(+) ACACAATAGGC >hg38_chr7:123057313-123057323(+) ATATAATGGAG >hg38_chr7:123099849-123099859(-) TAACAATGTAG >hg38_chr7:123099917-123099927(+) GAACAATGTGA >hg38_chr7:123166029-123166039(-) gtataatgtaa >hg38_chr7:123260474-123260484(-) GGACAATAGGG >hg38_chr7:123323943-123323953(-) ctacaatgcac >hg38_chr7:123328575-123328585(-) GAACAATAAAG >hg38_chr7:123360094-123360104(-) TCACAATAGGG >hg38_chr7:123433641-123433651(-) TAACAATGTGA >hg38_chr7:123440337-123440347(-) atacaatgggg >hg38_chr7:123514242-123514252(+) caacaataggc >hg38_chr7:123517791-123517801(-) AGACAATGTAA >hg38_chr7:123517818-123517828(+) GAACAATGCTT >hg38_chr7:123550230-123550240(+) CTACAATGTTA >hg38_chr7:123555269-123555279(-) ctacaatgaag >hg38_chr7:123579876-123579886(-) AAACAATGGCA >hg38_chr7:123579928-123579938(-) GGACAATGTGG >hg38_chr7:123580929-123580939(+) TAACAATGCAC >hg38_chr7:123598466-123598476(+) TCACAATAGTG >hg38_chr7:123599418-123599428(-) CTACAATGCCA >hg38_chr7:123615027-123615037(-) CAACAATGGAA >hg38_chr7:123615979-123615989(-) TTACAATGCTA >hg38_chr7:123629302-123629312(+) GAATAATGGCT >hg38_chr7:123635524-123635534(-) gtataatggta >hg38_chr7:123637496-123637506(-) caacaatgtta >hg38_chr7:123643371-123643381(+) tcacaatagcc >hg38_chr7:123643428-123643438(+) acacaatgtgg >hg38_chr7:123643920-123643930(+) CAACAATGGAA >hg38_chr7:123680018-123680028(+) ATACAATAGGA >hg38_chr7:123695662-123695672(+) GAACAATAATA >hg38_chr7:123727530-123727540(+) gtacaataaca >hg38_chr7:123731494-123731504(+) gtacaatacac >hg38_chr7:123752722-123752732(-) CTACAATGGCT >hg38_chr7:123753848-123753858(-) agacaatagcc >hg38_chr7:123757492-123757502(-) tcacaatgctg >hg38_chr7:123770569-123770579(+) gaacaaaggct >hg38_chr7:123770608-123770618(+) acacaatgaag >hg38_chr7:123798074-123798084(-) AAACAATATAA >hg38_chr7:123888106-123888116(+) atacaatggaa >hg38_chr7:123903522-123903532(-) gaactatggga >hg38_chr7:123905994-123906004(-) agacaatgggg >hg38_chr7:123962064-123962074(+) tcactatggta >hg38_chr7:124022685-124022695(+) caacaatgctt >hg38_chr7:124075077-124075087(-) TGACAATAGTA >hg38_chr7:124083708-124083718(-) TGACTATGGAA >hg38_chr7:124085324-124085334(+) ACACAATGAAT >hg38_chr7:124104196-124104206(-) CAACAATAGCA >hg38_chr7:124113368-124113378(+) ttacaatggta >hg38_chr7:124114063-124114073(+) ggacaatgaaa >hg38_chr7:124127885-124127895(+) TGACAATGAAC >hg38_chr7:124131759-124131769(+) AAACAATAGAC >hg38_chr7:124164236-124164246(+) atacaatagac >hg38_chr7:124201794-124201804(-) gcacaatgccc >hg38_chr7:124246527-124246537(+) ATATAATGGGT >hg38_chr7:124255268-124255278(-) TTACAAAGGAT >hg38_chr7:124258742-124258752(+) GAACAATGAAA >hg38_chr7:124258808-124258818(+) TTACAATAGAG >hg38_chr7:124376856-124376866(+) taacaataaag >hg38_chr7:124390468-124390478(-) AAACAATGACA >hg38_chr7:124390488-124390498(+) GAACAATGAAT >hg38_chr7:124407251-124407261(-) TGACAATGTAC >hg38_chr7:124444320-124444330(+) tcacaatgact >hg38_chr7:124445910-124445920(+) gcacaatgctt >hg38_chr7:124445932-124445942(-) taacaatgtga >hg38_chr7:124470409-124470419(-) aaacaacggca >hg38_chr7:124507061-124507071(-) ATACAATGACA >hg38_chr7:124533577-124533587(-) ATACAATGTTT >hg38_chr7:124533605-124533615(+) GGACAAAGGAA >hg38_chr7:124549440-124549450(-) gaacaataatt >hg38_chr7:124549462-124549472(+) GAACAAAGGGT >hg38_chr7:124560497-124560507(+) agacaataaca >hg38_chr7:124560513-124560523(+) tgacaatgatg >hg38_chr7:124560541-124560551(-) gaacaatgtat >hg38_chr7:124565659-124565669(+) gtataatggag >hg38_chr7:124725027-124725037(+) TAACAATCGTT >hg38_chr7:124754728-124754738(-) TAACAATAATG >hg38_chr7:124810818-124810828(+) ctacaaaggga >hg38_chr7:124812253-124812263(-) AGACAATAGAT >hg38_chr7:124815292-124815302(-) AAACAATGGCA >hg38_chr7:124821984-124821994(+) GAATAATGGAC >hg38_chr7:124871015-124871025(+) CCACAATAGTT >hg38_chr7:124873561-124873571(-) acacaataaaa >hg38_chr7:124882394-124882404(+) GTACAATACAA >hg38_chr7:124919030-124919040(-) acacaaagacg >hg38_chr7:124922735-124922745(+) aaacaaaggga >hg38_chr7:125008823-125008833(+) acacaatagtg >hg38_chr7:125054757-125054767(-) AGACAATGGCC >hg38_chr7:125057061-125057071(+) GTACAAAGGGG >hg38_chr7:125136591-125136601(+) AAACAAAGGAA >hg38_chr7:125136899-125136909(-) AAACAATATAT >hg38_chr7:125136948-125136958(+) GAACAATGCTG >hg38_chr7:125255688-125255698(+) ggacaatataa >hg38_chr7:125258398-125258408(+) agacaatagtc >hg38_chr7:125318454-125318464(+) TTACAATGCCT >hg38_chr7:125660574-125660584(+) TGATAATGGAT >hg38_chr7:125750421-125750431(-) ATACAATGTAT >hg38_chr7:125847827-125847837(+) gaacaatgaag >hg38_chr7:126001509-126001519(-) AAACAATGGGC >hg38_chr7:126035541-126035551(+) CCACAATGGAA >hg38_chr7:126228564-126228574(+) TAACAATGTGT >hg38_chr7:126394512-126394522(-) AAACAATGCAA >hg38_chr7:126394544-126394554(+) TTACAATGAGT >hg38_chr7:126430766-126430776(+) CTACAATAGGC >hg38_chr7:126522834-126522844(-) AGATAATGGAG >hg38_chr7:126525078-126525088(+) ttataatggga >hg38_chr7:126531620-126531630(-) GAACAATAACA >hg38_chr7:126568998-126569008(+) GAACAATGCCT >hg38_chr7:126608550-126608560(+) GGACACTGGAC >hg38_chr7:126610116-126610126(+) TAACAGTGGCA >hg38_chr7:126682247-126682257(+) acacaataggc >hg38_chr7:126691946-126691956(-) TTACAATGAGG >hg38_chr7:126756041-126756051(+) caacaaTGATA >hg38_chr7:126773108-126773118(+) GTACAATAATG >hg38_chr7:126810468-126810478(+) ggacaatagcc >hg38_chr7:127019869-127019879(+) acacaatgagc >hg38_chr7:127081744-127081754(+) cgacaattgaa >hg38_chr7:127139902-127139912(+) CGACAATGTGC >hg38_chr7:127189297-127189307(+) ATACAATGAGT >hg38_chr7:127197650-127197660(+) GAACAATATAA >hg38_chr7:127198546-127198556(-) GAACAATAGCa >hg38_chr7:127224273-127224283(+) agacaaaggaa >hg38_chr7:127226119-127226129(+) CTACAATAGGA >hg38_chr7:127226144-127226154(-) AGACAATGAAT >hg38_chr7:127253673-127253683(-) AGACAATCGCC >hg38_chr7:127318381-127318391(+) GAATAATGGAT >hg38_chr7:127330606-127330616(+) caacaatgaat >hg38_chr7:127333246-127333256(-) ATACAATGGCC >hg38_chr7:127333269-127333279(+) GCACAATGATA >hg38_chr7:127343574-127343584(+) aaacaatgtta >hg38_chr7:127351195-127351205(-) aaacaatagtg >hg38_chr7:127374343-127374353(+) GAACAAAGGTT >hg38_chr7:127386121-127386131(+) TAACAAAGGAG >hg38_chr7:127386645-127386655(+) gcacaatgatg >hg38_chr7:127389609-127389619(+) TGACAATGAAC >hg38_chr7:127411905-127411915(-) GTACAATGTAA >hg38_chr7:127421284-127421294(+) acacaaaggtt >hg38_chr7:127437464-127437474(+) AAACAATGGAG >hg38_chr7:127449981-127449991(+) agacaataaac >hg38_chr7:127478484-127478494(+) TAACAATAATG >hg38_chr7:127482176-127482186(+) acacaatgaaa >hg38_chr7:127526223-127526233(+) acacaatggac >hg38_chr7:127536203-127536213(-) TGACAATGTAG >hg38_chr7:127536724-127536734(+) AAACAATGATG >hg38_chr7:127667895-127667905(-) AAACAATGCAG >hg38_chr7:127667905-127667915(-) ACATAATGGAA >hg38_chr7:127668587-127668597(+) gtacagtggtg >hg38_chr7:127683889-127683899(+) CAACAATGCAA >hg38_chr7:127683945-127683955(+) ATACAAAGGAG >hg38_chr7:127720520-127720530(-) CTACAATGTGT >hg38_chr7:127726753-127726763(-) CTACAATAGCA >hg38_chr7:127751402-127751412(-) AAACAATGCAT >hg38_chr7:127754286-127754296(-) GCACAATAGGA >hg38_chr7:127768335-127768345(+) TTACAAAGGGA >hg38_chr7:127780603-127780613(-) TTACAAAGGAA >hg38_chr7:127780642-127780652(-) GAACACTGGGA >hg38_chr7:127780677-127780687(+) TCATAATGACG >hg38_chr7:127808015-127808025(-) TTACAATAGGC >hg38_chr7:127820007-127820017(-) GCATAATGGGT >hg38_chr7:127834485-127834495(+) ATACAATGCAG >hg38_chr7:127834528-127834538(-) GAACAATGACA >hg38_chr7:127848187-127848197(+) aaacaatgctt >hg38_chr7:127848198-127848208(+) aaacaatagag >hg38_chr7:127855973-127855983(-) GAACAATAAAA >hg38_chr7:127869750-127869760(+) tcacaatgaag >hg38_chr7:127874316-127874326(-) AAACAATGGAT >hg38_chr7:127878128-127878138(-) AAACAATGAAG >hg38_chr7:127894857-127894867(+) cgataatagcg >hg38_chr7:127904453-127904463(+) GAACAATGCCT >hg38_chr7:127907586-127907596(+) TTACAATGAAC >hg38_chr7:127907644-127907654(-) CAACAATGTGT >hg38_chr7:127915800-127915810(+) atacaaaggtc >hg38_chr7:127915834-127915844(+) tcacaatggaa >hg38_chr7:127918705-127918715(-) CAACAATAGAA >hg38_chr7:127920113-127920123(+) GAATAATGGAA >hg38_chr7:127944157-127944167(-) TTACAAAGGGC >hg38_chr7:127944188-127944198(-) CAACAATGGAC >hg38_chr7:127944445-127944455(-) CCACAATAGAG >hg38_chr7:127968170-127968180(+) GAACAATGTTG >hg38_chr7:127968534-127968544(+) GGATAATGGTT >hg38_chr7:127974889-127974899(-) GGACAAAGGAA >hg38_chr7:127975662-127975672(+) GGACAAAGGGA >hg38_chr7:128011401-128011411(+) ATACAAAGGAT >hg38_chr7:128027747-128027757(+) GCACAATGCTA >hg38_chr7:128094077-128094087(+) ACACAATCGGC >hg38_chr7:128138441-128138451(-) agacaatgtgc >hg38_chr7:128138478-128138488(+) agacaatagca >hg38_chr7:128185112-128185122(+) atacaatggaa >hg38_chr7:128185141-128185151(+) taacaatgaac >hg38_chr7:128198642-128198652(+) ATACAATAGTT >hg38_chr7:128211827-128211837(-) GAACAAAGGAG >hg38_chr7:128235710-128235720(-) GGACAATGGCA >hg38_chr7:128273496-128273506(+) TGACAATGGTC >hg38_chr7:128362080-128362090(+) Gtacaatggac >hg38_chr7:128455659-128455669(+) ACACAATGACT >hg38_chr7:128697831-128697841(+) TCACAATAACG >hg38_chr7:128699952-128699962(-) agacaaaggac >hg38_chr7:128703231-128703241(+) gtacaatgcac >hg38_chr7:128740770-128740780(-) TGACAATGAGT >hg38_chr7:128740791-128740801(-) AAACAATGATT >hg38_chr7:128743363-128743373(-) ACATAATGGAC >hg38_chr7:128743372-128743382(-) ATACTATGGAC >hg38_chr7:128752501-128752511(+) GTACAGTGGCA >hg38_chr7:128755903-128755913(+) GTATAATGGAT >hg38_chr7:128768062-128768072(-) ATACAAAGGAC >hg38_chr7:128812679-128812689(-) CAACAATAGCA >hg38_chr7:128833839-128833849(-) GCACAATGGTT >hg38_chr7:128954854-128954864(+) aaacaatagaa >hg38_chr7:128955921-128955931(-) caacaatgttt >hg38_chr7:128985856-128985866(+) TAACAAAGGAC >hg38_chr7:129006779-129006789(-) GCACAATAATA >hg38_chr7:129023082-129023092(-) taacaatacta >hg38_chr7:129023467-129023477(-) ctacaatgtag >hg38_chr7:129023943-129023953(+) gaacaataaca >hg38_chr7:129033082-129033092(+) acacaatggtg >hg38_chr7:129044995-129045005(+) atacaacggga >hg38_chr7:129047061-129047071(+) TGACAATGCCA >hg38_chr7:129067003-129067013(-) AGACAAAGGCA >hg38_chr7:129089197-129089207(-) atacaattgat >hg38_chr7:129093575-129093585(-) gcacaatagga >hg38_chr7:129093591-129093601(-) ctacaatggca >hg38_chr7:129093609-129093619(+) ccacaatgtat >hg38_chr7:129248126-129248136(-) caacaaaggta >hg38_chr7:129250561-129250571(+) gtacaatgttg >hg38_chr7:129258855-129258865(-) TTATAATGGTT >hg38_chr7:129259562-129259572(+) CCAcaatgagt >hg38_chr7:129278525-129278535(+) tcacaatgcct >hg38_chr7:129288310-129288320(-) agacaaaggaa >hg38_chr7:129295496-129295506(-) ATACAATGGTC >hg38_chr7:129333964-129333974(+) acacaataggg >hg38_chr7:129341720-129341730(-) GGACAATAGTC >hg38_chr7:129348150-129348160(-) atacaatgctg >hg38_chr7:129375516-129375526(-) TAACAATAGCT >hg38_chr7:129377493-129377503(-) CGACAAGGGAC >hg38_chr7:129403178-129403188(-) AGACAATGAGA >hg38_chr7:129421764-129421774(-) AAACAATggga >hg38_chr7:129422152-129422162(+) ATACAATATAC >hg38_chr7:129426115-129426125(-) AAATAATGGGA >hg38_chr7:129471543-129471553(-) GGACAAAGGGT >hg38_chr7:129493737-129493747(+) gcataatggct >hg38_chr7:129493778-129493788(-) atacaatagct >hg38_chr7:129513836-129513846(-) AGACAACGGTG >hg38_chr7:129551002-129551012(+) GGACAATAGAG >hg38_chr7:129617019-129617029(-) AAACAATGCAA >hg38_chr7:129617033-129617043(-) ATACAATGCAT >hg38_chr7:129636867-129636877(-) ACATAATGGTT >hg38_chr7:129643358-129643368(-) GCACAAAGGAC >hg38_chr7:129651571-129651581(-) GCATAATGGAT >hg38_chr7:129684927-129684937(+) GAACAAAGGAG >hg38_chr7:129684957-129684967(+) AGACAATAGGA >hg38_chr7:129686804-129686814(+) TGACAAAGGCG >hg38_chr7:129737376-129737386(+) GCACAATGTGT >hg38_chr7:129737403-129737413(-) ATACAATGCAT >hg38_chr7:129772139-129772149(+) GGATAATGGCC >hg38_chr7:129779981-129779991(+) GAACAAAGGGG >hg38_chr7:129830127-129830137(-) AGACAAAGGAA >hg38_chr7:129835790-129835800(+) ACACAATAGGA >hg38_chr7:129840834-129840844(+) TAACAATGGGC >hg38_chr7:129854840-129854850(+) acacaatgaaa >hg38_chr7:129877898-129877908(-) AAACAATAGAG >hg38_chr7:129897712-129897722(-) GGACAATGGAA >hg38_chr7:129917840-129917850(+) ACACAATGCTC >hg38_chr7:129949524-129949534(+) AAACAATGAAT >hg38_chr7:130079500-130079510(-) taacaataaat >hg38_chr7:130079540-130079550(-) cgacaaagtaa >hg38_chr7:130099215-130099225(-) gaacactggaa >hg38_chr7:130099232-130099242(-) agataatggag >hg38_chr7:130100620-130100630(-) AAACAATAGCA >hg38_chr7:130127913-130127923(-) ATACAATGCCT >hg38_chr7:130134136-130134146(+) AAACAATAGAT >hg38_chr7:130203389-130203399(+) TGACTATGGAA >hg38_chr7:130228064-130228074(-) AAACAAAGGCT >hg38_chr7:130228753-130228763(+) GCACAAAGGGA >hg38_chr7:130235058-130235068(-) gaacaatagaa >hg38_chr7:130264484-130264494(-) GAACAATGGAA >hg38_chr7:130320133-130320143(+) acacaatgcag >hg38_chr7:130322730-130322740(-) TAACAATGGCC >hg38_chr7:130338529-130338539(-) AAACAATGGAA >hg38_chr7:130345339-130345349(-) CAACAATAGGC >hg38_chr7:130350565-130350575(-) ACACAATGCTC >hg38_chr7:130388378-130388388(+) GTACAAAGGTC >hg38_chr7:130401908-130401918(-) GAACAATGAAC >hg38_chr7:130421411-130421421(-) TAACAAAGGAC >hg38_chr7:130429846-130429856(+) ggacaatgact >hg38_chr7:130430340-130430350(-) TCACAATGTCA >hg38_chr7:130433043-130433053(+) gaacaatgctg >hg38_chr7:130554694-130554704(+) CCACAATGGCC >hg38_chr7:130650850-130650860(+) CAACAATGGAG >hg38_chr7:130695492-130695502(+) TAACAAAGGCT >hg38_chr7:130721684-130721694(+) gcacaatgaag >hg38_chr7:130728496-130728506(+) ATACAATGCTT >hg38_chr7:130728772-130728782(+) AGATAATGGGG >hg38_chr7:130810940-130810950(+) ttataatggca >hg38_chr7:130849986-130849996(+) taacgatggtt >hg38_chr7:130865687-130865697(+) CTACAAAGGTA >hg38_chr7:130894941-130894951(-) AAACAATAAAA >hg38_chr7:130910423-130910433(+) ACACAATGATG >hg38_chr7:130910981-130910991(-) AGACAAAGGGT >hg38_chr7:130911017-130911027(+) CCACAATGACT >hg38_chr7:130939389-130939399(+) AAACAATGTGA >hg38_chr7:130972406-130972416(-) cgacaatgtga >hg38_chr7:130972454-130972464(-) atacagtggac >hg38_chr7:130978689-130978699(+) CAACAATAGTA >hg38_chr7:130981861-130981871(+) gtacaatgtac >hg38_chr7:130989615-130989625(+) AAACAAAGGTA >hg38_chr7:131039208-131039218(-) ATACAGTGGCA >hg38_chr7:131040016-131040026(+) AAACAATGTTC >hg38_chr7:131062590-131062600(+) aaataatggga >hg38_chr7:131069727-131069737(+) taacaatgtaa >hg38_chr7:131084044-131084054(+) atacaattgat >hg38_chr7:131095856-131095866(-) GAACAATGACT >hg38_chr7:131096448-131096458(+) TGACAATGGAC >hg38_chr7:131160273-131160283(-) atacaatggaa >hg38_chr7:131196973-131196983(-) ACACAATGAGC >hg38_chr7:131213412-131213422(+) aaacaatgctg >hg38_chr7:131219409-131219419(+) AAACAAAGGCA >hg38_chr7:131255423-131255433(+) atacaatgtat >hg38_chr7:131255473-131255483(+) gaacaatggat >hg38_chr7:131314135-131314145(+) acacaaaggtt >hg38_chr7:131331292-131331302(-) TCACAATgcaa >hg38_chr7:131337055-131337065(-) aaacaatggaa >hg38_chr7:131406455-131406465(-) caacaatagaa >hg38_chr7:131406473-131406483(-) caacaatagag >hg38_chr7:131406972-131406982(-) aGACAATAGTT >hg38_chr7:131407013-131407023(-) agacaaaggca >hg38_chr7:131407727-131407737(-) ACACAAAGGCA >hg38_chr7:131407948-131407958(-) tgacaatgatg >hg38_chr7:131408000-131408010(+) tgacaatgtgc >hg38_chr7:131412796-131412806(-) ccacaatgaaa >hg38_chr7:131423840-131423850(-) tgacaatatac >hg38_chr7:131425878-131425888(-) GCACAAAGGAT >hg38_chr7:131438593-131438603(-) TGACAATGATG >hg38_chr7:131438605-131438615(-) TAACAATGATA >hg38_chr7:131452087-131452097(-) AAACAATGGCA >hg38_chr7:131452113-131452123(-) ctaTAATGGTT >hg38_chr7:131471856-131471866(-) GTACAATGAAC >hg38_chr7:131492058-131492068(+) TAATAATGGCT >hg38_chr7:131537925-131537935(-) acacaatagag >hg38_chr7:131544740-131544750(-) GGACAATGAGG >hg38_chr7:131545110-131545120(+) AAACAATGTCT >hg38_chr7:131556772-131556782(+) GAACAATGAGC >hg38_chr7:131569170-131569180(-) GAACAATGCTT >hg38_chr7:131570590-131570600(-) AGACAATGCAG >hg38_chr7:131589294-131589304(+) gcacaaaggac >hg38_chr7:131600770-131600780(-) AAACAATGATG >hg38_chr7:131604067-131604077(-) GTACAATGTGG >hg38_chr7:131604086-131604096(-) AGACAATAGCC >hg38_chr7:131626029-131626039(-) AGACAATGGGG >hg38_chr7:131654301-131654311(-) acacaatggac >hg38_chr7:131749933-131749943(+) TTACAATGAAT >hg38_chr7:131749946-131749956(-) CTACAAAGGAC >hg38_chr7:131790348-131790358(+) GAACAATAACC >hg38_chr7:131837722-131837732(-) TCACAATGCAA >hg38_chr7:131945258-131945268(+) GGACAAAGGAT >hg38_chr7:131976675-131976685(-) acacaatatta >hg38_chr7:132073114-132073124(-) GCACAATATAT >hg38_chr7:132076791-132076801(+) GAACAATGTCT >hg38_chr7:132108775-132108785(-) taacaaagggc >hg38_chr7:132139048-132139058(-) CAACAATAGAG >hg38_chr7:132142139-132142149(-) TTACAATAGCA >hg38_chr7:132234221-132234231(+) CAACAATGACT >hg38_chr7:132251385-132251395(-) AAACAAAGGCT >hg38_chr7:132275640-132275650(+) AAACAAAGGGG >hg38_chr7:132275687-132275697(-) CCACAATAGCA >hg38_chr7:132292998-132293008(+) gaacaaaggcc >hg38_chr7:132301625-132301635(-) AAACAATAGTG >hg38_chr7:132380261-132380271(-) TCACAATGCTG >hg38_chr7:132545166-132545176(-) AGACAATAGGA >hg38_chr7:132584954-132584964(-) GAACAAAGGCA >hg38_chr7:132655496-132655506(-) GCACAATGTCC >hg38_chr7:132677952-132677962(+) GAACAATGGCC >hg38_chr7:132679688-132679698(+) gcacaatgccc >hg38_chr7:132679738-132679748(+) tgaCTAtggtc >hg38_chr7:132688209-132688219(+) AGACAATGGAG >hg38_chr7:132732544-132732554(-) GAACAATATAT >hg38_chr7:132756269-132756279(+) TAACAATGGCA >hg38_chr7:132802975-132802985(+) TAACAATGCTT >hg38_chr7:132855631-132855641(+) GTATAATGGCT >hg38_chr7:132878279-132878289(-) CAACAATGATA >hg38_chr7:132879457-132879467(+) TCATAATGGAG >hg38_chr7:132886195-132886205(-) GAACAATGTAT >hg38_chr7:132887238-132887248(+) ATACAATGTTA >hg38_chr7:132896424-132896434(-) TTATAATGGAA >hg38_chr7:132896670-132896680(+) TGACAATGGCT >hg38_chr7:132924015-132924025(+) GAACAATAAGA >hg38_chr7:132925032-132925042(+) TTATAATGGAT >hg38_chr7:132932413-132932423(-) GAACAATAAAA >hg38_chr7:132937357-132937367(-) GAACAATAGTC >hg38_chr7:132939579-132939589(+) acacaatgatg >hg38_chr7:133054875-133054885(-) tgacaatggaa >hg38_chr7:133070854-133070864(+) ACACAATGGGC >hg38_chr7:133109218-133109228(+) CTATAATGGGA >hg38_chr7:133259011-133259021(-) GTACAATACAC >hg38_chr7:133259045-133259055(-) GGACAATGTTC >hg38_chr7:133264387-133264397(-) TAACAATACAA >hg38_chr7:133290199-133290209(+) taataatggtt >hg38_chr7:133305327-133305337(-) GGACAATAGTA >hg38_chr7:133315895-133315905(+) acataatggat >hg38_chr7:133373726-133373736(+) ATACAATAAAG >hg38_chr7:133394070-133394080(+) AAATAATGGGC >hg38_chr7:133433899-133433909(+) ATATAATGGCC >hg38_chr7:133433959-133433969(+) GTACAAAGGGC >hg38_chr7:133434416-133434426(-) TTACAATGGGC >hg38_chr7:133435653-133435663(+) TAACAATGGCA >hg38_chr7:133442990-133443000(+) TGACAATGTAA >hg38_chr7:133501732-133501742(-) GAACAAAGGCA >hg38_chr7:133516832-133516842(-) AGACAATGGCC >hg38_chr7:133550258-133550268(+) gcacaatgcca >hg38_chr7:133566668-133566678(+) ccacaatagca >hg38_chr7:133566880-133566890(+) TAACAATAGAC >hg38_chr7:133566910-133566920(+) AAACAATGAAC >hg38_chr7:133582395-133582405(+) AAACAATGCTC >hg38_chr7:133588276-133588286(+) ATACCATGGAC >hg38_chr7:133595560-133595570(-) gcacaatgcct >hg38_chr7:133605200-133605210(-) tgacaatagtg >hg38_chr7:133605482-133605492(+) gtataatggaa >hg38_chr7:133605553-133605563(-) ataccatggat >hg38_chr7:133668982-133668992(+) GCACAATAGAA >hg38_chr7:133673469-133673479(-) GAACAATGAAT >hg38_chr7:133674610-133674620(+) TTACAATGAAT >hg38_chr7:133716208-133716218(+) ttacaatgtgc >hg38_chr7:133729566-133729576(-) GCACAATGAGG >hg38_chr7:133730218-133730228(-) GTACAATGTAG >hg38_chr7:133737658-133737668(-) ACACAAAGGTC >hg38_chr7:133776280-133776290(+) CAACAATGCAG >hg38_chr7:133860505-133860515(+) GGACTATGGAA >hg38_chr7:133921811-133921821(-) gcataatggga >hg38_chr7:133931234-133931244(-) GAACAATAAAA >hg38_chr7:133932615-133932625(+) acataatggcc >hg38_chr7:133947546-133947556(-) CAACAATAGCT >hg38_chr7:133991041-133991051(+) caacaatgtat >hg38_chr7:134029012-134029022(-) TCACAATGGCT >hg38_chr7:134034849-134034859(-) aaacaataaaa >hg38_chr7:134060115-134060125(-) TAACAATGTTT >hg38_chr7:134061142-134061152(+) GAACAATGGCC >hg38_chr7:134126446-134126456(-) TGACAATAGAG >hg38_chr7:134128441-134128451(-) CAACAATGGAC >hg38_chr7:134130379-134130389(-) TAACAATGGTG >hg38_chr7:134158274-134158284(-) AGACAATAACA >hg38_chr7:134174972-134174982(+) gaacaatgttc >hg38_chr7:134197556-134197566(+) GGACAATGGCA >hg38_chr7:134219376-134219386(-) ACACAATGCAG >hg38_chr7:134271017-134271027(-) ccacaatgaga >hg38_chr7:134272048-134272058(+) atacaatgttg >hg38_chr7:134272064-134272074(+) aaacaatgata >hg38_chr7:134272284-134272294(-) ATACAATGAAT >hg38_chr7:134274731-134274741(-) caacaatgaaa >hg38_chr7:134328122-134328132(-) atacaatgtgt >hg38_chr7:134343175-134343185(-) GAACAATGGTG >hg38_chr7:134343198-134343208(-) GCACAATGCCC >hg38_chr7:134432757-134432767(-) CGACAATGATA >hg38_chr7:134432832-134432842(-) CAACAATGATT >hg38_chr7:134497799-134497809(+) ATACAATACGT >hg38_chr7:134502321-134502331(+) acacaacggac >hg38_chr7:134505025-134505035(+) ATACAATGTTG >hg38_chr7:134516181-134516191(-) ACACAATGACC >hg38_chr7:134517624-134517634(-) CAACAATAGGA >hg38_chr7:134620730-134620740(+) GCATAATGGAC >hg38_chr7:134620776-134620786(-) AAACAAAGGAA >hg38_chr7:134627935-134627945(+) taacaatgcta >hg38_chr7:134631090-134631100(-) ACACAATGACT >hg38_chr7:134662632-134662642(+) AAACAATGATT >hg38_chr7:134674975-134674985(-) ccacaatgaga >hg38_chr7:134675503-134675513(-) aaacaataatc >hg38_chr7:134675519-134675529(-) agacaatgaaa >hg38_chr7:134690659-134690669(+) ggacaatggcc >hg38_chr7:134739205-134739215(+) ACACAATGTGA >hg38_chr7:134747496-134747506(-) gaacaataggt >hg38_chr7:134779521-134779531(+) GGACAATGCAT >hg38_chr7:134783684-134783694(-) TTACAAAGGGA >hg38_chr7:134826663-134826673(-) GAACAATGAAC >hg38_chr7:134868455-134868465(+) GAACAAAGGAC >hg38_chr7:134926822-134926832(-) gtacaatgttg >hg38_chr7:134941467-134941477(-) AAATAATGGGA >hg38_chr7:134966020-134966030(+) GTACAATGAGC >hg38_chr7:134987920-134987930(+) AAACACTGGAA >hg38_chr7:134989638-134989648(-) AGACAATGTAC >hg38_chr7:134990174-134990184(-) AAACAAAGGAA >hg38_chr7:134990453-134990463(-) acataatggaa >hg38_chr7:134992511-134992521(-) aaacaatgtcc >hg38_chr7:135008383-135008393(-) agacaatgctc >hg38_chr7:135027688-135027698(-) agacaatggaa >hg38_chr7:135065486-135065496(+) gcacaatggag >hg38_chr7:135075789-135075799(-) ccacaatgaga >hg38_chr7:135084275-135084285(+) atacaatgtat >hg38_chr7:135114059-135114069(-) atacaatggaa >hg38_chr7:135161396-135161406(+) AAACAAAGGCA >hg38_chr7:135161434-135161444(+) GAACAATGGAG >hg38_chr7:135177515-135177525(+) atacaatgacg >hg38_chr7:135189697-135189707(-) TTATAATGGAG >hg38_chr7:135199784-135199794(+) GGATAATGGTG >hg38_chr7:135221923-135221933(+) gcataatggta >hg38_chr7:135225464-135225474(+) ttacaatagta >hg38_chr7:135226465-135226475(+) ccacaatgaga >hg38_chr7:135226492-135226502(+) ctacaatggtt >hg38_chr7:135226798-135226808(-) aaataatggtt >hg38_chr7:135261664-135261674(+) GAACAAAGGGC >hg38_chr7:135283669-135283679(-) GTACAATGGGG >hg38_chr7:135291997-135292007(-) agacaatagac >hg38_chr7:135298970-135298980(-) tgacaatgatg >hg38_chr7:135351487-135351497(+) aaacaatgcta >hg38_chr7:135371903-135371913(+) TTACAATGTCA >hg38_chr7:135380576-135380586(-) AAACAATGAAT >hg38_chr7:135388279-135388289(+) AAACAATCGCC >hg38_chr7:135413664-135413674(+) ACACAATGAGA >hg38_chr7:135428910-135428920(-) CCACAATGGAA >hg38_chr7:135428949-135428959(-) TCACAATGTTA >hg38_chr7:135448741-135448751(+) ggacaatatcg >hg38_chr7:135477565-135477575(-) gaacaatgtac >hg38_chr7:135481374-135481384(+) ccacaatgaga >hg38_chr7:135491656-135491666(+) agacaatgctt >hg38_chr7:135512917-135512927(-) gcacaatgcct >hg38_chr7:135512948-135512958(+) aaacaatggtc >hg38_chr7:135539643-135539653(+) GAACAATAGGC >hg38_chr7:135561721-135561731(-) acacaatgggt >hg38_chr7:135600834-135600844(-) TAACAATAAAA >hg38_chr7:135621454-135621464(+) CTACAATAGGC >hg38_chr7:135662876-135662886(-) GCACAATGAGC >hg38_chr7:135672948-135672958(-) AAACAATGGCA >hg38_chr7:135784089-135784099(-) AAACAATAGGG >hg38_chr7:135863516-135863526(+) ACACAATGGAG >hg38_chr7:135870046-135870056(+) acacaatataa >hg38_chr7:135923855-135923865(+) AGACAATGCTT >hg38_chr7:135943622-135943632(-) GAACAAAGGTA >hg38_chr7:135958254-135958264(+) GAACAATGTCT >hg38_chr7:135958283-135958293(+) CTACAATGTCT >hg38_chr7:135980224-135980234(+) ctacaatgagc >hg38_chr7:136018086-136018096(-) ccacaatggcc >hg38_chr7:136075064-136075074(-) AAACAATGCCT >hg38_chr7:136081511-136081521(-) atacaatgtac >hg38_chr7:136086802-136086812(-) ttacaaaggat >hg38_chr7:136133714-136133724(+) TAACAATAAAC >hg38_chr7:136136925-136136935(+) GAACAATAGGT >hg38_chr7:136153856-136153866(-) GTACcatggac >hg38_chr7:136157679-136157689(-) acactatggtt >hg38_chr7:136211933-136211943(-) ttacaatgtaa >hg38_chr7:136325702-136325712(+) TTACAATGCAC >hg38_chr7:136325716-136325726(+) ATACAATAGGG >hg38_chr7:136363842-136363852(-) ATACAATGATG >hg38_chr7:136380106-136380116(+) GTACAATAGAA >hg38_chr7:136395100-136395110(+) taataatgata >hg38_chr7:136395131-136395141(+) ctacaatgcaa >hg38_chr7:136406432-136406442(+) AGACAATGCCA >hg38_chr7:136422276-136422286(-) TAACAAAGGGA >hg38_chr7:136422296-136422306(-) GAACAATAACT >hg38_chr7:136437228-136437238(+) ccacaatgtta >hg38_chr7:136496706-136496716(+) CCACAATGGGG >hg38_chr7:136518771-136518781(-) GGACAATATAT >hg38_chr7:136582875-136582885(-) AAACAAAGGAC >hg38_chr7:136643574-136643584(-) TATCAATGGTA >hg38_chr7:136644855-136644865(+) ccacaatgaag >hg38_chr7:136657802-136657812(-) GAACAATAATC >hg38_chr7:136716480-136716490(-) AAACAATGCCA >hg38_chr7:136864371-136864381(-) AAACAATGCTT >hg38_chr7:136864594-136864604(-) TAATAATGGTT >hg38_chr7:136864636-136864646(-) TTACAATGGGT >hg38_chr7:136877756-136877766(-) GAACAATAACA >hg38_chr7:136892058-136892068(+) taacactggtt >hg38_chr7:136898442-136898452(-) TAACAATGTGC >hg38_chr7:136915986-136915996(-) CCACAATGGGA >hg38_chr7:136922801-136922811(-) AAACAATGCAA >hg38_chr7:136926781-136926791(-) GCACAATGACT >hg38_chr7:136958382-136958392(-) gtacaatagaa >hg38_chr7:136991555-136991565(-) CAACAATGTAT >hg38_chr7:137001302-137001312(+) TAACAATACAA >hg38_chr7:137018667-137018677(-) ATACAATGGCA >hg38_chr7:137028944-137028954(-) AGACAATGGGG >hg38_chr7:137055221-137055231(-) ttacaatgctt >hg38_chr7:137074821-137074831(+) TTACAATGTCA >hg38_chr7:137097770-137097780(+) ccacaatgttc >hg38_chr7:137097795-137097805(+) ctacaatgcta >hg38_chr7:137131423-137131433(-) CAACAATGGTT >hg38_chr7:137158067-137158077(+) AAATAATGGTG >hg38_chr7:137224766-137224776(+) GAACAAAGGAT >hg38_chr7:137276062-137276072(-) AAACAATAGTT >hg38_chr7:137289213-137289223(-) TGACAATAGCT >hg38_chr7:137302990-137303000(-) TTACAATAAAT >hg38_chr7:137306244-137306254(-) TAATAATGGGT >hg38_chr7:137325481-137325491(+) TGACTATGGAC >hg38_chr7:137326907-137326917(-) acacaatgagc >hg38_chr7:137328510-137328520(-) ATACAATAGGG >hg38_chr7:137342100-137342110(-) TGACAATGAAA >hg38_chr7:137354591-137354601(-) ATACAGTGGGA >hg38_chr7:137354620-137354630(-) ATACAGTGGGA >hg38_chr7:137372781-137372791(-) gaacaatgctg >hg38_chr7:137385941-137385951(-) taacaatgaat >hg38_chr7:137395409-137395419(-) GCACAAAGGGA >hg38_chr7:137409682-137409692(+) CGACAAAGGAT >hg38_chr7:137435420-137435430(+) GGACAATGAAG >hg38_chr7:137451709-137451719(+) TTACAATAGGT >hg38_chr7:137461652-137461662(-) gaacaaaggca >hg38_chr7:137461662-137461672(+) ccacaatgtct >hg38_chr7:137534306-137534316(+) TTATAATGGTC >hg38_chr7:137580113-137580123(-) CAACAATGAGC >hg38_chr7:137597680-137597690(+) GGACAATAACA >hg38_chr7:137608014-137608024(-) TTACAATAAAA >hg38_chr7:137608037-137608047(+) GGACAATGCCC >hg38_chr7:137608044-137608054(-) AGACAATGGGC >hg38_chr7:137613322-137613332(-) GCACAATGGGA >hg38_chr7:137613337-137613347(+) GAACAAAGGCT >hg38_chr7:137658731-137658741(-) AAACAAAGGAG >hg38_chr7:137715610-137715620(+) ATACTATGGCA >hg38_chr7:137718249-137718259(-) taacaataaca >hg38_chr7:137725715-137725725(-) AAACAAAGGTA >hg38_chr7:137731040-137731050(+) ccacaatggcc >hg38_chr7:137772916-137772926(+) AGACAATGGGG >hg38_chr7:137772953-137772963(+) TCACAATGGAA >hg38_chr7:137789420-137789430(-) TTACTATGGCA >hg38_chr7:137810546-137810556(+) TGACTATGGAT >hg38_chr7:137810554-137810564(-) acacaATGATC >hg38_chr7:137821182-137821192(-) GAACAATGCTC >hg38_chr7:137840364-137840374(+) GGACAATGGTC >hg38_chr7:137879479-137879489(-) ACACAATGCCT >hg38_chr7:137935151-137935161(+) taacaataatg >hg38_chr7:137935177-137935187(+) taacaatagtt >hg38_chr7:137971852-137971862(+) gaacaatacac >hg38_chr7:137975483-137975493(-) GAACAAAGGAC >hg38_chr7:138072359-138072369(+) taacaatggtg >hg38_chr7:138079715-138079725(+) ttacaatggat >hg38_chr7:138137792-138137802(-) gaacaatatat >hg38_chr7:138137809-138137819(-) gaacaataggt >hg38_chr7:138137852-138137862(+) atataatggca >hg38_chr7:138165121-138165131(-) gaacaaaggga >hg38_chr7:138170509-138170519(+) ACACAAAGGCA >hg38_chr7:138195494-138195504(+) caacaatagga >hg38_chr7:138227087-138227097(+) AGACTATGGAA >hg38_chr7:138246926-138246936(+) TTACAATGAGC >hg38_chr7:138247945-138247955(-) acacaatgggc >hg38_chr7:138338399-138338409(-) Aaacaatgggg >hg38_chr7:138359947-138359957(-) taacaaaggct >hg38_chr7:138359972-138359982(+) agacaatgggg >hg38_chr7:138460544-138460554(+) GGACAATGGAG >hg38_chr7:138474975-138474985(+) taacaatgtag >hg38_chr7:138477046-138477056(-) acacaaagggt >hg38_chr7:138483417-138483427(-) caacaatggag >hg38_chr7:138495479-138495489(-) ctacaatgtaa >hg38_chr7:138577507-138577517(+) AAACAGTGGAC >hg38_chr7:138586512-138586522(-) AAACAATGGGG >hg38_chr7:138586556-138586566(-) TAACAATACAT >hg38_chr7:138668298-138668308(+) taacaataaaa >hg38_chr7:138727433-138727443(+) AAACAATAACC >hg38_chr7:138753059-138753069(-) ggacaatgagg >hg38_chr7:138753067-138753077(-) taacaatagga >hg38_chr7:138886177-138886187(+) AGACAATATAT >hg38_chr7:138975803-138975813(+) TTATAATGACG >hg38_chr7:138991570-138991580(+) GAACAATGAGA >hg38_chr7:138991579-138991589(+) GAACAATGGGT >hg38_chr7:139124648-139124658(-) gaacaaaggtt >hg38_chr7:139182595-139182605(+) TAACAATGTAG >hg38_chr7:139233978-139233988(-) ATACAATGGAT >hg38_chr7:139234035-139234045(-) ATACACTGGCA >hg38_chr7:139252383-139252393(-) ctacaatggca >hg38_chr7:139255700-139255710(-) ATACAATAAAT >hg38_chr7:139255956-139255966(-) ggacaatatta >hg38_chr7:139276350-139276360(+) ACATAATGGCC >hg38_chr7:139294052-139294062(+) AAACAATGTGA >hg38_chr7:139300907-139300917(-) TTATAATGGAA >hg38_chr7:139301847-139301857(-) GCACAATAGAA >hg38_chr7:139341380-139341390(+) GGACAATGGCG >hg38_chr7:139356695-139356705(-) ccacaatagag >hg38_chr7:139415786-139415796(-) gcacaatagct >hg38_chr7:139428752-139428762(-) taacaataaat >hg38_chr7:139472122-139472132(-) ACACAATAGGG >hg38_chr7:139577630-139577640(-) TAACTATGGTT >hg38_chr7:139607362-139607372(+) ggacaatgtaa >hg38_chr7:139613990-139614000(+) TAACAATGAAA >hg38_chr7:139614065-139614075(+) TCACAAAGGAT >hg38_chr7:139627161-139627171(+) taacaatggga >hg38_chr7:139627198-139627208(+) taacaaaggct >hg38_chr7:139649071-139649081(+) GAACAATGCAG >hg38_chr7:139659091-139659101(+) AAACAATAGGG >hg38_chr7:139673610-139673620(-) TGATAATGGTA >hg38_chr7:139725167-139725177(+) gtacaatgcaa >hg38_chr7:139771586-139771596(-) ctacaatagcc >hg38_chr7:139777047-139777057(-) GAACAATGAAA >hg38_chr7:139783114-139783124(+) GAACAATAGAG >hg38_chr7:139862849-139862859(-) GAACAAAGGCA >hg38_chr7:139863581-139863591(+) Agacaataaaa >hg38_chr7:139968902-139968912(-) TAACAAAGGTC >hg38_chr7:139969520-139969530(-) tgacaaaggat >hg38_chr7:140004069-140004079(+) caacaatgggt >hg38_chr7:140133428-140133438(+) CAATAATGGGT >hg38_chr7:140166962-140166972(+) aaacaataacc >hg38_chr7:140201705-140201715(-) AGACAATGCAG >hg38_chr7:140205745-140205755(-) AAACAATAGTT >hg38_chr7:140325754-140325764(+) caacaatggaa >hg38_chr7:140349248-140349258(+) GAACAATGGAC >hg38_chr7:140349774-140349784(+) GAACAATAGCT >hg38_chr7:140349791-140349801(+) GAACAAAGGAA >hg38_chr7:140383015-140383025(+) gtataatggtt >hg38_chr7:140384111-140384121(+) caacaataggg >hg38_chr7:140403995-140404005(-) GTACAAAGCGC >hg38_chr7:140447959-140447969(+) AGATAATGGGG >hg38_chr7:140485126-140485136(+) agacaatgtgt >hg38_chr7:140541574-140541584(+) TTACTATGGTG >hg38_chr7:140604959-140604969(-) TTACAATAACA >hg38_chr7:140636250-140636260(+) GCACAATGAAC >hg38_chr7:140683948-140683958(-) gaacaatggcc >hg38_chr7:140732594-140732604(+) GAACAATGAGT >hg38_chr7:140737503-140737513(-) aaacaatagaa >hg38_chr7:140754742-140754752(+) TAATAATGGCT >hg38_chr7:140779087-140779097(+) aaacaatgttg >hg38_chr7:140784325-140784335(+) CCACAATGTCT >hg38_chr7:140786306-140786316(+) TAACAATGTTT >hg38_chr7:140799800-140799810(-) AAACAATGTAA >hg38_chr7:140799810-140799820(-) AGACAATGGCA >hg38_chr7:140819141-140819151(-) GAACAATGCTC >hg38_chr7:140819155-140819165(-) TTACAAAGGTT >hg38_chr7:140835764-140835774(-) GAACTATGGAC >hg38_chr7:140853491-140853501(-) gaacaataaga >hg38_chr7:140862170-140862180(+) tcacaatgaac >hg38_chr7:140874630-140874640(+) CAACAATAGGA >hg38_chr7:140876093-140876103(+) aaacaatagca >hg38_chr7:140877640-140877650(+) taacaatatca >hg38_chr7:140881164-140881174(-) gaacaatgaag >hg38_chr7:140885831-140885841(+) aaacaatgagt >hg38_chr7:140885880-140885890(-) ttactatggct >hg38_chr7:140891641-140891651(-) acacaatgcac >hg38_chr7:140923592-140923602(+) GCACAATGGAC >hg38_chr7:140946083-140946093(-) agacaatgcaa >hg38_chr7:140947094-140947104(-) CAACAATGTTT >hg38_chr7:140997427-140997437(+) caacaatggct >hg38_chr7:141012609-141012619(+) aaacaataGTT >hg38_chr7:141012650-141012660(-) CCACAATGGTA >hg38_chr7:141013441-141013451(+) AAACAAAGGCC >hg38_chr7:141031920-141031930(+) aaacaatgatc >hg38_chr7:141102204-141102214(-) ATACAATGAAT >hg38_chr7:141239017-141239027(+) TCACAATGGAG >hg38_chr7:141333582-141333592(-) CCACAATGGTC >hg38_chr7:141354765-141354775(-) AAACAAAGGAC >hg38_chr7:141354783-141354793(-) TAACAATGGCT >hg38_chr7:141435687-141435697(-) tcacaataGGA >hg38_chr7:141435700-141435710(+) aaacgatggtt >hg38_chr7:141459694-141459704(+) GGACAATGGCA >hg38_chr7:141459722-141459732(-) TTACAATGGGA >hg38_chr7:141544976-141544986(+) AAACAATGTTG >hg38_chr7:141585103-141585113(-) GAACAATGAAA >hg38_chr7:141600882-141600892(-) CAACAATGCtt >hg38_chr7:141601268-141601278(-) TAACAATAGTC >hg38_chr7:141606630-141606640(-) gaataatggct >hg38_chr7:141606661-141606671(-) aaactatggac >hg38_chr7:141614620-141614630(+) aaacaatacta >hg38_chr7:141614921-141614931(-) GCACAATGTAC >hg38_chr7:141614957-141614967(-) ATACAATAGAT >hg38_chr7:141617548-141617558(-) TAACTATGGTA >hg38_chr7:141840472-141840482(-) gaacaatgcct >hg38_chr7:141859391-141859401(-) gcacaatgtgc >hg38_chr7:141874984-141874994(-) ATACAATAGAT >hg38_chr7:141954545-141954555(-) tgacaatggct >hg38_chr7:141999108-141999118(-) caacaatgaac >hg38_chr7:141999146-141999156(-) ctacaatgtgc >hg38_chr7:142018449-142018459(-) aaacaatgaga >hg38_chr7:143172556-143172566(+) aaacaatgttg >hg38_chr7:143185794-143185804(+) ggacaaaggag >hg38_chr7:143243919-143243929(-) TGACAATGCAT >hg38_chr7:143279525-143279535(+) ACACAATGCTC >hg38_chr7:143280040-143280050(+) ACACAAAGGTA >hg38_chr7:143280054-143280064(-) TGACAATAGAT >hg38_chr7:143281827-143281837(+) agacaaaGGCA >hg38_chr7:143288761-143288771(-) GAACAAAGGGA >hg38_chr7:143399365-143399375(+) AGACAATGGGG >hg38_chr7:143477947-143477957(-) GCACAATGAAG >hg38_chr7:143517540-143517550(-) AAACAAAGGTC >hg38_chr7:143518762-143518772(+) AGACAAAGGAG >hg38_chr7:143737282-143737292(-) CCACAATGGGA >hg38_chr7:143901767-143901777(+) TAACAATGCGG >hg38_chr7:143907067-143907077(+) CAACAATGCCC >hg38_chr7:143923963-143923973(+) acacaatgttc >hg38_chr7:143924911-143924921(-) TGACAATGTAG >hg38_chr7:143965789-143965799(+) gtacaatagca >hg38_chr7:144006487-144006497(+) GAACAATGCTT >hg38_chr7:144019710-144019720(+) agacaatgtca >hg38_chr7:144022720-144022730(-) GTACAATGCTA >hg38_chr7:144023631-144023641(+) TAACAATAGGG >hg38_chr7:144030240-144030250(+) caacaatgtag >hg38_chr7:144083536-144083546(-) gtacaatgtta >hg38_chr7:144105036-144105046(+) TAACAATGCTT >hg38_chr7:144105048-144105058(-) GAACAATGAGA >hg38_chr7:144126134-144126144(-) GCACAATATAA >hg38_chr7:144166406-144166416(+) ACACAATAAAA >hg38_chr7:144166443-144166453(+) acacaatagaa >hg38_chr7:144256243-144256253(+) TGACAATGAAT >hg38_chr7:144408739-144408749(-) GAACAATGATC >hg38_chr7:144414401-144414411(-) ttacaatgtct >hg38_chr7:144414409-144414419(-) tcacaatgtta >hg38_chr7:144439980-144439990(-) TTACAATGTTT >hg38_chr7:144503543-144503553(-) tcacaatggtt >hg38_chr7:144503559-144503569(-) gaacaaaggcc >hg38_chr7:144711087-144711097(-) GCACAATGGGT >hg38_chr7:144803419-144803429(-) ctacaatgtct >hg38_chr7:144826560-144826570(+) AGACAATGGTG >hg38_chr7:144835965-144835975(+) ACACAATGGCT >hg38_chr7:144854904-144854914(-) GCACAATGGCA >hg38_chr7:144854977-144854987(-) ACACAATGTTT >hg38_chr7:144907740-144907750(-) TCACAATGGTT >hg38_chr7:144936469-144936479(-) agacaaagggt >hg38_chr7:144958628-144958638(+) gaacaatgaca >hg38_chr7:145016140-145016150(+) TTACAATGGCT >hg38_chr7:145368256-145368266(-) TAACAAAGGAG >hg38_chr7:145429382-145429392(+) GAACAATGGAG >hg38_chr7:145630364-145630374(-) cgacaatgcag >hg38_chr7:145675365-145675375(-) TAACAATGGTC >hg38_chr7:145675395-145675405(-) GAACAATGTCT >hg38_chr7:146144614-146144624(-) TAACAATGGCT >hg38_chr7:146255825-146255835(-) TCACAATGGAC >hg38_chr7:146266194-146266204(+) AGACAAAGGCA >hg38_chr7:146367141-146367151(-) CAACAATGAAT >hg38_chr7:146367200-146367210(-) gtacaatgtgt >hg38_chr7:146412132-146412142(-) TAACAATGTTg >hg38_chr7:146433014-146433024(+) AAACAATGGTG >hg38_chr7:146433091-146433101(-) TTACAATAGAG >hg38_chr7:146654149-146654159(+) TAACAATGATG >hg38_chr7:146789955-146789965(+) TAACAATATCC >hg38_chr7:146789963-146789973(-) ATACAATGGGA >hg38_chr7:146791900-146791910(-) GGACAAAGGAA >hg38_chr7:146865892-146865902(+) ACACAATGACC >hg38_chr7:146865937-146865947(-) AGACAATAGTA >hg38_chr7:146874907-146874917(-) gcacaataggc >hg38_chr7:146991924-146991934(-) TAACAATACAT >hg38_chr7:147014058-147014068(+) TAACAATGCCT >hg38_chr7:147087196-147087206(-) CAATAATGGGA >hg38_chr7:147087240-147087250(-) CCACAATGAGA >hg38_chr7:147145313-147145323(-) caacaatgtct >hg38_chr7:147145364-147145374(+) acacaatgaat >hg38_chr7:147280021-147280031(+) TTACAATGGAC >hg38_chr7:147280282-147280292(+) AAACAATAACA >hg38_chr7:147359427-147359437(+) gcacaatgggc >hg38_chr7:147457096-147457106(-) GAACAAAGGAA >hg38_chr7:147478852-147478862(+) TAACAATGTGC >hg38_chr7:147571982-147571992(-) TTACAAAGGTT >hg38_chr7:147586194-147586204(+) agacaaaggtt >hg38_chr7:147647582-147647592(-) AAACAATGAAG >hg38_chr7:147647617-147647627(+) TTACAATAACA >hg38_chr7:147894475-147894485(+) GAACAATAGTG >hg38_chr7:147991254-147991264(+) ggacaatgcct >hg38_chr7:148010841-148010851(-) gaacaaaggaa >hg38_chr7:148010850-148010860(-) tgacaatgtga >hg38_chr7:148020794-148020804(+) ACACAATGTGT >hg38_chr7:148107724-148107734(+) AAACAATAGAA >hg38_chr7:148141615-148141625(+) gaacaatgaac >hg38_chr7:148153635-148153645(-) AGACAATGACC >hg38_chr7:148153652-148153662(+) GAACAATGCCA >hg38_chr7:148522412-148522422(+) GAACAATGCCT >hg38_chr7:148525145-148525155(-) agacaatgtgc >hg38_chr7:148564829-148564839(+) CAACAATGGAA >hg38_chr7:148567611-148567621(+) AGACAATGAGA >hg38_chr7:148567664-148567674(+) AGACAAAGGTA >hg38_chr7:148570448-148570458(-) agacaatgcta >hg38_chr7:148588721-148588731(-) tgacaatgccc >hg38_chr7:148598366-148598376(+) aaacaatatta >hg38_chr7:148607291-148607301(-) gaacaaaggtt >hg38_chr7:148699626-148699636(-) CAACAATGCAG >hg38_chr7:148703264-148703274(-) CCACAATGTTA >hg38_chr7:148706553-148706563(+) ACACAAAGGGA >hg38_chr7:148733440-148733450(-) gtactatgcgt >hg38_chr7:148747418-148747428(-) TCACAAtggtt >hg38_chr7:148773591-148773601(+) TGATAATGGTG >hg38_chr7:148783129-148783139(-) CGACAATGGAA >hg38_chr7:148900911-148900921(+) AAACAATGACA >hg38_chr7:148900977-148900987(+) GTACAATAGTA >hg38_chr7:148919284-148919294(-) GAACAATAGCT >hg38_chr7:148923674-148923684(+) TCACAATATAC >hg38_chr7:148928599-148928609(+) AAACAATGGCT >hg38_chr7:148941263-148941273(+) CCACAATGCAT >hg38_chr7:148941507-148941517(-) taacaataacc >hg38_chr7:148941526-148941536(-) agacaatgtta >hg38_chr7:148983025-148983035(+) GGACTATGGCG >hg38_chr7:148983694-148983704(+) TAACTATGGTA >hg38_chr7:148983741-148983751(-) ACACAAAGGCA >hg38_chr7:149023805-149023815(+) AAACAAAGACG >hg38_chr7:149028802-149028812(+) GAACAAAGGCG >hg38_chr7:149172342-149172352(-) ggacaaaggga >hg38_chr7:149219181-149219191(-) tgacaaaggat >hg38_chr7:149219229-149219239(+) taacaaaggca >hg38_chr7:149239579-149239589(+) GCACAATGGGA >hg38_chr7:149410404-149410414(+) TGACAATGGTC >hg38_chr7:149414076-149414086(-) TAATAATGGCT >hg38_chr7:149415605-149415615(-) AGACAATGCTT >hg38_chr7:149423492-149423502(-) TGACAATGTGC >hg38_chr7:149463999-149464009(+) TCACAATAGGA >hg38_chr7:149464437-149464447(-) tcacaatgtta >hg38_chr7:149517523-149517533(+) caacaatagta >hg38_chr7:149539205-149539215(+) ctacaatggtg >hg38_chr7:149544518-149544528(+) ctacaatgctg >hg38_chr7:149582845-149582855(+) TGACAATGTGC >hg38_chr7:149669391-149669401(-) aaacaaaggag >hg38_chr7:149680265-149680275(-) taacaatgagc >hg38_chr7:149680294-149680304(-) atacaataatc >hg38_chr7:149680911-149680921(-) atacaatatgc >hg38_chr7:149727559-149727569(-) CGACAAAGGCA >hg38_chr7:149862852-149862862(+) GGACAATGCCT >hg38_chr7:149881194-149881204(+) GCACAATGGAG >hg38_chr7:149882847-149882857(+) ttacaaagggc >hg38_chr7:150356672-150356682(+) TAACAATGCTG >hg38_chr7:150360293-150360303(+) ggacaatgcca >hg38_chr7:150368542-150368552(+) GGACAAAGGGT >hg38_chr7:150378389-150378399(+) TTACAATGGGG >hg38_chr7:150379169-150379179(+) GAACAAAGGAG >hg38_chr7:150402091-150402101(-) Caacaatagct >hg38_chr7:150404093-150404103(-) AGACAATGGAT >hg38_chr7:150413899-150413909(+) GGACAATGACG >hg38_chr7:150480993-150481003(+) atacagtggac >hg38_chr7:150516769-150516779(+) taacaatgtca >hg38_chr7:150655743-150655753(-) ggacaatgccc >hg38_chr7:150703829-150703839(-) taacaatgtac >hg38_chr7:150703880-150703890(-) atacaataact >hg38_chr7:150736086-150736096(-) ttacaaagggt >hg38_chr7:150738971-150738981(-) CAACAATAGCT >hg38_chr7:150778846-150778856(+) ATACAATGTTT >hg38_chr7:150877244-150877254(-) AGACAAAGGCA >hg38_chr7:150925169-150925179(+) agacaatgaag >hg38_chr7:150928202-150928212(+) caacaaaggac >hg38_chr7:150969345-150969355(+) caaCAATAGAA >hg38_chr7:151285283-151285293(+) agacaatgtgg >hg38_chr7:151305026-151305036(-) taacgatggaa >hg38_chr7:151328717-151328727(+) aaacaatggtt >hg38_chr7:151410027-151410037(+) GAACAATACGG >hg38_chr7:151457011-151457021(-) agacaatggga >hg38_chr7:151458609-151458619(+) caacaatagca >hg38_chr7:151459983-151459993(+) CAACAATGCCC >hg38_chr7:151461989-151461999(+) GCACAATGCAC >hg38_chr7:151463063-151463073(+) tgacaatagca >hg38_chr7:151482189-151482199(+) CAACAATGCCA >hg38_chr7:151490878-151490888(+) ACACAATGGCT >hg38_chr7:151494553-151494563(+) AGACAATGTAG >hg38_chr7:151507203-151507213(+) AAACAATGAAC >hg38_chr7:151507247-151507257(+) ACACAATGCCA >hg38_chr7:151507268-151507278(+) TAACAATCGTA >hg38_chr7:151530784-151530794(+) gcataatggac >hg38_chr7:151538471-151538481(-) TAACAATAGAG >hg38_chr7:151572486-151572496(-) GAACAATATAA >hg38_chr7:151598396-151598406(+) taacaataacg >hg38_chr7:151598404-151598414(-) atacaatacgt >hg38_chr7:151696138-151696148(-) GAACAAAGGCC >hg38_chr7:151736558-151736568(-) TCACAATGGGA >hg38_chr7:151740657-151740667(+) AAACAATGCTA >hg38_chr7:151834403-151834413(-) aaacaatggct >hg38_chr7:152068376-152068386(-) atacaatggag >hg38_chr7:152081356-152081366(-) GCACAATACCG >hg38_chr7:152081793-152081803(-) AAACAAAGGAT >hg38_chr7:152081948-152081958(-) acacaatagag >hg38_chr7:152081997-152082007(+) ggacaatggga >hg38_chr7:152096017-152096027(+) ttacaatagca >hg38_chr7:152104977-152104987(-) gcacaatgctt >hg38_chr7:152161681-152161691(+) ACACAATAGCT >hg38_chr7:152198234-152198244(+) CAACAATGAGG >hg38_chr7:152293089-152293099(+) taacaatgaca >hg38_chr7:152314221-152314231(+) AAACAATGAGC >hg38_chr7:152314251-152314261(+) CAACAATGATT >hg38_chr7:152314722-152314732(-) ATACAATAGCA >hg38_chr7:152327109-152327119(+) TAACAATGGCA >hg38_chr7:152336973-152336983(+) GTACAGTGGTA >hg38_chr7:152349729-152349739(-) ggacaaaggta >hg38_chr7:152354061-152354071(+) GGACAATGGAC >hg38_chr7:152381531-152381541(-) TTACTATGGAA >hg38_chr7:152399588-152399598(-) tcacaatgagc >hg38_chr7:152400540-152400550(+) caacaatggac >hg38_chr7:152460279-152460289(+) GGACAATGGCC >hg38_chr7:152465149-152465159(-) ACATAATGGTC >hg38_chr7:152465183-152465193(-) AAACAATGCGT >hg38_chr7:152465198-152465208(+) TAACAATGACC >hg38_chr7:152524076-152524086(+) GCACAATGGTC >hg38_chr7:152575435-152575445(-) GGACAATGGGT >hg38_chr7:152672560-152672570(+) tcacaatgctt >hg38_chr7:152699300-152699310(+) GAACAATGGAG >hg38_chr7:152709987-152709997(+) GTACAATAGGC >hg38_chr7:152710305-152710315(+) AGACAATGGGG >hg38_chr7:152736651-152736661(+) ggacaatggga >hg38_chr7:152969521-152969531(-) AGACAATGGCT >hg38_chr7:153022944-153022954(+) AGACAATGATG >hg38_chr7:153127067-153127077(+) GAACAATAGCC >hg38_chr7:153130179-153130189(-) ATACAATGTTT >hg38_chr7:153130240-153130250(-) TGACAATGGTT >hg38_chr7:153201498-153201508(+) TAACAAAGGGT >hg38_chr7:153258870-153258880(-) CAACAATGTGC >hg38_chr7:153387870-153387880(-) TCACAATGTTT >hg38_chr7:153431137-153431147(-) tgacaatggtg >hg38_chr7:153431143-153431153(-) cgacaatgaca >hg38_chr7:153457843-153457853(+) TGACAACGGAT >hg38_chr7:153457891-153457901(+) TAACAATGGGC >hg38_chr7:153549621-153549631(+) gaactatggac >hg38_chr7:154185114-154185124(-) GTACAATGCAA >hg38_chr7:154185184-154185194(-) CTACAATGCAT >hg38_chr7:154198721-154198731(-) TAACAATGGTT >hg38_chr7:154276117-154276127(+) ATACAATGCTT >hg38_chr7:154347711-154347721(+) CAACAATGGTA >hg38_chr7:154355069-154355079(-) tgacaatggga >hg38_chr7:154453031-154453041(+) CTACAATAGGC >hg38_chr7:154468418-154468428(-) acacaatggtt >hg38_chr7:154475297-154475307(-) ACACAAAGGAC >hg38_chr7:154595589-154595599(-) CGACAATGGTA >hg38_chr7:154629134-154629144(-) ACACAATAGAC >hg38_chr7:154925799-154925809(+) ttacaatggct >hg38_chr7:154925987-154925997(+) ccacaatggat >hg38_chr7:154926043-154926053(-) agacaatgaat >hg38_chr7:154978134-154978144(+) gcacaatgaac >hg38_chr7:154983930-154983940(+) ATACAATGGCT >hg38_chr7:154991777-154991787(+) aaacaATGACT >hg38_chr7:154996981-154996991(+) AGACAATAGCT >hg38_chr7:154996995-154997005(-) AGACAATAGCC >hg38_chr7:155009806-155009816(+) GGACAATGAGA >hg38_chr7:155085534-155085544(+) GAACAATGGTA >hg38_chr7:155086150-155086160(-) AAACAATAGCA >hg38_chr7:155146145-155146155(+) tcacaataGGA >hg38_chr7:155290501-155290511(-) tgacaatgctg >hg38_chr7:155381467-155381477(+) AGACAATGGGA >hg38_chr7:155456449-155456459(+) TAACAACGGGT >hg38_chr7:155457118-155457128(-) ATACAAAGGGA >hg38_chr7:155460171-155460181(-) GAACAATGGAG >hg38_chr7:155460190-155460200(-) TAACAAAGGAG >hg38_chr7:155468461-155468471(-) AGACAAAGGAC >hg38_chr7:155483451-155483461(-) GAACAAAGGCC >hg38_chr7:155490669-155490679(+) GGACAATGAGG >hg38_chr7:155510135-155510145(-) GGACAATGGAG >hg38_chr7:155512114-155512124(-) TTACAATAGGA >hg38_chr7:155537976-155537986(-) agacaatagtc >hg38_chr7:155543243-155543253(+) GGACAATGGGG >hg38_chr7:155544271-155544281(-) TGACAATGACC >hg38_chr7:155667621-155667631(-) tcacaatggac >hg38_chr7:155667645-155667655(-) ccacaatgcac >hg38_chr7:155684817-155684827(-) TTACTATGGTG >hg38_chr7:155692479-155692489(-) tcacaatgggg >hg38_chr7:155727002-155727012(+) CTACAATAGAA >hg38_chr7:155729931-155729941(-) TAACAAAGGTT >hg38_chr7:155747372-155747382(-) CAACAATGGCC >hg38_chr7:155748858-155748868(-) ACACAATCGTG >hg38_chr7:155862329-155862339(-) AGACAATAGCA >hg38_chr7:155885684-155885694(-) CCACAATGGTG >hg38_chr7:155951923-155951933(-) GAACAATCGCA >hg38_chr7:155989085-155989095(-) AAACAATAGAA >hg38_chr7:156117755-156117765(+) aaacaataaga >hg38_chr7:156492317-156492327(-) GAACAGTGGGT >hg38_chr7:156620526-156620536(-) ACACAATGGAA >hg38_chr7:156665211-156665221(+) GAACAATGACT >hg38_chr7:156693510-156693520(-) atataatggtt >hg38_chr7:156704276-156704286(+) tcacaatagct >hg38_chr7:156746555-156746565(+) ACACAATAGGT >hg38_chr7:156746921-156746931(-) AGACAATGCTG >hg38_chr7:156779640-156779650(+) TTACAATGTAC >hg38_chr7:156790481-156790491(-) TAAcagtggca >hg38_chr7:156808059-156808069(-) gtacaatgtgg >hg38_chr7:156832382-156832392(+) tcacaatgttg >hg38_chr7:156832640-156832650(-) atacaatggaa >hg38_chr7:156844524-156844534(-) GGACAAAGGGA >hg38_chr7:156846907-156846917(+) acacaatgtac >hg38_chr7:156852177-156852187(+) TAACAATAAAC >hg38_chr7:156999825-156999835(-) GAACAAAGGCG >hg38_chr7:157014025-157014035(+) TTACAATGTGT >hg38_chr7:157138782-157138792(+) CGTCAATGGCG >hg38_chr7:157145851-157145861(-) taacaaaggaa >hg38_chr7:157155201-157155211(-) CTACAATGTGT >hg38_chr7:157168586-157168596(+) atacaatggaa >hg38_chr7:157169183-157169193(-) GTACAAAGATA >hg38_chr7:157180207-157180217(-) TAACAATACAA >hg38_chr7:157180292-157180302(-) TAACAATGTAC >hg38_chr7:157185463-157185473(-) AGACAAAGGAA >hg38_chr7:157200379-157200389(+) GAACAATGCAT >hg38_chr7:157203817-157203827(+) gtacaatgggg >hg38_chr7:157203842-157203852(-) ttacaatgggt >hg38_chr7:157205659-157205669(+) CCACAATGTTT >hg38_chr7:157233662-157233672(-) tcacaatagct >hg38_chr7:157234530-157234540(+) GGATAATGGGA >hg38_chr7:157310456-157310466(-) GAACAATGGCT >hg38_chr7:157310513-157310523(+) TAACAATGAGA >hg38_chr7:157338842-157338852(+) GAACAAAGGGG >hg38_chr7:157375889-157375899(-) AAACAAAGGAA >hg38_chr7:157413311-157413321(-) AAACAAAGGAC >hg38_chr7:157417365-157417375(+) GGACAATGTAA >hg38_chr7:157459359-157459369(-) aaacaatggaa >hg38_chr7:157459377-157459387(+) ctacaatgtat >hg38_chr7:157459397-157459407(-) taacaatggag >hg38_chr7:157486589-157486599(+) CAACAATGGAG >hg38_chr7:157498875-157498885(-) CCACAATGACG >hg38_chr7:157498899-157498909(+) GCACAAAGGAC >hg38_chr7:157566646-157566656(-) GGACAATACGC >hg38_chr7:157582196-157582206(+) GAACAATGGCC >hg38_chr7:157671553-157671563(+) AAACAAAGGCT >hg38_chr7:157688035-157688045(-) GAACAATAGCT >hg38_chr7:157955647-157955657(-) CCACAATGGTT >hg38_chr7:158031951-158031961(-) AAACAAAGGAG >hg38_chr7:158043715-158043725(-) GAACAAAGGCT >hg38_chr7:158077420-158077430(+) AAACAATGGCA >hg38_chr7:158177457-158177467(+) ATACAATAAAC >hg38_chr7:158562598-158562608(-) TAACACTGGGT >hg38_chr7:158658284-158658294(+) CAACAATGGAC >hg38_chr7:158683087-158683097(+) ATACAATACTA >hg38_chr7:158702363-158702373(-) TAACAGTGGGA >hg38_chr7:158733014-158733024(+) TAACAATATCC >hg38_chr7:158773899-158773909(+) aaacaatacta >hg38_chr7:158773932-158773942(+) aaataatggac >hg38_chr7:158773945-158773955(-) aaacaatgata >hg38_chr7:158791204-158791214(-) acacaaaggga >hg38_chr7:158812698-158812708(-) ccacaatggcc >hg38_chr7:158820282-158820292(-) gtacaatagat >hg38_chr7:158821296-158821306(+) GAACAATAAGA >hg38_chr7:158858357-158858367(+) atacaatggag >hg38_chr7:158858397-158858407(+) gaacaatgttt >hg38_chr7:158918343-158918353(+) GAACAATGACA >hg38_chr7:159018303-159018313(-) ACACAATGTGG >hg38_chr7:159018326-159018336(-) AAACAATGTGG >hg38_chr7:159018350-159018360(-) ACACAATGGGG >hg38_chr7:159018373-159018383(-) ACACAATGTGG >hg38_chr7:159018669-159018679(-) ACATAATGGGG >hg38_chr7:159018692-159018702(-) ACACAATGAGG >hg38_chr7:159018718-159018728(-) ACACAATGCGG >hg38_chr7:159018877-159018887(-) ACACAATGGGG >hg38_chr7:159018902-159018912(-) ACACAATGCGG >hg38_chr7:159018952-159018962(-) ACACAATCGGA >hg38_chr7:159026488-159026498(-) TCACAAAGGAT >hg38_chr7:159050904-159050914(+) gaacaatggtg >hg38_chr7:159084489-159084499(+) GCACAATGTGT >hg38_chr7:159114375-159114385(+) AAACAAAGGAT >hg38_chr7:159126019-159126029(+) aaacaatgcag >hg38_chr7:159128958-159128968(-) TTACACTGGCG >hg38_chr8:236874-236884(-) TAACAATAACT >hg38_chr8:265849-265859(+) AAACAATAGTT >hg38_chr8:476085-476095(-) agacaatgtca >hg38_chr8:479323-479333(+) GCACAAAGGAA >hg38_chr8:544059-544069(+) GTACAAAGGAC >hg38_chr8:606571-606581(-) agacaataaca >hg38_chr8:688752-688762(+) AAACAATGAAA >hg38_chr8:2886057-2886067(-) tcacaatgcca >hg38_chr8:2952926-2952936(+) GTACAATGCAG >hg38_chr8:2985766-2985776(+) TAACAATAGCT >hg38_chr8:3008344-3008354(-) TGATAATGGAG >hg38_chr8:3042067-3042077(+) ACACAATGGTT >hg38_chr8:3092899-3092909(-) CAACAATGAGA >hg38_chr8:3169569-3169579(-) ctacaatgttc >hg38_chr8:3169592-3169602(+) aaacaatgtgc >hg38_chr8:3169632-3169642(+) taacaatagtt >hg38_chr8:3469480-3469490(-) GCACAATGAAT >hg38_chr8:3574882-3574892(+) CAACAATAGAT >hg38_chr8:3595256-3595266(+) AAACAATGTCC >hg38_chr8:3595264-3595274(-) ACACAATGGGA >hg38_chr8:3603140-3603150(-) AAACAATAATA >hg38_chr8:3646789-3646799(-) GAACAATGAGG >hg38_chr8:3815761-3815771(-) GAACAATGTGG >hg38_chr8:3826102-3826112(+) TAACAATAAAC >hg38_chr8:3888579-3888589(+) TAATAATGGCC >hg38_chr8:4046880-4046890(+) TGACAATGAGG >hg38_chr8:4051231-4051241(+) GAACAATGCCA >hg38_chr8:4066082-4066092(+) AAACAATGAAA >hg38_chr8:4066116-4066126(-) GAACAAAGGCT >hg38_chr8:4066154-4066164(-) AAATAATGGGT >hg38_chr8:4088068-4088078(+) taacaatgact >hg38_chr8:4155121-4155131(-) gaacaatagtg >hg38_chr8:4194393-4194403(+) AAACAATGGAC >hg38_chr8:4194428-4194438(+) TAACAATAAAT >hg38_chr8:4194456-4194466(+) TTACAATAGCG >hg38_chr8:4331578-4331588(+) AGACAATGGGG >hg38_chr8:4331891-4331901(+) TAACTATGGAA >hg38_chr8:4337340-4337350(-) CAACAATGTCG >hg38_chr8:4381333-4381343(+) ACACAATGGAT >hg38_chr8:4496598-4496608(-) TAACAATAACA >hg38_chr8:4516469-4516479(+) agacaacggct >hg38_chr8:4542107-4542117(+) GGACAATGAAC >hg38_chr8:4543848-4543858(-) aaacaataatc >hg38_chr8:4545194-4545204(+) gaacaatggaa >hg38_chr8:4585484-4585494(+) aaacaatggaa >hg38_chr8:4638769-4638779(+) TGACAATGGGA >hg38_chr8:4662585-4662595(+) CAACAATGCCA >hg38_chr8:4716253-4716263(+) AGACAATGCCA >hg38_chr8:4762716-4762726(-) GCACAATAGCT >hg38_chr8:4781230-4781240(+) GCACAATGGCT >hg38_chr8:4876619-4876629(+) TCACAATAGGT >hg38_chr8:4876642-4876652(+) GCACAATGCAA >hg38_chr8:5062784-5062794(+) AAACAATGCTA >hg38_chr8:5166251-5166261(-) agacaatgcac >hg38_chr8:5178986-5178996(+) agacaatggca >hg38_chr8:5193902-5193912(-) taacaatgatg >hg38_chr8:5193935-5193945(+) taacgatggtt >hg38_chr8:5207192-5207202(-) CAACAATCGCG >hg38_chr8:5207638-5207648(+) TTACAATGACA >hg38_chr8:5250261-5250271(+) GGACAATGCAT >hg38_chr8:5292642-5292652(-) AGACAATGGCC >hg38_chr8:5411457-5411467(+) GGACAATGCAA >hg38_chr8:5413588-5413598(+) TTACAAAGGGA >hg38_chr8:5500335-5500345(-) AGACAATATAC >hg38_chr8:5596301-5596311(+) gcacaatatat >hg38_chr8:5611651-5611661(-) AGACAAAGGAA >hg38_chr8:5660941-5660951(+) AAACAATGTGA >hg38_chr8:5675289-5675299(+) GAACAATGGGG >hg38_chr8:5745055-5745065(+) ATACAATATGA >hg38_chr8:5745116-5745126(-) TCACAATGGAA >hg38_chr8:5760414-5760424(-) TAACAAAGGAG >hg38_chr8:5996011-5996021(-) taacaatggaa >hg38_chr8:5996017-5996027(-) aaacaataaca >hg38_chr8:5996068-5996078(+) taacaatgatc >hg38_chr8:6002058-6002068(+) gaactatgggc >hg38_chr8:6002719-6002729(+) gaacaatgtac >hg38_chr8:6043812-6043822(-) AGACAGTGGAT >hg38_chr8:6060089-6060099(+) TGACAATGGCA >hg38_chr8:6103489-6103499(-) TCACAATGAAA >hg38_chr8:6140089-6140099(-) ACACAAAGGGA >hg38_chr8:6145246-6145256(+) ttacaatgaca >hg38_chr8:6223589-6223599(+) tgacaatgaaa >hg38_chr8:6253276-6253286(+) TCACAACGGAT >hg38_chr8:6309969-6309979(+) GAACAATGCAG >hg38_chr8:6331814-6331824(-) ggacaaTAGTA >hg38_chr8:6331879-6331889(-) taacaaaggca >hg38_chr8:6339182-6339192(+) ccacaatgtac >hg38_chr8:6413267-6413277(-) aaacaataagt >hg38_chr8:6484884-6484894(+) gaacaatagca >hg38_chr8:6486826-6486836(-) AAACAATGTGA >hg38_chr8:6507969-6507979(+) CTACAATGGTT >hg38_chr8:6507999-6508009(-) AGACAATGAAA >hg38_chr8:6572457-6572467(-) TAACAATTGTA >hg38_chr8:6614506-6614516(-) ggacaaagggc >hg38_chr8:6616507-6616517(+) ACACAAAGGCA >hg38_chr8:6692478-6692488(+) TAACAATAATG >hg38_chr8:6692535-6692545(+) TAACAATATGT >hg38_chr8:6699705-6699715(-) GGACAATAGAT >hg38_chr8:6710720-6710730(-) AAACAATAAAA >hg38_chr8:6720833-6720843(+) ATACTATGGAA >hg38_chr8:6720908-6720918(+) GAACAATGAGA >hg38_chr8:6733442-6733452(-) AAACAATGCTC >hg38_chr8:6740043-6740053(-) gcacaaaggat >hg38_chr8:6830262-6830272(-) AAACAATTGCG >hg38_chr8:6886199-6886209(+) ttacaaaggaa >hg38_chr8:6886207-6886217(+) gaacaataatc >hg38_chr8:6909963-6909973(-) GAACAAAGGAA >hg38_chr8:6944659-6944669(-) GGACAATGGGT >hg38_chr8:6965983-6965993(+) GGACGATGGGA >hg38_chr8:7043576-7043586(-) GGACAAAGGGC >hg38_chr8:7047609-7047619(-) GGACAAAGGCA >hg38_chr8:8262081-8262091(-) AAACAAAGGCA >hg38_chr8:8281171-8281181(+) caataatggat >hg38_chr8:8281226-8281236(+) aaataatggac >hg38_chr8:8282784-8282794(+) agacaaaggca >hg38_chr8:8309922-8309932(-) TGATAATGGCC >hg38_chr8:8310578-8310588(+) AAACAAAGGGG >hg38_chr8:8310934-8310944(-) GAACAATAGAA >hg38_chr8:8324093-8324103(+) gcacAATGGGG >hg38_chr8:8324990-8325000(-) TAACAATAGCA >hg38_chr8:8333628-8333638(-) GAACAATGAAA >hg38_chr8:8334589-8334599(+) AAACAATAATC >hg38_chr8:8346108-8346118(+) AGACAATGAGT >hg38_chr8:8346506-8346516(-) GGACAATGGAG >hg38_chr8:8362889-8362899(+) gaacaaaggaa >hg38_chr8:8386380-8386390(-) GAACAATGCTC >hg38_chr8:8390118-8390128(+) AGACAATGTTA >hg38_chr8:8399370-8399380(+) TTACAATGCTA >hg38_chr8:8399376-8399386(-) GCACAATAGCA >hg38_chr8:8407612-8407622(-) taacaatgctt >hg38_chr8:8416443-8416453(-) taacactggta >hg38_chr8:8419599-8419609(+) TTACAATGGGG >hg38_chr8:8424940-8424950(-) AAACAATATCG >hg38_chr8:8435782-8435792(-) agacagtggaa >hg38_chr8:8435799-8435809(-) caacaatggaa >hg38_chr8:8444711-8444721(+) GAACAAAGGGA >hg38_chr8:8470586-8470596(-) CTACAATGAGG >hg38_chr8:8496414-8496424(+) TAATAATGGGC >hg38_chr8:8508403-8508413(+) TAACAATGGCT >hg38_chr8:8517777-8517787(+) ACACAATGTAC >hg38_chr8:8522637-8522647(+) TAACAATAGGC >hg38_chr8:8522682-8522692(-) TTACAATGGGT >hg38_chr8:8530711-8530721(-) GGACAATGGCC >hg38_chr8:8544511-8544521(+) GCATAATGGCT >hg38_chr8:8545376-8545386(+) CTACAATGCAG >hg38_chr8:8548371-8548381(-) ACACAATATAT >hg38_chr8:8549218-8549228(+) CGATAATGGAA >hg38_chr8:8551985-8551995(-) AGATAATGGCT >hg38_chr8:8558304-8558314(-) ACACAATGCTA >hg38_chr8:8577554-8577564(+) TAACAATGACC >hg38_chr8:8583017-8583027(-) AGACAATGGCA >hg38_chr8:8618382-8618392(+) GCACAATGCCC >hg38_chr8:8625987-8625997(+) gaacaaagggg >hg38_chr8:8626931-8626941(+) CCACAATGTCA >hg38_chr8:8649794-8649804(-) GGAGAATGGCG >hg38_chr8:8670826-8670836(-) CGACAATGTAA >hg38_chr8:8708408-8708418(-) AAACAATGGAG >hg38_chr8:8744108-8744118(+) GAACAAAGGAG >hg38_chr8:8806498-8806508(+) GAACAATAGCA >hg38_chr8:8863124-8863134(-) ggacaaaggta >hg38_chr8:8873983-8873993(+) AAACAATGGTG >hg38_chr8:8877772-8877782(+) TGACAAAGGGA >hg38_chr8:8877790-8877800(+) GAACAATGCTT >hg38_chr8:8884549-8884559(+) GCACAATGGGA >hg38_chr8:8884620-8884630(+) TTACAATACTA >hg38_chr8:8888101-8888111(+) ACACAATGACA >hg38_chr8:8889390-8889400(-) GAACAATGGAT >hg38_chr8:8940808-8940818(-) AAATAATGGCA >hg38_chr8:8940878-8940888(-) agacaatgagc >hg38_chr8:8941620-8941630(+) TTACAATGTGT >hg38_chr8:8942310-8942320(+) aaacaaagggt >hg38_chr8:8942842-8942852(+) GAACAATGGTT >hg38_chr8:9014117-9014127(-) aaacaataggc >hg38_chr8:9021858-9021868(-) acacaatggaa >hg38_chr8:9024757-9024767(+) ttacaatgctg >hg38_chr8:9069414-9069424(-) ACATAATGGAA >hg38_chr8:9069449-9069459(+) TGACAATGCTG >hg38_chr8:9077586-9077596(+) gaacaaaggct >hg38_chr8:9107558-9107568(-) acacaatgtat >hg38_chr8:9109735-9109745(-) AAACAATGATC >hg38_chr8:9109767-9109777(-) AAACAATATAC >hg38_chr8:9149716-9149726(-) GTACAAAGGGG >hg38_chr8:9160911-9160921(+) aaacaatggag >hg38_chr8:9183707-9183717(-) ggacaaaggac >hg38_chr8:9237652-9237662(-) GAACAATAGAC >hg38_chr8:9260343-9260353(+) taacaataagt >hg38_chr8:9260351-9260361(-) taacaatgact >hg38_chr8:9264492-9264502(+) TGacaataggc >hg38_chr8:9270018-9270028(-) tgacaatgcat >hg38_chr8:9332587-9332597(+) CTACAATGCTG >hg38_chr8:9338451-9338461(-) GTATAATGGAA >hg38_chr8:9346049-9346059(+) GTACAATGACA >hg38_chr8:9369114-9369124(-) GAACAATAGAA >hg38_chr8:9380153-9380163(-) TTACAATGGCC >hg38_chr8:9463853-9463863(+) AAACAATGAGC >hg38_chr8:9482315-9482325(+) acacaaaggaa >hg38_chr8:9485943-9485953(-) ggacaaaggga >hg38_chr8:9554529-9554539(+) CTACAATGCCT >hg38_chr8:9558263-9558273(-) TAACAATGATT >hg38_chr8:9559882-9559892(+) TAATAATGGAA >hg38_chr8:9559925-9559935(-) CAACAATGGAA >hg38_chr8:9559964-9559974(-) TTACAAAGGCA >hg38_chr8:9564658-9564668(-) GGACAATGACA >hg38_chr8:9585583-9585593(+) agacaatggaa >hg38_chr8:9591650-9591660(+) taacaatgggt >hg38_chr8:9614286-9614296(-) AAACAATGAAC >hg38_chr8:9614367-9614377(-) GGACAATAAAA >hg38_chr8:9616921-9616931(+) CAACAATAGCA >hg38_chr8:9619268-9619278(+) tgacaaaggga >hg38_chr8:9679636-9679646(-) AGACAATGTCC >hg38_chr8:9754949-9754959(-) GTATAATGGTT >hg38_chr8:9755295-9755305(-) gAACAATGAAA >hg38_chr8:9755724-9755734(-) AAACAACGGCT >hg38_chr8:9835947-9835957(+) caacaatggga >hg38_chr8:9835964-9835974(+) agacaatggaa >hg38_chr8:9835975-9835985(-) tgacaatgcca >hg38_chr8:9885537-9885547(-) AGACAATGGCG >hg38_chr8:9885586-9885596(-) GAACAAAGGGT >hg38_chr8:9899594-9899604(-) TTACAGTGGGA >hg38_chr8:9903681-9903691(+) AGACAATGAGA >hg38_chr8:9915069-9915079(+) GTACAATGTAT >hg38_chr8:9958052-9958062(-) AAACAATGGCA >hg38_chr8:9963708-9963718(+) TAACAATCGCA >hg38_chr8:10098960-10098970(+) AGACAAAGGAG >hg38_chr8:10131086-10131096(-) AAACAAAGGAA >hg38_chr8:10131156-10131166(+) atacaaagggg >hg38_chr8:10143456-10143466(-) TAACAATCGCT >hg38_chr8:10152424-10152434(-) AAACAATGGGC >hg38_chr8:10184855-10184865(-) GTACAATGTga >hg38_chr8:10190126-10190136(-) tgacaatgctt >hg38_chr8:10267247-10267257(+) AAACAATGTGG >hg38_chr8:10269272-10269282(-) TTACAATGAAA >hg38_chr8:10270883-10270893(+) ACACAATGGGA >hg38_chr8:10271638-10271648(+) TTACAAAGGGC >hg38_chr8:10279786-10279796(-) GCATAATGGAT >hg38_chr8:10366454-10366464(+) GGACAATGGCA >hg38_chr8:10372613-10372623(+) GAACAAAGGGG >hg38_chr8:10379648-10379658(-) AAACAAAGGGG >hg38_chr8:10379668-10379678(+) CTATAATGGTT >hg38_chr8:10417336-10417346(-) GGACAATGGAA >hg38_chr8:10419823-10419833(+) TTATAATGGCA >hg38_chr8:10479458-10479468(+) TCACAATAGGG >hg38_chr8:10482575-10482585(-) ACACAAAGGCA >hg38_chr8:10640138-10640148(+) TGATAATGGCC >hg38_chr8:10745790-10745800(-) tcacaatagcc >hg38_chr8:11022014-11022024(+) AAACAAAGGGG >hg38_chr8:11022028-11022038(+) AAACAAAGGCA >hg38_chr8:11050095-11050105(+) TTACAAAGGAA >hg38_chr8:11164901-11164911(+) AAACAAAGGTC >hg38_chr8:11168227-11168237(-) gtacaatgtct >hg38_chr8:11197368-11197378(+) GGACAATAGCA >hg38_chr8:11201932-11201942(-) ACACAATGAGG >hg38_chr8:11205337-11205347(-) ggataatgggt >hg38_chr8:11211874-11211884(+) GAACAATGAGG >hg38_chr8:11248716-11248726(-) CAACAATGCAA >hg38_chr8:11276167-11276177(+) AAATAATGGGT >hg38_chr8:11285014-11285024(+) GGACAATACGG >hg38_chr8:11309120-11309130(+) AAACAGTGGGA >hg38_chr8:11370346-11370356(+) gaacaataact >hg38_chr8:11373136-11373146(+) aaacaatgcta >hg38_chr8:11409563-11409573(+) GGACAATCGGA >hg38_chr8:11409604-11409614(+) AGATAATGGGA >hg38_chr8:11422674-11422684(-) GGACAATGCAA >hg38_chr8:11448726-11448736(-) TCACAATGTGA >hg38_chr8:11511843-11511853(+) TAACACTGGTT >hg38_chr8:11511876-11511886(-) AGACAATGGTG >hg38_chr8:11516159-11516169(+) acacaaaggag >hg38_chr8:11642613-11642623(-) GAATAATGGGG >hg38_chr8:11668960-11668970(-) acacaatggaa >hg38_chr8:11720796-11720806(-) aaacaatgcag >hg38_chr8:11744258-11744268(+) TAACAATAATC >hg38_chr8:11744269-11744279(-) TAACAATGATG >hg38_chr8:11802468-11802478(+) AAACAAAGGCC >hg38_chr8:11850921-11850931(-) GAACAATGGCC >hg38_chr8:11858725-11858735(-) GCACAATGGAG >hg38_chr8:11877238-11877248(+) CAACAATAGCT >hg38_chr8:11958905-11958915(-) GCACAATGCTT >hg38_chr8:11974324-11974334(+) GCACAATGACA >hg38_chr8:11978229-11978239(-) ggacaaaggga >hg38_chr8:11996955-11996965(+) gtacaatcgga >hg38_chr8:11996995-11997005(-) tgacaatggtc >hg38_chr8:11997555-11997565(+) gaacagtggtt >hg38_chr8:12724937-12724947(+) TTACAATGAGA >hg38_chr8:12795484-12795494(+) ACACAATGAAA >hg38_chr8:12795497-12795507(-) ACACAATGTGA >hg38_chr8:12813863-12813873(-) GAACAAAGGGG >hg38_chr8:12818008-12818018(+) GCACAATGAGT >hg38_chr8:12849550-12849560(-) atacaatgtaa >hg38_chr8:12938757-12938767(+) aaacaataggg >hg38_chr8:12938772-12938782(+) taacaataata >hg38_chr8:12950638-12950648(+) AAACAAAGGTT >hg38_chr8:12951075-12951085(-) TGACAATAGCG >hg38_chr8:12952215-12952225(+) TGACAATGGTC >hg38_chr8:12970496-12970506(-) GAACAACGGAG >hg38_chr8:12972693-12972703(+) agacaatggct >hg38_chr8:12976875-12976885(-) gaacaatggct >hg38_chr8:13030637-13030647(-) TGACGATGGGA >hg38_chr8:13080604-13080614(-) AAACAATGAGA >hg38_chr8:13091844-13091854(-) AAACAATGGAC >hg38_chr8:13095957-13095967(+) GAACAATGGGG >hg38_chr8:13106188-13106198(-) ATACAATGGGG >hg38_chr8:13147133-13147143(-) CTATAATGGCC >hg38_chr8:13150389-13150399(-) AGACAATGAAA >hg38_chr8:13158323-13158333(+) TTACAATGCAT >hg38_chr8:13164963-13164973(-) CTACAAAGGTA >hg38_chr8:13180214-13180224(-) acacaatggaa >hg38_chr8:13196463-13196473(-) AAATAATGGCC >hg38_chr8:13219889-13219899(-) ctataatggtc >hg38_chr8:13219907-13219917(+) aaacaatgcga >hg38_chr8:13220280-13220290(+) GAACAAAGGAA >hg38_chr8:13236356-13236366(-) GTACAATAGCG >hg38_chr8:13241675-13241685(+) GGACAAAGGAT >hg38_chr8:13297554-13297564(+) ttacaataata >hg38_chr8:13310719-13310729(+) GAACAAAGGAT >hg38_chr8:13334935-13334945(-) TCacaatagca >hg38_chr8:13346647-13346657(-) GGACAATGAGA >hg38_chr8:13357589-13357599(-) AAACAAAGGGT >hg38_chr8:13367557-13367567(+) agacaaaGACG >hg38_chr8:13469764-13469774(+) TTACAATGCCT >hg38_chr8:13470261-13470271(+) GCACAATGTAC >hg38_chr8:13512625-13512635(+) caacaatgatt >hg38_chr8:13548186-13548196(-) TAACAATAGGA >hg38_chr8:13550381-13550391(-) gtacaattgac >hg38_chr8:13555119-13555129(-) aaacaaaggag >hg38_chr8:13617180-13617190(-) ACACAATGGCT >hg38_chr8:13659269-13659279(-) TGACAATGATG >hg38_chr8:13659305-13659315(+) GTACAATAACT >hg38_chr8:13687199-13687209(+) agagaatggcg >hg38_chr8:13701608-13701618(+) atacaatggaa >hg38_chr8:13741842-13741852(+) GAACAAAGGAA >hg38_chr8:13746144-13746154(-) TAACAATAGCT >hg38_chr8:13749562-13749572(+) gtacactggtc >hg38_chr8:13758840-13758850(-) ATACAATATGA >hg38_chr8:13764816-13764826(+) gaacaatagac >hg38_chr8:13852282-13852292(+) CCACAATGATG >hg38_chr8:13852347-13852357(-) ggacaatgTAG >hg38_chr8:13876434-13876444(-) GAACAATGTTA >hg38_chr8:13876449-13876459(-) AAACAATGGGG >hg38_chr8:13877490-13877500(+) taacaatggaa >hg38_chr8:13886579-13886589(+) ggacgatggag >hg38_chr8:13973386-13973396(+) atacaatgtga >hg38_chr8:13980038-13980048(-) TAACAATGACC >hg38_chr8:14005317-14005327(-) ATACAATGGGA >hg38_chr8:14030703-14030713(-) gtacaatgagt >hg38_chr8:14072285-14072295(-) agacaatggca >hg38_chr8:14072292-14072302(-) gaacaatagac >hg38_chr8:14072312-14072322(-) acacaaaggca >hg38_chr8:14159763-14159773(+) GAACAATGGCC >hg38_chr8:14274159-14274169(-) CAACAATAGCA >hg38_chr8:14293236-14293246(+) GGACAATGGAT >hg38_chr8:14389543-14389553(+) aaacaacggaa >hg38_chr8:14482831-14482841(-) tgacaatggta >hg38_chr8:14529426-14529436(-) AAACAATGTGG >hg38_chr8:14561301-14561311(-) TGACAATAGGC >hg38_chr8:14561329-14561339(+) AAACAATGCTG >hg38_chr8:14723499-14723509(-) gcacaaaggtc >hg38_chr8:14844861-14844871(+) atacaatgcaa >hg38_chr8:14844875-14844885(+) ttacaatgcaa >hg38_chr8:14845337-14845347(+) taacaatgttt >hg38_chr8:14859094-14859104(+) aaacaatgcca >hg38_chr8:14859125-14859135(-) gcacaatggct >hg38_chr8:14985630-14985640(-) ACACAATGCAA >hg38_chr8:15059909-15059919(+) GAACAATGGGT >hg38_chr8:15197159-15197169(-) GAACAATGTAG >hg38_chr8:15197219-15197229(+) TAACAATGCTT >hg38_chr8:15234636-15234646(+) TGATAATGGCA >hg38_chr8:15322939-15322949(+) gcacaatgaca >hg38_chr8:15325705-15325715(+) AAACAATAGCA >hg38_chr8:15500110-15500120(-) CAACAATGAAA >hg38_chr8:15507219-15507229(-) GAACAATGTCA >hg38_chr8:15599805-15599815(-) ttacaataata >hg38_chr8:15635654-15635664(+) gaacaaaggct >hg38_chr8:15645720-15645730(-) TTACAATGACT >hg38_chr8:15645993-15646003(-) GTATAATGATA >hg38_chr8:15652887-15652897(-) ATACAATGAAA >hg38_chr8:15664128-15664138(-) ggacaataTAA >hg38_chr8:15665267-15665277(+) ATACAAAGGGA >hg38_chr8:15680827-15680837(-) tcacaataggc >hg38_chr8:15690877-15690887(+) gtacaatggtg >hg38_chr8:15705271-15705281(+) TCACAGTGGTA >hg38_chr8:15764143-15764153(-) ATACAATATGT >hg38_chr8:15764400-15764410(+) CTACAAAGGAA >hg38_chr8:15764469-15764479(+) TGACAATGTAA >hg38_chr8:15861452-15861462(-) atacaatgaaa >hg38_chr8:15887965-15887975(-) tgataatggtT >hg38_chr8:15887988-15887998(+) tgacaatcgtt >hg38_chr8:15936040-15936050(+) ttacaatatta >hg38_chr8:15936098-15936108(+) ccacaatgaaa >hg38_chr8:15948941-15948951(+) acacaatgtaa >hg38_chr8:15991104-15991114(-) gaacaatggat >hg38_chr8:15991124-15991134(-) aaacaatgaga >hg38_chr8:15991134-15991144(-) ccacaatgata >hg38_chr8:15991859-15991869(-) taacaatacaa >hg38_chr8:15994763-15994773(-) taacaataggt >hg38_chr8:16138936-16138946(-) AGACAATGCTG >hg38_chr8:16146546-16146556(+) gaacaatggca >hg38_chr8:16202404-16202414(-) GGACAATGGAA >hg38_chr8:16202465-16202475(+) TCACAATGTAG >hg38_chr8:16202825-16202835(+) GAACAATGAGT >hg38_chr8:16234196-16234206(+) tgacaatggat >hg38_chr8:16262526-16262536(+) TAACAATAAAA >hg38_chr8:16367380-16367390(-) ATACAATGAAA >hg38_chr8:16367397-16367407(-) AAACAATGCTA >hg38_chr8:16394758-16394768(+) TTACAATCGTT >hg38_chr8:16432487-16432497(-) TTACAATGATT >hg38_chr8:16433267-16433277(+) ACACAATAGTC >hg38_chr8:16460301-16460311(+) atacaatagct >hg38_chr8:16477605-16477615(+) CCACAATGGCT >hg38_chr8:16534219-16534229(-) GCACAATGGGA >hg38_chr8:16556965-16556975(+) GAACAATGATC >hg38_chr8:16559661-16559671(-) AGACAATAAAA >hg38_chr8:16570034-16570044(-) AGACAATGCTG >hg38_chr8:16613107-16613117(-) TTACAATGTCA >hg38_chr8:16633824-16633834(+) AAATAATGGCC >hg38_chr8:16717905-16717915(-) gaacaatgacg >hg38_chr8:16717980-16717990(+) AAACAATGCAT >hg38_chr8:16749365-16749375(-) CTACAATGTGT >hg38_chr8:16771957-16771967(-) aaacaataacc >hg38_chr8:16780312-16780322(-) CAACAATGCAA >hg38_chr8:16837991-16838001(+) ATACAATAGAA >hg38_chr8:16853117-16853127(+) caacaataggg >hg38_chr8:16853157-16853167(-) acacaatggta >hg38_chr8:16880083-16880093(+) agacaatagct >hg38_chr8:16880304-16880314(+) aaacaatggaa >hg38_chr8:16880312-16880322(+) gaacaatgtct >hg38_chr8:16880799-16880809(+) tcacaatagcc >hg38_chr8:16909903-16909913(-) TTACAAAGGAG >hg38_chr8:16914574-16914584(-) AAACAATGGAC >hg38_chr8:16930679-16930689(+) CTACAATGGCT >hg38_chr8:16933780-16933790(-) tTACAGTGGTA >hg38_chr8:16996872-16996882(-) aaacagtggat >hg38_chr8:16998669-16998679(+) CCACAATGCAA >hg38_chr8:17053416-17053426(-) AAACAAAGGTT >hg38_chr8:17084515-17084525(-) AAACACTGGAT >hg38_chr8:17085631-17085641(-) ACATAATGGGG >hg38_chr8:17088264-17088274(-) TTACAATGAAC >hg38_chr8:17099819-17099829(-) CAACAATGATA >hg38_chr8:17101233-17101243(-) TAACAAAGGGA >hg38_chr8:17101270-17101280(+) TAACAATGAGC >hg38_chr8:17103340-17103350(-) CTACAAAGGAT >hg38_chr8:17113021-17113031(+) AAACAATGTGA >hg38_chr8:17113365-17113375(-) GTACAATGTAT >hg38_chr8:17118178-17118188(-) GTACAGTGGCA >hg38_chr8:17122113-17122123(-) GTACAATGCTA >hg38_chr8:17180013-17180023(-) ACACAATAGGA >hg38_chr8:17180624-17180634(-) aaacaatgtac >hg38_chr8:17180632-17180642(-) ggacaataaaa >hg38_chr8:17191673-17191683(-) acacaatggaa >hg38_chr8:17277274-17277284(+) ttacaatatac >hg38_chr8:17277305-17277315(-) ttacaatgcat >hg38_chr8:17314991-17315001(+) ACACAATGATT >hg38_chr8:17374161-17374171(-) ATACAATGCGT >hg38_chr8:17392771-17392781(-) GCACAATGAGT >hg38_chr8:17405525-17405535(-) tcacaaaggat >hg38_chr8:17410809-17410819(-) ttacaatggaa >hg38_chr8:17412712-17412722(+) CTACAATGAAT >hg38_chr8:17414016-17414026(-) GCATAATGGAT >hg38_chr8:17429609-17429619(-) ttacaatgctt >hg38_chr8:17433725-17433735(+) acacaatgttt >hg38_chr8:17451506-17451516(+) AAACAATGCTG >hg38_chr8:17477431-17477441(+) GTACAGTGGTG >hg38_chr8:17532279-17532289(+) GAATAATGGCT >hg38_chr8:17576872-17576882(+) ggacaatcgtg >hg38_chr8:17605964-17605974(+) ACACAAAGGGA >hg38_chr8:17610149-17610159(-) GGACAAAGGAG >hg38_chr8:17707843-17707853(+) taacaaaggtg >hg38_chr8:17717064-17717074(+) CTACAATAGTG >hg38_chr8:17717144-17717154(+) GTACAATGAGC >hg38_chr8:17721734-17721744(+) TAACAAAGGAA >hg38_chr8:17721747-17721757(+) ATACAAAGGCT >hg38_chr8:17722148-17722158(-) TAACAAAGGGG >hg38_chr8:17750665-17750675(+) taacaatgtat >hg38_chr8:17770605-17770615(+) GTACAATGAAG >hg38_chr8:17783596-17783606(-) AAACAATGCCC >hg38_chr8:17802416-17802426(+) gtacaatgatc >hg38_chr8:17802424-17802434(-) aaataatggat >hg38_chr8:17802473-17802483(-) gaataatggag >hg38_chr8:17836793-17836803(+) GAACAATGAGA >hg38_chr8:17858664-17858674(+) TTACAATAGCT >hg38_chr8:17858702-17858712(+) TTACAATAGCC >hg38_chr8:17864453-17864463(+) TAACAAAGGCA >hg38_chr8:17864684-17864694(-) AGACAATGGGA >hg38_chr8:17956956-17956966(+) GTACAATAGTT >hg38_chr8:17956977-17956987(-) TAACAAAGGGC >hg38_chr8:17958012-17958022(+) tcacaatgcaa >hg38_chr8:17969331-17969341(-) Aaataatggta >hg38_chr8:17981668-17981678(-) AAATAATGGAG >hg38_chr8:18020844-18020854(+) aaacaaagggt >hg38_chr8:18065877-18065887(-) acacaatgtgt >hg38_chr8:18085026-18085036(-) AAACAATGCTG >hg38_chr8:18166550-18166560(+) agacaatgagc >hg38_chr8:18167124-18167134(+) aaacaatgaaa >hg38_chr8:18186682-18186692(-) aaacaatggag >hg38_chr8:18197518-18197528(+) aaacaatgcac >hg38_chr8:18209040-18209050(+) gaacaaaggga >hg38_chr8:18232558-18232568(+) tcacaatagcc >hg38_chr8:18253098-18253108(+) tcacaatagcg >hg38_chr8:18317864-18317874(+) TAACAAAGGCT >hg38_chr8:18349363-18349373(+) taacaatacaa >hg38_chr8:18349368-18349378(+) atacaaaggga >hg38_chr8:18379918-18379928(+) TAACAATGGAT >hg38_chr8:18379990-18380000(+) TAACAATGAAT >hg38_chr8:18531073-18531083(+) CAACAATGGCA >hg38_chr8:18544145-18544155(+) CTACAATGGaa >hg38_chr8:18559932-18559942(+) TTACAAAGGAA >hg38_chr8:18595716-18595726(-) taataatggtt >hg38_chr8:18596051-18596061(-) ggacaatatat >hg38_chr8:18602837-18602847(+) ACACAATGAGC >hg38_chr8:18609140-18609150(-) GAACAATGGGA >hg38_chr8:18615883-18615893(-) TTACAATAGCT >hg38_chr8:18644126-18644136(-) agacaatggga >hg38_chr8:18644153-18644163(+) taacaatatct >hg38_chr8:18656988-18656998(+) TAACAATATTA >hg38_chr8:18657209-18657219(-) AGACAATGTTA >hg38_chr8:18657247-18657257(+) AAACAATGCAC >hg38_chr8:18679215-18679225(-) TTACAATGGCC >hg38_chr8:18722838-18722848(+) GTACAATATGC >hg38_chr8:18725451-18725461(-) GTATAATGGAT >hg38_chr8:18748213-18748223(-) ATACAAAGGAT >hg38_chr8:18748255-18748265(-) ATACAAAGGAG >hg38_chr8:18768778-18768788(+) TTACAATGACA >hg38_chr8:18769112-18769122(+) atacaatgaac >hg38_chr8:18782674-18782684(+) caacaatgggc >hg38_chr8:18799610-18799620(+) aaacaatgtct >hg38_chr8:18834319-18834329(-) GTATAATGGGT >hg38_chr8:18878584-18878594(-) GAACAAAGGCA >hg38_chr8:18887229-18887239(-) AAACAATGTGT >hg38_chr8:18901493-18901503(-) gaacaaaggat >hg38_chr8:18904804-18904814(+) agacaatagta >hg38_chr8:18925044-18925054(+) atacaatagaa >hg38_chr8:18952065-18952075(+) AGACAATGCAT >hg38_chr8:18952084-18952094(+) GAATAATGGGT >hg38_chr8:18952105-18952115(-) GAACAAAGGTG >hg38_chr8:18959902-18959912(-) CCACAATggga >hg38_chr8:18960550-18960560(+) TCACAATAGAT >hg38_chr8:18960589-18960599(-) GAACAATGTCA >hg38_chr8:18981072-18981082(-) taacaaaggga >hg38_chr8:19008855-19008865(-) AAACAATGAGG >hg38_chr8:19024455-19024465(-) AGACAATGAGT >hg38_chr8:19042717-19042727(+) ATACAATGCTA >hg38_chr8:19112355-19112365(+) gaacaatgcct >hg38_chr8:19138822-19138832(-) GAACAAAGGCG >hg38_chr8:19141341-19141351(-) CTACAATGTCT >hg38_chr8:19143137-19143147(+) taacaatatga >hg38_chr8:19143150-19143160(-) ttacaatgaac >hg38_chr8:19143189-19143199(+) atacaatagag >hg38_chr8:19152091-19152101(+) AAACAAAGGGA >hg38_chr8:19152120-19152130(+) TTACAAAGGCA >hg38_chr8:19171180-19171190(+) GAACAATGATG >hg38_chr8:19209638-19209648(+) TAACAATTGTA >hg38_chr8:19238559-19238569(-) ACACAATGAGC >hg38_chr8:19264623-19264633(-) AAACAATGCCC >hg38_chr8:19312721-19312731(+) TGACAATGCCG >hg38_chr8:19350384-19350394(-) GAACAATAGCT >hg38_chr8:19366977-19366987(-) aaacaacggta >hg38_chr8:19370758-19370768(+) ttactatggca >hg38_chr8:19438668-19438678(+) agacaaaggca >hg38_chr8:19460508-19460518(+) GTACCATGGAT >hg38_chr8:19573034-19573044(+) GGACAAAGGAT >hg38_chr8:19663040-19663050(+) GAACAATGCAG >hg38_chr8:19663745-19663755(-) GCACAATAGAA >hg38_chr8:19673134-19673144(-) GGACAATGGTC >hg38_chr8:19679642-19679652(+) GCACAAAGGAA >hg38_chr8:19719738-19719748(+) AGACAATGCCA >hg38_chr8:19733596-19733606(+) TAACAAAGGCA >hg38_chr8:19733609-19733619(+) GCACAATGCAC >hg38_chr8:19754766-19754776(+) GGATAATGGTA >hg38_chr8:19799048-19799058(-) gaacaatggaa >hg38_chr8:19799076-19799086(-) taacaataacc >hg38_chr8:19802972-19802982(+) aaataatggcc >hg38_chr8:19803005-19803015(+) AGACAATGCCT >hg38_chr8:19804802-19804812(-) AGACAATGAAG >hg38_chr8:19821427-19821437(-) Agacaaaggca >hg38_chr8:19833468-19833478(+) TCACAATGATT >hg38_chr8:19833548-19833558(-) GAACAATGAAC >hg38_chr8:19834148-19834158(+) GTACAATAAGT >hg38_chr8:19842364-19842374(-) caacaatggat >hg38_chr8:19854557-19854567(-) ttacagtggtc >hg38_chr8:19860775-19860785(-) Ttacaatagaa >hg38_chr8:19861462-19861472(+) TCACAATGGTT >hg38_chr8:19862133-19862143(+) agacaatggga >hg38_chr8:19967599-19967609(-) TAACAATGAGG >hg38_chr8:19976917-19976927(-) tgacaatgact >hg38_chr8:19994529-19994539(-) TTACAATGTGG >hg38_chr8:20025590-20025600(+) gtacaataaaa >hg38_chr8:20080372-20080382(-) gaacaatgggt >hg38_chr8:20104402-20104412(-) gaacaatgaag >hg38_chr8:20104455-20104465(+) gcacaatgtgg >hg38_chr8:20140679-20140689(-) TAACAATGACA >hg38_chr8:20183209-20183219(-) GGACAAAGGca >hg38_chr8:20192236-20192246(+) GGACAATAGCC >hg38_chr8:20192250-20192260(+) ATACAATATCT >hg38_chr8:20211307-20211317(+) ACACAATGAGG >hg38_chr8:20223861-20223871(+) AGACAATAGCC >hg38_chr8:20234549-20234559(+) AAACAAAGGGC >hg38_chr8:20268886-20268896(+) GAACAATCGGA >hg38_chr8:20275703-20275713(-) TCACAATGGAG >hg38_chr8:20280444-20280454(-) GGACAATGAGG >hg38_chr8:20317584-20317594(-) TTACAATAAAC >hg38_chr8:20332868-20332878(+) caacaatggac >hg38_chr8:20332884-20332894(+) atacaatggag >hg38_chr8:20362969-20362979(-) ttataatggta >hg38_chr8:20396340-20396350(-) ttacaatgatg >hg38_chr8:20483471-20483481(+) ACACAAAGGAG >hg38_chr8:20508449-20508459(-) TGACAATGCAC >hg38_chr8:20598424-20598434(-) TGACAATGCAT >hg38_chr8:20631716-20631726(-) gaacaaaggta >hg38_chr8:20687714-20687724(-) AGACAATGTAT >hg38_chr8:20867461-20867471(+) TAACAATGCGA >hg38_chr8:20867538-20867548(+) CAACAATGACA >hg38_chr8:20867544-20867554(+) TGACAATAGAT >hg38_chr8:21070876-21070886(-) AGACAATGTAA >hg38_chr8:21070892-21070902(+) TGACAATGCCG >hg38_chr8:21099450-21099460(-) ATACAAAGGCT >hg38_chr8:21115054-21115064(-) GAACAAAGGTG >hg38_chr8:21183911-21183921(+) GAACAATAGGG >hg38_chr8:21201371-21201381(+) GAACAAAGGTT >hg38_chr8:21257929-21257939(+) tcacaatgtat >hg38_chr8:21332371-21332381(+) TGATAATGGAA >hg38_chr8:21348435-21348445(+) caacaatgggc >hg38_chr8:21352285-21352295(-) CAACAATAGGA >hg38_chr8:21470287-21470297(-) CAACAATGGTC >hg38_chr8:21557211-21557221(+) tcacaataggg >hg38_chr8:21565161-21565171(-) gtacaatgggg >hg38_chr8:21567989-21567999(+) TTACAATAACC >hg38_chr8:21568040-21568050(-) GGATAATGGTG >hg38_chr8:21583946-21583956(+) GCACAATGTTC >hg38_chr8:21584012-21584022(+) GTACAATGCCT >hg38_chr8:21607517-21607527(+) gaacaatgaga >hg38_chr8:21621266-21621276(-) GCACAATGTTA >hg38_chr8:21638573-21638583(+) ccacaatgaga >hg38_chr8:21724682-21724692(+) AGACAATGGCA >hg38_chr8:21812287-21812297(-) GGACAATGCGC >hg38_chr8:21882576-21882586(-) agacagtggaa >hg38_chr8:21886689-21886699(-) ggacaatgggc >hg38_chr8:21928275-21928285(-) caacaatgaaa >hg38_chr8:21928293-21928303(-) atacaacggaa >hg38_chr8:21930205-21930215(-) AAACAATACTA >hg38_chr8:21956252-21956262(-) gaacactggaa >hg38_chr8:22050483-22050493(-) AGACAAAGGGC >hg38_chr8:22066519-22066529(+) GAACAATGGCT >hg38_chr8:22131169-22131179(-) GAACAAAGGGC >hg38_chr8:22133076-22133086(+) ACACAATGCCA >hg38_chr8:22133094-22133104(+) GGACAAAGGCA >hg38_chr8:22243127-22243137(+) gaacaatgccg >hg38_chr8:22335956-22335966(+) ttacaatatat >hg38_chr8:22337221-22337231(+) gaacaatgaaa >hg38_chr8:22352876-22352886(+) ACACAATGCGA >hg38_chr8:22366571-22366581(+) GAACAATGGGA >hg38_chr8:22366744-22366754(-) CCACAATGATC >hg38_chr8:22444517-22444527(+) GCATAATGGAT >hg38_chr8:22444549-22444559(+) TAACAATGTGA >hg38_chr8:22494045-22494055(-) ggacaatggtc >hg38_chr8:22497896-22497906(+) TAACAAtgaga >hg38_chr8:22512579-22512589(-) TTACAATGGTT >hg38_chr8:22516345-22516355(-) caacaatgaat >hg38_chr8:22536942-22536952(+) GCACAAAGGTA >hg38_chr8:22537346-22537356(+) ccacaatggga >hg38_chr8:22554244-22554254(-) GCACAATGGAC >hg38_chr8:22587698-22587708(-) AGACAATGTCT >hg38_chr8:22597521-22597531(-) GCACAATGCTT >hg38_chr8:22693583-22693593(+) TCACAATGGAA >hg38_chr8:22693599-22693609(+) TCACAATGGAA >hg38_chr8:22822822-22822832(+) atacaatgctt >hg38_chr8:22874684-22874694(+) CGACAATGTAA >hg38_chr8:22953966-22953976(-) TGACAATAGGA >hg38_chr8:22954020-22954030(-) ACACAAAGGGA >hg38_chr8:22987170-22987180(+) GGACAATAAGA >hg38_chr8:23043797-23043807(-) gaacaatgttg >hg38_chr8:23044482-23044492(+) caacaatgtga >hg38_chr8:23050115-23050125(-) aaacaataggc >hg38_chr8:23054021-23054031(+) taactatggct >hg38_chr8:23059150-23059160(-) acacaatggat >hg38_chr8:23113261-23113271(-) aaacaatgaac >hg38_chr8:23113270-23113280(-) tgacaaaggaa >hg38_chr8:23150505-23150515(+) gaacagtgacg >hg38_chr8:23206489-23206499(-) acacaatgata >hg38_chr8:23228561-23228571(-) agacaatgata >hg38_chr8:23244385-23244395(-) caacaatgagc >hg38_chr8:23244409-23244419(-) atacaatggtt >hg38_chr8:23293576-23293586(-) ACACAATAGGA >hg38_chr8:23363339-23363349(-) CCACAATGGAT >hg38_chr8:23454851-23454861(+) GTACAATGGAT >hg38_chr8:23457999-23458009(-) CAACAATGCCT >hg38_chr8:23567167-23567177(+) CAACAATGGAG >hg38_chr8:23575262-23575272(+) GAACAATGTAT >hg38_chr8:23575324-23575334(-) AAACAATAGTT >hg38_chr8:23584839-23584849(-) atacaatagaa >hg38_chr8:23584858-23584868(-) agacaaaggag >hg38_chr8:23611641-23611651(-) acacaatgcca >hg38_chr8:23715371-23715381(-) TAACAATAGCG >hg38_chr8:23725061-23725071(-) ATACAAAGGTG >hg38_chr8:23736137-23736147(+) agacagtggaa >hg38_chr8:23853412-23853422(-) AAACAATAGCA >hg38_chr8:23854234-23854244(+) AAACAAAGGAG >hg38_chr8:23859499-23859509(+) CAACAATGAGG >hg38_chr8:23861143-23861153(+) TGACAATAATA >hg38_chr8:23861403-23861413(+) TAACAAAGGAA >hg38_chr8:23883237-23883247(+) GAACAATGGCC >hg38_chr8:23883261-23883271(-) GAACAATAACT >hg38_chr8:23890919-23890929(-) GCACAATGCCA >hg38_chr8:23909661-23909671(-) aaacaatgcaa >hg38_chr8:23909711-23909721(+) agacaatagcg >hg38_chr8:23942868-23942878(-) ctacaaaggat >hg38_chr8:23951401-23951411(-) GGACAAAGGCA >hg38_chr8:23977523-23977533(-) GTACAATAATG >hg38_chr8:23977536-23977546(+) GGACAATGGAA >hg38_chr8:24042711-24042721(+) ccacaatgact >hg38_chr8:24064725-24064735(+) agacaatagta >hg38_chr8:24110916-24110926(-) ATACAGTGGCC >hg38_chr8:24123055-24123065(+) AAACAATGCTT >hg38_chr8:24128563-24128573(+) caataatggat >hg38_chr8:24128874-24128884(+) agacaatatta >hg38_chr8:24128892-24128902(-) gaataatgggt >hg38_chr8:24156235-24156245(+) ACACAATGGAA >hg38_chr8:24190308-24190318(+) TCACAATAGAA >hg38_chr8:24202931-24202941(-) ACACAATGATT >hg38_chr8:24207370-24207380(+) TAACAGTGGAA >hg38_chr8:24213096-24213106(+) aaacaatagaa >hg38_chr8:24213133-24213143(+) gtagaatggta >hg38_chr8:24221832-24221842(-) ccacaatggtt >hg38_chr8:24244194-24244204(-) aaacaatgcag >hg38_chr8:24259273-24259283(+) AAACAATGAAC >hg38_chr8:24263869-24263879(-) GGACAAAGGGA >hg38_chr8:24293968-24293978(-) CAACAATGGCC >hg38_chr8:24319774-24319784(+) AAACAATGGTG >hg38_chr8:24320680-24320690(+) TCACAATGAAA >hg38_chr8:24349868-24349878(-) CCACAATGGAG >hg38_chr8:24369674-24369684(-) gaacaatggct >hg38_chr8:24371319-24371329(-) CAACAATGCAT >hg38_chr8:24434424-24434434(-) CAATAATGGAG >hg38_chr8:24445994-24446004(-) aaacactggat >hg38_chr8:24446054-24446064(-) atacaatggtg >hg38_chr8:24470554-24470564(+) taacaatgttt >hg38_chr8:24470569-24470579(+) caacaatagac >hg38_chr8:24509304-24509314(+) TGACAATGTGC >hg38_chr8:24511672-24511682(+) TGACAATGCAT >hg38_chr8:24512231-24512241(+) GTATAATGGAT >hg38_chr8:24512279-24512289(-) CCACAATGTCA >hg38_chr8:24527530-24527540(-) GCATAATGGGT >hg38_chr8:24546167-24546177(+) tcacaatgtaa >hg38_chr8:24554477-24554487(-) TAACAAAGGCT >hg38_chr8:24554874-24554884(+) GAACAATAGCC >hg38_chr8:24594642-24594652(-) TTATAATGGCT >hg38_chr8:24653714-24653724(+) acacaatggca >hg38_chr8:24673979-24673989(-) GGACAATAAAA >hg38_chr8:24673990-24674000(+) ACACAATGGTT >hg38_chr8:24680760-24680770(+) taataatggac >hg38_chr8:24768281-24768291(-) GAACAAAGGCA >hg38_chr8:24824154-24824164(-) ttacaataact >hg38_chr8:24829296-24829306(-) aaacaaaggaa >hg38_chr8:24834876-24834886(+) agacaatggga >hg38_chr8:24958681-24958691(-) GAACAATGAGG >hg38_chr8:24958749-24958759(-) GCACAAAGGAA >hg38_chr8:24969280-24969290(+) ccacaatgagg >hg38_chr8:24969553-24969563(-) gaacaatgctg >hg38_chr8:24988035-24988045(-) gaacaataaat >hg38_chr8:24995804-24995814(+) ATACAATGCAG >hg38_chr8:25022104-25022114(-) AAACAAAGGAC >hg38_chr8:25023403-25023413(-) TAACAATGAGG >hg38_chr8:25049889-25049899(-) AGACAATGAAT >hg38_chr8:25065656-25065666(+) ATACAATATTT >hg38_chr8:25088427-25088437(-) CCACAATAGGA >hg38_chr8:25098490-25098500(-) ggacaaagggc >hg38_chr8:25179854-25179864(-) GGACAAAGGAA >hg38_chr8:25185788-25185798(+) AAACAATAGAT >hg38_chr8:25215167-25215177(+) TAACAATGGCT >hg38_chr8:25234837-25234847(-) GGACAATGAAA >hg38_chr8:25352415-25352425(-) CAACAATGGCC >hg38_chr8:25393044-25393054(-) TTACAAAGGAG >hg38_chr8:25426699-25426709(-) AGACAATGCTA >hg38_chr8:25433776-25433786(+) TGACAATGAAA >hg38_chr8:25491366-25491376(+) gtacaatgaag >hg38_chr8:25511689-25511699(-) agacaataaca >hg38_chr8:25515698-25515708(-) AAACAATGCTG >hg38_chr8:25546614-25546624(+) ATACAATGGGG >hg38_chr8:25557528-25557538(-) AAACAATGACC >hg38_chr8:25599919-25599929(+) AAACAATGGCT >hg38_chr8:25634460-25634470(+) gtaccatggat >hg38_chr8:25645538-25645548(+) CTACAATGAGG >hg38_chr8:25679810-25679820(+) TTACAATGGAC >hg38_chr8:25681792-25681802(+) ccacaatgacc >hg38_chr8:25708581-25708591(-) TAACAATGTTC >hg38_chr8:25737696-25737706(-) GAACAATGGGG >hg38_chr8:25737736-25737746(+) TGACAAAGGGA >hg38_chr8:25744446-25744456(-) AAACAATGTCA >hg38_chr8:25781461-25781471(+) ACACAATAGGC >hg38_chr8:25835262-25835272(-) TTACAATGTAA >hg38_chr8:25856627-25856637(-) AAACAATGATA >hg38_chr8:25856638-25856648(+) ACACAATGGAT >hg38_chr8:25873927-25873937(+) TAACAACGGAG >hg38_chr8:25905400-25905410(-) aaacaatgaca >hg38_chr8:25935563-25935573(-) AGACAAAGGGT >hg38_chr8:25943055-25943065(-) AGACAATGTGC >hg38_chr8:25953180-25953190(+) tgaccatggcg >hg38_chr8:25989699-25989709(-) ACACAATGTCT >hg38_chr8:25991392-25991402(-) atacaatgggc >hg38_chr8:25993698-25993708(+) AGACAAAGGAA >hg38_chr8:26058243-26058253(+) CTACAATGGTT >hg38_chr8:26070559-26070569(+) CAACAATGGGT >hg38_chr8:26070574-26070584(-) ATACAATGTAT >hg38_chr8:26151542-26151552(+) CAACAATGAGG >hg38_chr8:26166217-26166227(+) GAACAATGCCG >hg38_chr8:26167974-26167984(-) AAACAATGCTC >hg38_chr8:26204477-26204487(-) AAACAATCGGC >hg38_chr8:26204813-26204823(-) GAACAATGTAG >hg38_chr8:26266140-26266150(+) GAACAATGCGA >hg38_chr8:26271804-26271814(+) GCACAAAGGAC >hg38_chr8:26304718-26304728(-) CTACAATAGCC >hg38_chr8:26333062-26333072(+) gcacaatgttt >hg38_chr8:26339438-26339448(+) TTACAATGATT >hg38_chr8:26340574-26340584(-) ACACAATGCCT >hg38_chr8:26340582-26340592(-) TGACAATGACA >hg38_chr8:26354228-26354238(-) AAATAATGACG >hg38_chr8:26356406-26356416(+) TCACAATAGAC >hg38_chr8:26366098-26366108(-) TGACAAAGGAA >hg38_chr8:26366169-26366179(-) TAACAATAAAG >hg38_chr8:26374093-26374103(-) TTACAAAGGAG >hg38_chr8:26374514-26374524(-) GTACAATATAA >hg38_chr8:26374954-26374964(-) ATAGAATGGTA >hg38_chr8:26387788-26387798(-) tgacaataggt >hg38_chr8:26512535-26512545(+) TGACAATGGGA >hg38_chr8:26515515-26515525(-) ACACAATAAAA >hg38_chr8:26515535-26515545(+) AAACAAAGGCC >hg38_chr8:26571453-26571463(-) GGACAAAGGGC >hg38_chr8:26577632-26577642(-) GGACAATGGAG >hg38_chr8:26579171-26579181(+) AGACAATGATC >hg38_chr8:26587631-26587641(+) ACACAATGAGA >hg38_chr8:26595542-26595552(-) AGACAATGCAA >hg38_chr8:26611692-26611702(-) TTACAATGCAA >hg38_chr8:26623828-26623838(-) GGACAATGGCA >hg38_chr8:26651215-26651225(-) ATACAATGTTG >hg38_chr8:26651229-26651239(-) GCACAATGCCC >hg38_chr8:26704893-26704903(-) aaacaatgttg >hg38_chr8:26704942-26704952(-) tgataatggag >hg38_chr8:26738620-26738630(+) ttacaatataa >hg38_chr8:26738646-26738656(+) acacagtggaa >hg38_chr8:26738661-26738671(+) agacaaagggt >hg38_chr8:26738692-26738702(-) tgacaataggt >hg38_chr8:26754196-26754206(-) CTACAATGGCT >hg38_chr8:26792064-26792074(+) ATACAATACAT >hg38_chr8:26955380-26955390(-) GCACAATAGCT >hg38_chr8:27049317-27049327(-) GGACAATGCTT >hg38_chr8:27049641-27049651(+) AAACAAAGGGG >hg38_chr8:27083445-27083455(-) gcacaatgtcc >hg38_chr8:27089730-27089740(-) agacactggac >hg38_chr8:27104674-27104684(+) GAACAAAGGTA >hg38_chr8:27174198-27174208(+) ggacaatggga >hg38_chr8:27232839-27232849(-) gaacaatggct >hg38_chr8:27280853-27280863(-) GAACAATGTGG >hg38_chr8:27318219-27318229(+) taacaatgcat >hg38_chr8:27547592-27547602(+) aaacaatgtat >hg38_chr8:27547600-27547610(-) caacaatgata >hg38_chr8:27590604-27590614(+) atacaataaca >hg38_chr8:27590628-27590638(-) gcacaatgtcc >hg38_chr8:27611491-27611501(+) ACACAATGGAC >hg38_chr8:27624931-27624941(-) TTATAATGGCC >hg38_chr8:27627915-27627925(-) atacaatgaaa >hg38_chr8:27654991-27655001(-) TTACAATAGTT >hg38_chr8:27666441-27666451(-) ttacgatggac >hg38_chr8:27675424-27675434(-) AAACAAAGGGC >hg38_chr8:27680354-27680364(+) caacaatagta >hg38_chr8:27763125-27763135(+) GAACAATGACT >hg38_chr8:27763163-27763173(-) caacaatagaa >hg38_chr8:27766306-27766316(+) GGACAAAGGGC >hg38_chr8:27767624-27767634(+) caacaatgatt >hg38_chr8:27773843-27773853(+) TAACAATAACC >hg38_chr8:27814368-27814378(+) ttacaataacc >hg38_chr8:27832715-27832725(-) ggacaaaggaa >hg38_chr8:27954561-27954571(-) acacaaaggag >hg38_chr8:27954584-27954594(+) aaacaatgctc >hg38_chr8:28018207-28018217(+) ccacaatgtat >hg38_chr8:28018212-28018222(-) taacaatacat >hg38_chr8:28021587-28021597(+) aaacaatgtta >hg38_chr8:28021635-28021645(+) taacaatgggt >hg38_chr8:28072985-28072995(-) caacaatgaaa >hg38_chr8:28093482-28093492(-) gaataatggtt >hg38_chr8:28119958-28119968(-) atacaatggaa >hg38_chr8:28120285-28120295(+) gtataatggta >hg38_chr8:28199112-28199122(+) CCACAATAGCA >hg38_chr8:28302315-28302325(-) gcacaatggag >hg38_chr8:28318393-28318403(-) GAACAATGTTA >hg38_chr8:28319830-28319840(+) TGACAATGAGT >hg38_chr8:28369328-28369338(+) TGACAATGCCA >hg38_chr8:28398656-28398666(-) TGACAATGAAT >hg38_chr8:28409159-28409169(-) GAACAATGACA >hg38_chr8:28492838-28492848(+) atacaGTGGCG >hg38_chr8:28506378-28506388(-) taacaataacc >hg38_chr8:28512309-28512319(-) TAACAATGGAT >hg38_chr8:28533996-28534006(-) CCACAATGAAG >hg38_chr8:28563666-28563676(-) AAACAATGCCC >hg38_chr8:28563917-28563927(-) CAACAATGAAT >hg38_chr8:28589632-28589642(-) AAACAATGTTC >hg38_chr8:28645062-28645072(-) AAACAATGTCC >hg38_chr8:28677617-28677627(-) TCACAATGATT >hg38_chr8:28693844-28693854(-) GAACAATGCAT >hg38_chr8:28712416-28712426(-) ctacaatgcac >hg38_chr8:28712758-28712768(-) CAACAATGATT >hg38_chr8:28715437-28715447(-) TGACAATGCAG >hg38_chr8:28715481-28715491(-) GAACAATGGCT >hg38_chr8:28719780-28719790(-) AAACAATGGCT >hg38_chr8:28745183-28745193(-) CTACAATgccc >hg38_chr8:28752104-28752114(+) GAACAATGGGA >hg38_chr8:28757097-28757107(+) atacaattgat >hg38_chr8:28788764-28788774(+) GAACAAAGGAT >hg38_chr8:28788785-28788795(+) AGACAATGTGA >hg38_chr8:28790965-28790975(-) CTACAAAGGAA >hg38_chr8:28815240-28815250(+) atacaatGTCA >hg38_chr8:28875879-28875889(-) ATACAattgaa >hg38_chr8:28875894-28875904(+) AAACAATGGAT >hg38_chr8:28876331-28876341(-) AAACAATGGAT >hg38_chr8:28876391-28876401(+) ACACAATAAAA >hg38_chr8:28876788-28876798(+) ACACAATAAAT >hg38_chr8:28877969-28877979(-) GAACAATGAGA >hg38_chr8:28887685-28887695(+) CAACAATGCTT >hg38_chr8:28890019-28890029(+) GAATAATGGGA >hg38_chr8:28893885-28893895(-) CTACAATGAAA >hg38_chr8:28894209-28894219(-) CAACAATGCTT >hg38_chr8:28899260-28899270(-) ATACAATGGCT >hg38_chr8:28919520-28919530(+) GCACAATGCTG >hg38_chr8:28919555-28919565(+) ATACAGTGGAT >hg38_chr8:28940621-28940631(+) gaatAATGGTT >hg38_chr8:28942717-28942727(-) atacaatgcaa >hg38_chr8:28954559-28954569(+) GTACAATGTAA >hg38_chr8:28954603-28954613(+) TCACAATGCAG >hg38_chr8:28957082-28957092(-) gaacaatatat >hg38_chr8:28957136-28957146(+) acacaatagca >hg38_chr8:28960280-28960290(-) TAACAATACAC >hg38_chr8:28975905-28975915(+) TTACAATGAGG >hg38_chr8:28975914-28975924(+) GGACAATAGGA >hg38_chr8:28980621-28980631(-) AGACAATGGTG >hg38_chr8:28983070-28983080(-) GTACAATAGAT >hg38_chr8:29018150-29018160(+) agacaatgttg >hg38_chr8:29025546-29025556(-) TAACAATGGTT >hg38_chr8:29025557-29025567(-) GAACACTGGTC >hg38_chr8:29039530-29039540(-) AAACAAAGGTG >hg38_chr8:29044191-29044201(+) TCACAATAGGA >hg38_chr8:29053729-29053739(-) AAACAATGAAA >hg38_chr8:29072949-29072959(+) AAACAATGATC >hg38_chr8:29073433-29073443(-) AAACAATAGGA >hg38_chr8:29240738-29240748(-) TTACAATGAAA >hg38_chr8:29241550-29241560(+) taacaatacta >hg38_chr8:29352166-29352176(-) GAACAAAGGCA >hg38_chr8:29426403-29426413(-) TGACAATGGAG >hg38_chr8:29464269-29464279(-) tcacaatagct >hg38_chr8:29490792-29490802(-) GAACAATGTCA >hg38_chr8:29526137-29526147(+) GGACAACGGCA >hg38_chr8:29542040-29542050(-) ttacaataacc >hg38_chr8:29559486-29559496(-) AAACAATATAT >hg38_chr8:29559516-29559526(-) AAACAATACGT >hg38_chr8:29585392-29585402(+) AGACAAAGGGA >hg38_chr8:29596597-29596607(+) TGACAATGAGC >hg38_chr8:29607408-29607418(-) CAACAATAGTC >hg38_chr8:29627630-29627640(-) CTACAATGGGA >hg38_chr8:29636183-29636193(-) GCACACTGGCG >hg38_chr8:29637539-29637549(+) GGACAATGGAA >hg38_chr8:29641157-29641167(+) TGACAATGACA >hg38_chr8:29669485-29669495(+) TCACAAAGGAT >hg38_chr8:29677560-29677570(+) gcacaatgaag >hg38_chr8:29696125-29696135(+) caacaatgttg >hg38_chr8:29711884-29711894(-) TAATAATGGAG >hg38_chr8:29713429-29713439(-) tcataatggaa >hg38_chr8:29723113-29723123(-) GAACAATGGAA >hg38_chr8:29782199-29782209(-) AGACAATGGAC >hg38_chr8:29782211-29782221(+) CCACAATGGAA >hg38_chr8:29802962-29802972(+) aaacaatgact >hg38_chr8:29802969-29802979(-) atacaatagtc >hg38_chr8:29802986-29802996(+) ttacaatataa >hg38_chr8:29806758-29806768(+) GAACAATGAAA >hg38_chr8:29807483-29807493(-) GAACAATGATT >hg38_chr8:29807499-29807509(+) ACACAAAGGAA >hg38_chr8:29827989-29827999(-) TGACAATGGGC >hg38_chr8:29841197-29841207(-) AAACAACGGGA >hg38_chr8:29842230-29842240(-) AAACAAAGGAT >hg38_chr8:29849309-29849319(-) TCACAATGGTG >hg38_chr8:29886249-29886259(-) ACACAATGGAT >hg38_chr8:29903419-29903429(+) gaacaatgggg >hg38_chr8:29907957-29907967(+) ATATAATGGCT >hg38_chr8:29920542-29920552(+) TCACAATGGGC >hg38_chr8:29940078-29940088(-) TGACAATGTAT >hg38_chr8:29958419-29958429(-) acacaaaggag >hg38_chr8:29970127-29970137(+) AGACAATGGCC >hg38_chr8:30081245-30081255(+) GAACAATAGTT >hg38_chr8:30081639-30081649(+) CGACAATGCAG >hg38_chr8:30098488-30098498(-) aaacaaaggcc >hg38_chr8:30104425-30104435(+) TTACAACGGGC >hg38_chr8:30104432-30104442(-) CGACAATGCCC >hg38_chr8:30104459-30104469(-) ATACAATAGGG >hg38_chr8:30105876-30105886(-) TGACAATAGTT >hg38_chr8:30125363-30125373(-) TGACAATAGTT >hg38_chr8:30125421-30125431(+) ATACAATAGAA >hg38_chr8:30142099-30142109(+) GGACAATGTAG >hg38_chr8:30156379-30156389(+) GTACCATGGCG >hg38_chr8:30179567-30179577(+) CTACAATAGCT >hg38_chr8:30189228-30189238(-) TGACAATGAAG >hg38_chr8:30241493-30241503(-) tcacaatagtt >hg38_chr8:30279802-30279812(+) GGACAAAGGAA >hg38_chr8:30339293-30339303(+) ATACAATAAAC >hg38_chr8:30339300-30339310(+) AAACAATGTGT >hg38_chr8:30358651-30358661(+) TAACAATAGGA >hg38_chr8:30384817-30384827(-) AGACAATCGGG >hg38_chr8:30386318-30386328(-) GGACAATGTGC >hg38_chr8:30386383-30386393(+) AAACAATGCAA >hg38_chr8:30427161-30427171(-) GAACAATAGCT >hg38_chr8:30439529-30439539(-) TCACAATGTCA >hg38_chr8:30449100-30449110(-) TGACAATGTGC >hg38_chr8:30449125-30449135(-) CCACAATGGGA >hg38_chr8:30468741-30468751(-) ACACAGTGGAT >hg38_chr8:30481027-30481037(+) GAACAATAGGC >hg38_chr8:30513649-30513659(-) TTACAATAGAA >hg38_chr8:30513701-30513711(-) ATATAATGAAT >hg38_chr8:30533658-30533668(-) CCACAATGGCA >hg38_chr8:30561437-30561447(-) TGACAATGGAG >hg38_chr8:30601935-30601945(+) ctacaatagaa >hg38_chr8:30604484-30604494(-) TAACAATGCTG >hg38_chr8:30604523-30604533(+) ACACAGTGGAA >hg38_chr8:30637290-30637300(+) TGACAATGTGT >hg38_chr8:30638532-30638542(-) CCACAATGGAG >hg38_chr8:30642398-30642408(+) TAACAATAGAC >hg38_chr8:30645440-30645450(+) TTACAGTGGTA >hg38_chr8:30647971-30647981(+) ctacaatggag >hg38_chr8:30704649-30704659(+) GAATAATGGAA >hg38_chr8:30715501-30715511(+) GAACAATGCTA >hg38_chr8:30722217-30722227(+) CAACAATAGCA >hg38_chr8:30722872-30722882(+) GAACAATGATT >hg38_chr8:30737357-30737367(+) acataatggag >hg38_chr8:30745185-30745195(+) gaacaataaca >hg38_chr8:30800193-30800203(+) atacaatggaa >hg38_chr8:30832550-30832560(-) AAACAATGTGG >hg38_chr8:30833655-30833665(+) GAACAATATAG >hg38_chr8:30863557-30863567(-) caacaatggta >hg38_chr8:30872063-30872073(+) AGACAATGGGT >hg38_chr8:30919266-30919276(-) GAACAATAAGA >hg38_chr8:30962569-30962579(+) AAACAATGCTT >hg38_chr8:30993803-30993813(-) AAACACTGGAA >hg38_chr8:31007116-31007126(+) GAACAATAAAA >hg38_chr8:31063513-31063523(-) cgacaatatct >hg38_chr8:31067801-31067811(-) AAACAATAAAA >hg38_chr8:31084785-31084795(-) gaacaatagct >hg38_chr8:31084812-31084822(-) tcacaatgttg >hg38_chr8:31090309-31090319(-) AAACAATGCCA >hg38_chr8:31100146-31100156(-) TGACAATGTTT >hg38_chr8:31100166-31100176(+) TTACAATAACA >hg38_chr8:31106590-31106600(-) agacaatgtgg >hg38_chr8:31121367-31121377(-) acacagtggac >hg38_chr8:31121449-31121459(+) gtataatgggA >hg38_chr8:31131676-31131686(+) TTACAATAGGC >hg38_chr8:31184195-31184205(+) ACACAATGCCT >hg38_chr8:31203767-31203777(+) agacaatgaag >hg38_chr8:31203794-31203804(+) aaacaatgtaa >hg38_chr8:31311886-31311896(+) GTACAATGTAA >hg38_chr8:31343009-31343019(+) TGATAATGGCA >hg38_chr8:31343047-31343057(+) GTACAATATAC >hg38_chr8:31376852-31376862(-) aaacaataaaa >hg38_chr8:31421914-31421924(-) aaacaatgaat >hg38_chr8:31421945-31421955(-) acacaatggga >hg38_chr8:31431613-31431623(-) TAACAATGCAG >hg38_chr8:31620945-31620955(+) aaacaatgctg >hg38_chr8:31663469-31663479(-) ACATAATGGGC >hg38_chr8:31795517-31795527(-) GGACAATATAG >hg38_chr8:31823179-31823189(+) AAACAATGTCA >hg38_chr8:31827737-31827747(-) AAACAATCGAA >hg38_chr8:31844108-31844118(-) taacaatgaac >hg38_chr8:31846637-31846647(+) GTACAATGGAA >hg38_chr8:31846667-31846677(+) ACACAATGCTG >hg38_chr8:31846707-31846717(-) ATACAATGAAA >hg38_chr8:31890617-31890627(+) gaacaatgaat >hg38_chr8:31900088-31900098(+) ACACAATGAGA >hg38_chr8:31936815-31936825(-) ATACAATGATT >hg38_chr8:31955661-31955671(-) AAACAATGGGA >hg38_chr8:31979135-31979145(+) GCACAATGACT >hg38_chr8:32020600-32020610(-) AAACTATGGTT >hg38_chr8:32078965-32078975(+) GAACAATGACT >hg38_chr8:32113971-32113981(-) CAACAATAGTT >hg38_chr8:32131701-32131711(-) AGACAATAGCA >hg38_chr8:32132154-32132164(+) CTACAATGGGA >hg38_chr8:32133533-32133543(-) AAACAATACTA >hg38_chr8:32218726-32218736(-) ATACAATGAAG >hg38_chr8:32219542-32219552(-) ATACAATAAGG >hg38_chr8:32226868-32226878(+) GAACAATAACT >hg38_chr8:32258310-32258320(+) TAACAATGata >hg38_chr8:32269709-32269719(-) AGACTATGGCA >hg38_chr8:32269724-32269734(+) AGACAATGGAA >hg38_chr8:32306024-32306034(+) acacaatgcag >hg38_chr8:32323012-32323022(+) TAACTATGGCC >hg38_chr8:32323810-32323820(+) AGACAATAGCT >hg38_chr8:32331655-32331665(+) TCACAATGCAA >hg38_chr8:32334479-32334489(-) TAATAATGGCA >hg38_chr8:32335448-32335458(-) TGACAATGGAT >hg38_chr8:32397853-32397863(-) AAATAATGGTA >hg38_chr8:32415879-32415889(-) GTACAAAGGGA >hg38_chr8:32477007-32477017(+) AGACAATGTAT >hg38_chr8:32494815-32494825(-) GGACAATGAGG >hg38_chr8:32510683-32510693(+) AAACAAAGGGC >hg38_chr8:32512995-32513005(+) AAACAAAGACG >hg38_chr8:32583782-32583792(-) GAACaatgaga >hg38_chr8:32589735-32589745(+) GTACAATATTC >hg38_chr8:32629982-32629992(-) taacaatatcc >hg38_chr8:32629994-32630004(-) acataatggga >hg38_chr8:32651681-32651691(-) TAACAATGGTT >hg38_chr8:32672771-32672781(+) taacaatatag >hg38_chr8:32672782-32672792(+) taataatGGCC >hg38_chr8:32672789-32672799(-) CAACAATGGCC >hg38_chr8:32699992-32700002(+) tcacaatgttg >hg38_chr8:32711187-32711197(+) gaacaaaggca >hg38_chr8:32834714-32834724(-) GCATAATGGAC >hg38_chr8:32848717-32848727(-) aaacaatgcga >hg38_chr8:32858490-32858500(+) ACACAATGAAG >hg38_chr8:32872929-32872939(+) caacaatgccc >hg38_chr8:32873314-32873324(-) acacaataggc >hg38_chr8:32873383-32873393(+) TCACAATGAAT >hg38_chr8:32881002-32881012(-) GTACAATGAAG >hg38_chr8:32881057-32881067(-) TCACAATGATA >hg38_chr8:32890110-32890120(-) GCACAATGAGA >hg38_chr8:32918629-32918639(+) AAACAAAGGCA >hg38_chr8:32956697-32956707(+) AAACAATGCTT >hg38_chr8:33107900-33107910(+) ATACAATAGGA >hg38_chr8:33120527-33120537(-) acacaatgctg >hg38_chr8:33136205-33136215(-) ggactatggtt >hg38_chr8:33173936-33173946(-) AAACAATGCTT >hg38_chr8:33198944-33198954(+) acacaatgcat >hg38_chr8:33199710-33199720(-) GAACAATGTTA >hg38_chr8:33231848-33231858(-) gcataatggtg >hg38_chr8:33231875-33231885(+) ggacaatgtct >hg38_chr8:33255147-33255157(+) atacaatagac >hg38_chr8:33273685-33273695(+) GAACAATGAGC >hg38_chr8:33336941-33336951(+) TGACAATAGGG >hg38_chr8:33352680-33352690(+) AAACAAAGGGA >hg38_chr8:33352698-33352708(+) GGACAATGAAA >hg38_chr8:33359467-33359477(+) GAACAAAGGGA >hg38_chr8:33369005-33369015(+) GTACAATGCCT >hg38_chr8:33369024-33369034(+) ACACAATAAAT >hg38_chr8:33369813-33369823(+) TAACAATGATA >hg38_chr8:33404663-33404673(+) tgacaATGGCA >hg38_chr8:33419446-33419456(+) tgacaataggg >hg38_chr8:33493582-33493592(-) caacaatgcac >hg38_chr8:33499984-33499994(-) AAATAATGGGC >hg38_chr8:33501063-33501073(+) ggacaatgcta >hg38_chr8:33564994-33565004(-) AGACAATGGAA >hg38_chr8:33598735-33598745(+) CAACAATGGCA >hg38_chr8:33609639-33609649(-) ggacaatgaga >hg38_chr8:33653414-33653424(+) GAACAATGGTA >hg38_chr8:33805941-33805951(-) TTACAATAGAT >hg38_chr8:33835835-33835845(+) ACACAATGTGC >hg38_chr8:33925388-33925398(+) ctacaatgtta >hg38_chr8:33943951-33943961(-) TAACAATGTCA >hg38_chr8:33998394-33998404(-) TAACAATGATA >hg38_chr8:34034021-34034031(+) AGACAATAGGG >hg38_chr8:34076147-34076157(-) GTACAAAGGAG >hg38_chr8:34080183-34080193(+) tgacaaaggga >hg38_chr8:34080215-34080225(-) Acacaatgcaa >hg38_chr8:34097739-34097749(-) GCACAATATAT >hg38_chr8:34100780-34100790(+) AAACAAAGGCT >hg38_chr8:34100794-34100804(+) ATACAATGGTT >hg38_chr8:34327058-34327068(+) ttacaaaggtg >hg38_chr8:34393371-34393381(+) TAACAATAGAT >hg38_chr8:34423155-34423165(-) TAACAATGAAT >hg38_chr8:34513897-34513907(-) TGACAAAGGAA >hg38_chr8:34600019-34600029(+) AAACGATGGAC >hg38_chr8:34600038-34600048(-) GAACAATAACG >hg38_chr8:34690701-34690711(+) taacaataaat >hg38_chr8:34752182-34752192(-) GCACAAAGGTA >hg38_chr8:34781553-34781563(-) TCACAATGGGA >hg38_chr8:34781566-34781576(+) GCACAATGACT >hg38_chr8:34820205-34820215(+) ATACAATAGGC >hg38_chr8:34820226-34820236(+) AAACAAAGGCT >hg38_chr8:34835830-34835840(-) GGACAATGATG >hg38_chr8:34835865-34835875(+) TTACAATGCAG >hg38_chr8:34835830-34835840(-) GGACAATGATG >hg38_chr8:34835865-34835875(+) TTACAATGCAG >hg38_chr8:34844118-34844128(+) AAACAATAAGT >hg38_chr8:34846974-34846984(+) taacaatgtca >hg38_chr8:34886384-34886394(+) TAACAATAAAA >hg38_chr8:35003978-35003988(-) CAACAATAGCT >hg38_chr8:35004392-35004402(+) AGACACTGGTA >hg38_chr8:35014204-35014214(+) ACACAATGGTC >hg38_chr8:35038129-35038139(+) caacaatgaga >hg38_chr8:35074573-35074583(+) aaacaatgtaa >hg38_chr8:35080532-35080542(+) TCACAATGGCC >hg38_chr8:35183275-35183285(+) GAACAATGAAT >hg38_chr8:35192689-35192699(-) ttacaatggct >hg38_chr8:35193005-35193015(-) atacaaagggg >hg38_chr8:35222657-35222667(-) aaacaaaggaa >hg38_chr8:35277620-35277630(-) CAACAATGAGG >hg38_chr8:35294910-35294920(-) gtacaatgcca >hg38_chr8:35294924-35294934(+) acacaatgaaa >hg38_chr8:35303778-35303788(+) CAACAATGCTA >hg38_chr8:35475872-35475882(+) CTACTATGGAA >hg38_chr8:35492808-35492818(+) ccacaatgggc >hg38_chr8:35526267-35526277(-) GAACAATGCAA >hg38_chr8:35559956-35559966(-) ACATAATGGAG >hg38_chr8:35561337-35561347(+) ccacaatggaa >hg38_chr8:35582722-35582732(-) AAACAAAGGGC >hg38_chr8:35594791-35594801(-) gaacaatgcac >hg38_chr8:35651059-35651069(-) ATACAATGGGG >hg38_chr8:35801543-35801553(-) AGACAATAGAA >hg38_chr8:35829551-35829561(-) taacaatacac >hg38_chr8:35861768-35861778(-) AGACAAAGGGA >hg38_chr8:35871009-35871019(-) GAACAATCGTT >hg38_chr8:35888302-35888312(+) GTATAATGGAT >hg38_chr8:35980761-35980771(-) gaacaatgaaa >hg38_chr8:36020252-36020262(-) aaacaatagag >hg38_chr8:36044683-36044693(-) AAACAATGGCA >hg38_chr8:36045666-36045676(-) GTACAATGTCG >hg38_chr8:36143338-36143348(+) aaacaatggat >hg38_chr8:36157240-36157250(+) TTACAATGCCC >hg38_chr8:36157258-36157268(-) GGACAATGCCA >hg38_chr8:36256595-36256605(-) AAACAATGAGG >hg38_chr8:36274913-36274923(+) TAACAATATAT >hg38_chr8:36280994-36281004(-) tgacaatagac >hg38_chr8:36307236-36307246(-) TAATAATGGTA >hg38_chr8:36327857-36327867(+) CTACAGTGGTA >hg38_chr8:36355722-36355732(+) aaacaaaGGGA >hg38_chr8:36583577-36583587(-) TCACAATGAGG >hg38_chr8:36587545-36587555(-) AGACAATGACA >hg38_chr8:36673600-36673610(-) agacaatggca >hg38_chr8:36684009-36684019(+) GTACAATGGCT >hg38_chr8:36720324-36720334(+) ccacaatgtgt >hg38_chr8:36733231-36733241(+) GCACTATGGAT >hg38_chr8:36733282-36733292(-) GAACAATGGGA >hg38_chr8:36738482-36738492(+) atacaataaag >hg38_chr8:36739074-36739084(+) tgacaatgccg >hg38_chr8:36749348-36749358(+) tgacaatagcc >hg38_chr8:36854058-36854068(-) aaacaatagag >hg38_chr8:36858495-36858505(-) ATACAATAGGA >hg38_chr8:36868256-36868266(+) GGACAAAGGGA >hg38_chr8:36935839-36935849(+) TGACAATGCAA >hg38_chr8:36936833-36936843(+) CAACAAAGGTA >hg38_chr8:36946444-36946454(-) atacaatgctc >hg38_chr8:36957020-36957030(-) atacaataggg >hg38_chr8:36981681-36981691(-) GAACAATGCAT >hg38_chr8:37017074-37017084(+) TGACAATaata >hg38_chr8:37017080-37017090(+) Taataatggaa >hg38_chr8:37027816-37027826(+) AGACAATGGCT >hg38_chr8:37033083-37033093(-) aaacaatgtga >hg38_chr8:37033596-37033606(+) taacaatggca >hg38_chr8:37044362-37044372(+) ACACAATGAAG >hg38_chr8:37079926-37079936(+) AGACAAAGGTA >hg38_chr8:37085253-37085263(-) TCACAATAATA >hg38_chr8:37110239-37110249(+) ttacaaaggag >hg38_chr8:37110261-37110271(+) ctacaatgaga >hg38_chr8:37114050-37114060(-) agacaatatac >hg38_chr8:37145024-37145034(-) agacaatgagc >hg38_chr8:37209597-37209607(-) TTACAATGCCC >hg38_chr8:37211564-37211574(+) ttacaataata >hg38_chr8:37211575-37211585(+) gcacaaaggaa >hg38_chr8:37211591-37211601(+) caacaatgaag >hg38_chr8:37229149-37229159(+) AGACAATGAAA >hg38_chr8:37235658-37235668(+) TGATAATGGAA >hg38_chr8:37346700-37346710(+) caacaatggag >hg38_chr8:37347304-37347314(+) gaacaataggg >hg38_chr8:37388468-37388478(-) ACACAATGATT >hg38_chr8:37417848-37417858(+) ACACAATGTTG >hg38_chr8:37472972-37472982(+) GAACAATCGAA >hg38_chr8:37485009-37485019(-) taacaaaggca >hg38_chr8:37547962-37547972(+) GCACAATAGAA >hg38_chr8:37615402-37615412(+) TAACAAAGGGC >hg38_chr8:37631710-37631720(+) taacaataaag >hg38_chr8:37703190-37703200(-) ATACAAAGGCT >hg38_chr8:37703862-37703872(-) TCACAATAGGG >hg38_chr8:37766391-37766401(-) ATACAATGACA >hg38_chr8:37798700-37798710(-) ACACAATCGAA >hg38_chr8:37897928-37897938(-) TTACAATGCGG >hg38_chr8:37940166-37940176(+) GGACAACGGGT >hg38_chr8:38000354-38000364(-) ttacaatgggg >hg38_chr8:38031553-38031563(-) CGACAATGAGA >hg38_chr8:38032026-38032036(-) AGACAAAGGAG >hg38_chr8:38037112-38037122(-) TCATAATGGAG >hg38_chr8:38070940-38070950(+) TTACAAAGGGC >hg38_chr8:38107611-38107621(-) AGACAATATAC >hg38_chr8:38134376-38134386(-) AGACACTGGAC >hg38_chr8:38187071-38187081(+) atacactggaa >hg38_chr8:38187153-38187163(-) atataatggct >hg38_chr8:38191177-38191187(-) tcacaatggga >hg38_chr8:38192317-38192327(-) CGACAATGCCA >hg38_chr8:38238025-38238035(-) ATACAATGCAG >hg38_chr8:38261215-38261225(-) AAACAATGAAC >hg38_chr8:38263295-38263305(-) TCACAATGGAA >hg38_chr8:38278599-38278609(+) GAACAATGACA >hg38_chr8:38295752-38295762(+) GCACAATGATT >hg38_chr8:38298618-38298628(+) TCATAATGGGA >hg38_chr8:38299253-38299263(+) CAACAATGGAA >hg38_chr8:38305993-38306003(+) aaacaatagaa >hg38_chr8:38307664-38307674(+) GAACAATGGTA >hg38_chr8:38330349-38330359(+) CCACAATGCAT >hg38_chr8:38330416-38330426(+) AGACAAAGGAG >hg38_chr8:38338734-38338744(+) GAACAATGTAA >hg38_chr8:38347216-38347226(-) TTACAATAGAC >hg38_chr8:38372157-38372167(+) TAACAATATAG >hg38_chr8:38383257-38383267(+) AAACAATGGAA >hg38_chr8:38384849-38384859(+) ATACAATGCAT >hg38_chr8:38385181-38385191(+) TAACAGTGGAT >hg38_chr8:38410845-38410855(+) TAACAATGAGA >hg38_chr8:38430690-38430700(+) ACACAAAGGTC >hg38_chr8:38440758-38440768(+) GGACAATGGCA >hg38_chr8:38448002-38448012(+) acacaatggaa >hg38_chr8:38450413-38450423(-) TCACAATGCCT >hg38_chr8:38468170-38468180(+) GAACAATGGAG >hg38_chr8:38473502-38473512(+) taacaatggta >hg38_chr8:38485868-38485878(-) CCACAATGGCC >hg38_chr8:38485916-38485926(-) AGACAATGCAG >hg38_chr8:38530913-38530923(-) GAACAATGGCT >hg38_chr8:38531287-38531297(-) AAACACTGGAA >hg38_chr8:38548227-38548237(-) gcacaaaggat >hg38_chr8:38562796-38562806(-) GAACAATAAGA >hg38_chr8:38567691-38567701(+) AAACACTGGTA >hg38_chr8:38567713-38567723(+) AAACAATGACC >hg38_chr8:38639102-38639112(-) aaacaataact >hg38_chr8:38691681-38691691(-) GAACAATGGTT >hg38_chr8:38692582-38692592(-) gaacaatgggg >hg38_chr8:38697853-38697863(-) GGACAATGGAG >hg38_chr8:38705989-38705999(+) acacaatggtt >hg38_chr8:38712817-38712827(-) GGACAATGTGG >hg38_chr8:38721611-38721621(-) GGACAATAAAC >hg38_chr8:38734620-38734630(+) CAACAAAGGAC >hg38_chr8:38734650-38734660(-) AAACTATGGAA >hg38_chr8:38739967-38739977(-) aaacaatggct >hg38_chr8:38759585-38759595(+) TTACAATGCTT >hg38_chr8:38760018-38760028(-) GAACAATAGAT >hg38_chr8:38770285-38770295(-) AAACAATGCGG >hg38_chr8:38772513-38772523(+) acacaaaggca >hg38_chr8:38790831-38790841(-) GAACAATGGCA >hg38_chr8:38807578-38807588(-) AAACAATGTGC >hg38_chr8:38817314-38817324(+) AAACAATAACA >hg38_chr8:38860738-38860748(-) tgataatggcc >hg38_chr8:38937158-38937168(+) GTACAAAGGCA >hg38_chr8:38957810-38957820(-) GAACAATGGCT >hg38_chr8:38963091-38963101(-) ATACAATGGTT >hg38_chr8:39034693-39034703(-) agacaatggaa >hg38_chr8:39038275-39038285(+) ctacaatggcc >hg38_chr8:39046225-39046235(-) ttacaatagca >hg38_chr8:39046267-39046277(-) taacaatgaac >hg38_chr8:39049163-39049173(-) ttacaaaggaa >hg38_chr8:39055396-39055406(-) taactatggaa >hg38_chr8:39055432-39055442(-) gaacaatggct >hg38_chr8:39055470-39055480(-) TAAcaatagca >hg38_chr8:39056567-39056577(-) atacaatacac >hg38_chr8:39056574-39056584(+) gtataatggcc >hg38_chr8:39085329-39085339(-) taacaatgaat >hg38_chr8:39410844-39410854(+) ggacaataaaa >hg38_chr8:39410869-39410879(+) ggacaataaaa >hg38_chr8:39410894-39410904(+) ggacaataaaa >hg38_chr8:39913052-39913062(+) GAACAATGCCT >hg38_chr8:39929757-39929767(+) taacaatggga >hg38_chr8:39929789-39929799(-) gaacaaagacg >hg38_chr8:39935223-39935233(+) GTACACTGGTA >hg38_chr8:40004178-40004188(-) TGACAATGCCC >hg38_chr8:40055031-40055041(-) GCACAATACGG >hg38_chr8:40073213-40073223(-) TAACAATAGCT >hg38_chr8:40073219-40073229(-) AAACAATAACA >hg38_chr8:40115709-40115719(-) TAACaaaggca >hg38_chr8:40115721-40115731(+) TCACAATGGAT >hg38_chr8:40119233-40119243(+) AAACAATGGAT >hg38_chr8:40124671-40124681(+) agacaaaggga >hg38_chr8:40159089-40159099(+) GGACAAtagca >hg38_chr8:40162580-40162590(-) GCACAATGCCA >hg38_chr8:40162627-40162637(-) GTATAATGAAA >hg38_chr8:40174674-40174684(-) GAACAATGGGG >hg38_chr8:40175548-40175558(-) tcacaaaggta >hg38_chr8:40175579-40175589(+) agacaatgcta >hg38_chr8:40198717-40198727(+) TGATAATGGTA >hg38_chr8:40202902-40202912(+) TGACAATGACT >hg38_chr8:40202941-40202951(-) CTATAATGGAA >hg38_chr8:40204991-40205001(+) acacaatgggt >hg38_chr8:40220199-40220209(+) GAACAATGAAA >hg38_chr8:40221574-40221584(-) gaacagtggga >hg38_chr8:40240446-40240456(-) ttacaataaat >hg38_chr8:40284650-40284660(-) taataatagta >hg38_chr8:40323649-40323659(-) GAACAATGGTG >hg38_chr8:40350230-40350240(+) ggacaaaggga >hg38_chr8:40372069-40372079(+) TCACAATAGCA >hg38_chr8:40415881-40415891(-) AAACAATAGGT >hg38_chr8:40448846-40448856(-) atacagtggga >hg38_chr8:40475760-40475770(-) AGACAATGCAG >hg38_chr8:40487035-40487045(+) GAACAGTGGTA >hg38_chr8:40489362-40489372(+) ATATAATGGAC >hg38_chr8:40519312-40519322(-) GAACAATGGGG >hg38_chr8:40519321-40519331(-) GGACAAAGGGA >hg38_chr8:40524791-40524801(+) GAACAAAGGGG >hg38_chr8:40555775-40555785(+) AGACTATGGTC >hg38_chr8:40586696-40586706(-) atACAATTGAA >hg38_chr8:40672453-40672463(-) GCACAATGTCC >hg38_chr8:40728496-40728506(-) acacaatggcc >hg38_chr8:40728506-40728516(-) ctacaatggca >hg38_chr8:40800390-40800400(+) atataatggat >hg38_chr8:40803531-40803541(+) taacaatgaga >hg38_chr8:40841014-40841024(+) ACATAATGGAA >hg38_chr8:40841030-40841040(+) GTACAATAGAA >hg38_chr8:40901128-40901138(-) ACACAAAGGGA >hg38_chr8:40902573-40902583(-) AAACAATGATC >hg38_chr8:40903910-40903920(-) GTACAATTGAA >hg38_chr8:41004984-41004994(+) agacaaaggaa >hg38_chr8:41051067-41051077(+) aaacaataata >hg38_chr8:41055648-41055658(-) AGACAATGCTA >hg38_chr8:41076448-41076458(-) gcacaatggag >hg38_chr8:41101216-41101226(-) AGACAATGATG >hg38_chr8:41161843-41161853(+) CAACAATGTAA >hg38_chr8:41221589-41221599(-) TCACAATGATC >hg38_chr8:41223244-41223254(+) GAACAATAGGC >hg38_chr8:41249854-41249864(+) ACACAATCGTG >hg38_chr8:41301285-41301295(-) GAACAATGCAA >hg38_chr8:41307855-41307865(+) GAACAATGAGC >hg38_chr8:41342757-41342767(+) ATACAATGAAA >hg38_chr8:41360986-41360996(+) GAACAATGCCG >hg38_chr8:41410543-41410553(+) aaacaatgctg >hg38_chr8:41410753-41410763(+) gaacaatgagt >hg38_chr8:41412308-41412318(-) tcacaatagtc >hg38_chr8:41415046-41415056(+) TGACAATCGTA >hg38_chr8:41418059-41418069(-) ccacaatgagg >hg38_chr8:41473249-41473259(+) ACACAATGAAT >hg38_chr8:41484037-41484047(+) CAACAATGCTA >hg38_chr8:41485145-41485155(+) TCACAATGAAA >hg38_chr8:41492945-41492955(+) GCACAATGTAG >hg38_chr8:41542875-41542885(-) GTACAATGGCC >hg38_chr8:41578170-41578180(+) AGACAAAGGCG >hg38_chr8:41659254-41659264(+) aaacaatgaaa >hg38_chr8:41679409-41679419(+) GAACAAAGGCC >hg38_chr8:41762810-41762820(+) GCACAATAATA >hg38_chr8:41786903-41786913(+) GAACAAAGGCA >hg38_chr8:41792202-41792212(+) AAACAATGCCA >hg38_chr8:41830494-41830504(+) TAACAATGACA >hg38_chr8:41836424-41836434(-) CCACAATGAGT >hg38_chr8:41880864-41880874(-) CGATAATGGGA >hg38_chr8:41942152-41942162(+) TGACAATGACA >hg38_chr8:41944990-41945000(-) AAACAAAGGAT >hg38_chr8:41945032-41945042(-) ATACAATGATA >hg38_chr8:41950443-41950453(+) ATACAACGGGT >hg38_chr8:41955821-41955831(+) CAACAATGGAG >hg38_chr8:41955854-41955864(+) ACACAAAGGAT >hg38_chr8:41976040-41976050(+) TCACTATGGTA >hg38_chr8:41976070-41976080(+) ATACAAAGGGG >hg38_chr8:41983826-41983836(+) atacaatgata >hg38_chr8:41984129-41984139(-) tcacaATAGCC >hg38_chr8:41992646-41992656(-) ATACAATGAGC >hg38_chr8:41992919-41992929(-) TAACAATAATT >hg38_chr8:42005706-42005716(+) ATACAAAGGAT >hg38_chr8:42050426-42050436(+) TTACAATATAA >hg38_chr8:42050435-42050445(+) AAACAATGCTA >hg38_chr8:42061368-42061378(+) agacaaaggca >hg38_chr8:42126115-42126125(+) taacaatgaaa >hg38_chr8:42140321-42140331(+) GAACAATGGGT >hg38_chr8:42141004-42141014(+) AAACAATAGGA >hg38_chr8:42151652-42151662(+) ggacaatgcct >hg38_chr8:42159507-42159517(-) tcacaatagag >hg38_chr8:42159531-42159541(-) gaacaaaggac >hg38_chr8:42209382-42209392(+) cgacaaagccg >hg38_chr8:42209397-42209407(+) aaacaatgaag >hg38_chr8:42209441-42209451(-) ggataatggtc >hg38_chr8:42248923-42248933(+) AAACAAAGGTG >hg38_chr8:42338490-42338500(-) GAACAATGGTT >hg38_chr8:42360493-42360503(+) acacaatagca >hg38_chr8:42394286-42394296(-) TTACAATAGCA >hg38_chr8:42422692-42422702(-) gaacaatagga >hg38_chr8:42496274-42496284(-) GGACAATAAAA >hg38_chr8:42499958-42499968(-) CAACAATGAAA >hg38_chr8:42500684-42500694(-) CTACTATGGTA >hg38_chr8:42500716-42500726(+) ATACAATGTTT >hg38_chr8:42531566-42531576(+) AGACAATGTGA >hg38_chr8:42539532-42539542(+) CTACAATGTAA >hg38_chr8:42539797-42539807(+) TGACAATGTTA >hg38_chr8:42548405-42548415(+) TCACAATGGAG >hg38_chr8:42572505-42572515(+) CAACAATGTAG >hg38_chr8:42573860-42573870(+) TAACAAAGCGA >hg38_chr8:42587362-42587372(-) ctacaatgcta >hg38_chr8:42673782-42673792(+) caacaatacgg >hg38_chr8:42699121-42699131(-) TTACAATGAAT >hg38_chr8:42737325-42737335(+) TCACAATAGAA >hg38_chr8:42765026-42765036(+) TAACAATGCTG >hg38_chr8:42774613-42774623(-) GGACAATGATA >hg38_chr8:42828472-42828482(-) taacaatagtt >hg38_chr8:42836637-42836647(+) CTACAATGAAA >hg38_chr8:42836674-42836684(-) AAACAATGGCA >hg38_chr8:42897519-42897529(-) CAACAAAGGCG >hg38_chr8:42907892-42907902(+) tgacaatgtga >hg38_chr8:42908839-42908849(+) tgacaaaggaa >hg38_chr8:42929838-42929848(-) TAACAATAAGA >hg38_chr8:42970196-42970206(+) ccacaatgacc >hg38_chr8:43001962-43001972(-) ACACAATGTAC >hg38_chr8:43024296-43024306(-) GTACAATGCTA >hg38_chr8:43027697-43027707(-) AGACAATGGAA >hg38_chr8:43029906-43029916(-) CTACAATGTCA >hg38_chr8:43031376-43031386(+) TCACAATGTAA >hg38_chr8:43031386-43031396(-) CAACAATGCTT >hg38_chr8:43054127-43054137(+) taacaatgtgt >hg38_chr8:43054431-43054441(-) gaacaatggtg >hg38_chr8:43059489-43059499(+) ACACAATATAA >hg38_chr8:43060934-43060944(+) ggacaaagggt >hg38_chr8:43159857-43159867(+) TAACAATGTAT >hg38_chr8:43215441-43215451(-) atacaaaggaa >hg38_chr8:43217838-43217848(-) GCACAATGAAA >hg38_chr8:43272012-43272022(+) CGACAACGGGC >hg38_chr8:43273406-43273416(-) CAACAATGTCA >hg38_chr8:43286408-43286418(+) GAACAATAACT >hg38_chr8:43286442-43286452(+) TAACAATGTTT >hg38_chr8:45997605-45997615(-) tcacaatagtc >hg38_chr8:46390441-46390451(+) acagaatggcg >hg38_chr8:46594936-46594946(+) ggacaatgtac >hg38_chr8:46617370-46617380(+) CAACAATAGCT >hg38_chr8:46886786-46886796(+) tcacaatgccc >hg38_chr8:47028850-47028860(+) ggataatggca >hg38_chr8:47051284-47051294(+) agacaatggga >hg38_chr8:47103601-47103611(+) acacaatgctt >hg38_chr8:47103659-47103669(+) atacaataaat >hg38_chr8:47223662-47223672(+) gaacactggat >hg38_chr8:47293070-47293080(-) GCATAATGGCA >hg38_chr8:47331453-47331463(+) acacaaaggta >hg38_chr8:47331518-47331528(+) aaacagtggta >hg38_chr8:47431428-47431438(-) ATACAAAGGCT >hg38_chr8:47456061-47456071(-) agacaatgtaa >hg38_chr8:47473527-47473537(-) GTACAAAGGAA >hg38_chr8:47476076-47476086(-) TTACAATGGCT >hg38_chr8:47476126-47476136(-) ACACAATGGCT >hg38_chr8:47497926-47497936(+) TAACAAAGGGA >hg38_chr8:47498231-47498241(-) CAACAATGTGC >hg38_chr8:47498477-47498487(+) AAACAATGCTT >hg38_chr8:47498498-47498508(+) TTACAATGAAT >hg38_chr8:47525084-47525094(-) AAACACTGGAA >hg38_chr8:47532747-47532757(-) caacaatgcct >hg38_chr8:47536323-47536333(+) ctacaatagcc >hg38_chr8:47561779-47561789(+) TTACTATGGGT >hg38_chr8:47569616-47569626(-) gtacaatattc >hg38_chr8:47605456-47605466(+) TGACAATGTCC >hg38_chr8:47607538-47607548(+) GGACAGTGGAA >hg38_chr8:47609569-47609579(-) CTACAAAGGAA >hg38_chr8:47609606-47609616(-) AGACAAAGGGT >hg38_chr8:47631331-47631341(+) TAACAATAGGT >hg38_chr8:47638965-47638975(-) AAACCATGGTA >hg38_chr8:47639305-47639315(+) TAACAATGAAT >hg38_chr8:47643076-47643086(-) TAACAATGATT >hg38_chr8:47647173-47647183(-) acacaataaat >hg38_chr8:47647198-47647208(-) gaacaatgctt >hg38_chr8:47668786-47668796(-) atacaatggcc >hg38_chr8:47674242-47674252(+) TAACAATAAAG >hg38_chr8:47700625-47700635(-) CTACAAAGGAA >hg38_chr8:47772598-47772608(+) CCACAATGTAG >hg38_chr8:47810936-47810946(+) gaacaatgtca >hg38_chr8:47845500-47845510(-) tcacaatagtc >hg38_chr8:47868025-47868035(+) ttacaataaac >hg38_chr8:47875642-47875652(-) ttacaaaggaa >hg38_chr8:47903383-47903393(+) CTACAAAGGAA >hg38_chr8:48049149-48049159(-) ATACAATGGCA >hg38_chr8:48049170-48049180(+) AGATAATGGGA >hg38_chr8:48049396-48049406(+) ggacaatagga >hg38_chr8:48066117-48066127(-) AAACAATATAT >hg38_chr8:48066186-48066196(-) TAATAATGGAT >hg38_chr8:48091905-48091915(+) tgacaatataa >hg38_chr8:48105414-48105424(-) GGACAAAGGAA >hg38_chr8:48105440-48105450(-) AAACAACGGGA >hg38_chr8:48123831-48123841(+) ATACAAAGGTT >hg38_chr8:48136692-48136702(-) GCACAATGGGC >hg38_chr8:48174356-48174366(+) GCACAATGCTT >hg38_chr8:48252189-48252199(-) acacaatgaaa >hg38_chr8:48252197-48252207(-) aaacaataaca >hg38_chr8:48267123-48267133(+) aaacaatgact >hg38_chr8:48300992-48301002(+) GGACaataata >hg38_chr8:48300998-48301008(+) taataatggct >hg38_chr8:48470805-48470815(+) GAACAATAGCA >hg38_chr8:48472001-48472011(-) CCACAATGACT >hg38_chr8:48493910-48493920(-) AGACAAAGGAA >hg38_chr8:48501360-48501370(+) GAACAATGGTG >hg38_chr8:48530104-48530114(+) TAACAAAGGCA >hg38_chr8:48561915-48561925(-) gaacaataaag >hg38_chr8:48562354-48562364(+) agacaatgcac >hg38_chr8:48571199-48571209(+) GCACAATGACT >hg38_chr8:48590557-48590567(-) GCACAATGCCT >hg38_chr8:48621299-48621309(-) GAACAATGGGC >hg38_chr8:48621343-48621353(-) GGACAATGGGC >hg38_chr8:48717948-48717958(+) aaacaataaac >hg38_chr8:48718786-48718796(+) atacaatgcag >hg38_chr8:48718819-48718829(+) ttacaatgata >hg38_chr8:48732662-48732672(+) caacaatgttc >hg38_chr8:48753643-48753653(+) TCACAATGGAT >hg38_chr8:48754409-48754419(+) GGATAATGGAG >hg38_chr8:48783199-48783209(-) TTACAATGAGC >hg38_chr8:48792452-48792462(+) GAACAAAGGGC >hg38_chr8:48843837-48843847(-) aaacaatgcca >hg38_chr8:48844886-48844896(-) TGACAATGCCA >hg38_chr8:48852892-48852902(+) AGACAATGAGG >hg38_chr8:48899912-48899922(-) TTACAATGCAC >hg38_chr8:48921930-48921940(+) AGACAAAGGCG >hg38_chr8:48937640-48937650(-) atactatggag >hg38_chr8:49006748-49006758(-) ttacaataaaa >hg38_chr8:49028716-49028726(+) AGATAATGGTA >hg38_chr8:49031928-49031938(+) ttacactggat >hg38_chr8:49038725-49038735(-) ccacaatagtt >hg38_chr8:49081080-49081090(-) ggactatgggt >hg38_chr8:49082843-49082853(-) AAATAATGGTG >hg38_chr8:49098775-49098785(-) ggacaatggca >hg38_chr8:49107714-49107724(-) TTACAATGTAA >hg38_chr8:49109452-49109462(+) atacactggat >hg38_chr8:49113245-49113255(+) aaacaatgtgg >hg38_chr8:49113276-49113286(+) tcacaatagac >hg38_chr8:49169983-49169993(-) acacaatgctg >hg38_chr8:49193980-49193990(+) caacaatagca >hg38_chr8:49210885-49210895(+) tgacaatagga >hg38_chr8:49289942-49289952(+) GAACAATGCAT >hg38_chr8:49289985-49289995(-) gtacaATAATA >hg38_chr8:49347835-49347845(-) aaacagtggga >hg38_chr8:49348003-49348013(-) gtataatgaaa >hg38_chr8:49373452-49373462(+) GAACAGTGGGA >hg38_chr8:49373494-49373504(+) ACACAATAAAA >hg38_chr8:49604279-49604289(+) TCACAATGTAG >hg38_chr8:49682507-49682517(-) AAACAATGGAT >hg38_chr8:49744294-49744304(+) ATACAATGGGC >hg38_chr8:49755293-49755303(+) ATACAATAAGT >hg38_chr8:49755318-49755328(-) agacaatggac >hg38_chr8:49791584-49791594(-) TGATAATGGAG >hg38_chr8:49807336-49807346(+) AAACAAAGGAG >hg38_chr8:49812092-49812102(+) tcacaatagcc >hg38_chr8:49812167-49812177(+) atacaatggaa >hg38_chr8:49815969-49815979(-) ACACAAAGGAT >hg38_chr8:49822828-49822838(+) GCACAATAGAG >hg38_chr8:49823212-49823222(+) GGACAATGAGT >hg38_chr8:49885587-49885597(-) acacaatgtag >hg38_chr8:49922586-49922596(+) GGACAAAGGAG >hg38_chr8:49943447-49943457(-) GAACAATAGCA >hg38_chr8:49945541-49945551(-) AAACAAAGGCT >hg38_chr8:49955773-49955783(-) TGACAATGCAG >hg38_chr8:50015363-50015373(+) aaacaatgaag >hg38_chr8:50020013-50020023(-) TAACAATAGAA >hg38_chr8:50032527-50032537(-) caacaatggtc >hg38_chr8:50033708-50033718(-) ttacaataata >hg38_chr8:50056704-50056714(-) agacaatgttc >hg38_chr8:50056763-50056773(+) CAACAATGTCA >hg38_chr8:50063569-50063579(-) TGACAATGAAC >hg38_chr8:50140950-50140960(-) agacaatgagc >hg38_chr8:50172876-50172886(+) CCACAATGTTT >hg38_chr8:50173070-50173080(+) CAACAATGGGG >hg38_chr8:50229048-50229058(-) taacaataaac >hg38_chr8:50283442-50283452(-) caacaatggcc >hg38_chr8:50308467-50308477(-) caacaatgacc >hg38_chr8:50339802-50339812(+) caacaatgact >hg38_chr8:50359403-50359413(-) TTACAATGGGC >hg38_chr8:50380954-50380964(-) AAACAATGGTA >hg38_chr8:50404101-50404111(+) ggacaatagcc >hg38_chr8:50558286-50558296(+) AAACAAAGGAA >hg38_chr8:50789888-50789898(-) agacaatgaga >hg38_chr8:51100968-51100978(-) acacaatgttg >hg38_chr8:51194847-51194857(+) GAACAATGGCT >hg38_chr8:51203056-51203066(-) ctacaatgaga >hg38_chr8:51207899-51207909(-) acacaatggaa >hg38_chr8:51208268-51208278(-) ccacaatgaaa >hg38_chr8:51209142-51209152(+) agacaatgctg >hg38_chr8:51335492-51335502(-) gaacaaaggtc >hg38_chr8:51369934-51369944(+) GAACAATGGCA >hg38_chr8:51383214-51383224(-) CTACAATGTCT >hg38_chr8:51383418-51383428(-) GGACAATGCCC >hg38_chr8:51385084-51385094(+) GAACAATAGCA >hg38_chr8:51388993-51389003(-) AAACAATAGGA >hg38_chr8:51389666-51389676(-) tcacaaaggac >hg38_chr8:51431468-51431478(-) GAACAAAGGCA >hg38_chr8:51487866-51487876(+) ACACAAAGGCG >hg38_chr8:51487891-51487901(+) taacaataaag >hg38_chr8:51487911-51487921(-) gcacaatgcct >hg38_chr8:51553609-51553619(-) TCACAATGCAT >hg38_chr8:51554365-51554375(-) agacaatggga >hg38_chr8:51563639-51563649(-) ACATAATGGCA >hg38_chr8:51617817-51617827(+) TGACAATGGAA >hg38_chr8:51618073-51618083(-) GCACAATGACT >hg38_chr8:51620486-51620496(-) ACACAATGAAA >hg38_chr8:51623029-51623039(-) TAACAATAGAA >hg38_chr8:51623052-51623062(+) GTACAAAGGTT >hg38_chr8:51629152-51629162(+) gaacaatgtat >hg38_chr8:51691655-51691665(+) AGACAAAGGCA >hg38_chr8:51691687-51691697(+) AAACAATGCCA >hg38_chr8:51693320-51693330(-) ATACACTGGTA >hg38_chr8:51753195-51753205(-) GGACAATGGAA >hg38_chr8:51764880-51764890(-) caataatggat >hg38_chr8:51789183-51789193(-) GTACAATGAGG >hg38_chr8:51789656-51789666(-) AGATAATGGCT >hg38_chr8:51812668-51812678(+) ctacaatgaaa >hg38_chr8:51841335-51841345(+) AGACAATGGTC >hg38_chr8:51843124-51843134(+) GAACAATATCA >hg38_chr8:51845265-51845275(-) ATACAAAGGAC >hg38_chr8:51858925-51858935(+) ATACAATAAGT >hg38_chr8:51884690-51884700(+) ggacaatagac >hg38_chr8:51894564-51894574(+) gaacaaaggat >hg38_chr8:51898045-51898055(-) AAACAATGAGG >hg38_chr8:51950214-51950224(+) taacaatggaa >hg38_chr8:51989972-51989982(-) GAACAAAGGAG >hg38_chr8:52003334-52003344(+) tgacaatgtac >hg38_chr8:52111519-52111529(+) TAACAATGACC >hg38_chr8:52111592-52111602(+) TAACAGTGGAA >hg38_chr8:52118750-52118760(-) gtataatggag >hg38_chr8:52130876-52130886(-) GTACAATAGCT >hg38_chr8:52146167-52146177(+) GAACAATAGAT >hg38_chr8:52158418-52158428(+) ACACAATAGTA >hg38_chr8:52161600-52161610(+) ATACAATGAAA >hg38_chr8:52174019-52174029(-) TTACTATGGCA >hg38_chr8:52202599-52202609(+) acacaatggga >hg38_chr8:52215648-52215658(-) TAACAATGGAC >hg38_chr8:52245101-52245111(-) AAACAATGGTG >hg38_chr8:52249369-52249379(-) GAACAAAGGCT >hg38_chr8:52250128-52250138(-) GGACAATAGAA >hg38_chr8:52254624-52254634(+) TAACAATGGCT >hg38_chr8:52271581-52271591(+) TAACAATGGTT >hg38_chr8:52281382-52281392(-) gaacaatgctt >hg38_chr8:52298841-52298851(+) gcacaatgaag >hg38_chr8:52298871-52298881(-) taacaatggat >hg38_chr8:52321481-52321491(+) AGACAATGGCC >hg38_chr8:52321510-52321520(+) ACACAATGTTT >hg38_chr8:52400303-52400313(+) ctacaatgggt >hg38_chr8:52412706-52412716(-) TAACAAAGGGC >hg38_chr8:52421009-52421019(-) AAACTATGGTA >hg38_chr8:52533494-52533504(+) GAACAAAGGAC >hg38_chr8:52533501-52533511(+) GGACAATGTAT >hg38_chr8:52546773-52546783(-) gaacaaaggaa >hg38_chr8:52546795-52546805(-) gaacaatggca >hg38_chr8:52589925-52589935(-) tgacaatgtgc >hg38_chr8:52609034-52609044(-) ggacaatgcaa >hg38_chr8:52617198-52617208(+) ACACAATATAC >hg38_chr8:52622283-52622293(+) GCACAATGACC >hg38_chr8:52623483-52623493(+) CCACAATGCAC >hg38_chr8:52629320-52629330(+) TAACAATGCTT >hg38_chr8:52635488-52635498(+) GAACAATGATC >hg38_chr8:52669578-52669588(-) AAATAATGGTT >hg38_chr8:52669611-52669621(+) GCACAATGTTG >hg38_chr8:52680695-52680705(+) gtacaatagaa >hg38_chr8:52680705-52680715(+) atacaatgcag >hg38_chr8:52684763-52684773(+) TAACAATGGCC >hg38_chr8:52684811-52684821(+) CAACAATGGAA >hg38_chr8:52703551-52703561(-) ACATAATGGAA >hg38_chr8:52705256-52705266(+) ATACAATAATG >hg38_chr8:52711262-52711272(+) TTACAAAGGGA >hg38_chr8:52711303-52711313(+) AAACAATGGGG >hg38_chr8:52714420-52714430(-) CGACAATAACA >hg38_chr8:52723871-52723881(+) taacaaagggt >hg38_chr8:52724063-52724073(-) taacaatagaa >hg38_chr8:52768949-52768959(+) ACACAATAGAA >hg38_chr8:52787472-52787482(+) CTACAAAGGGA >hg38_chr8:52854101-52854111(-) ctacaaTGTGC >hg38_chr8:52972001-52972011(+) tgacaatggac >hg38_chr8:53021523-53021533(+) acataatggta >hg38_chr8:53130513-53130523(-) aaacaatagat >hg38_chr8:53150465-53150475(-) CGACAATGAGG >hg38_chr8:53155343-53155353(-) GGACAATCGCT >hg38_chr8:53180682-53180692(+) ctacaatgtca >hg38_chr8:53180746-53180756(+) ccacaatgcat >hg38_chr8:53219205-53219215(-) agacaatgtgg >hg38_chr8:53226609-53226619(+) GAACAATGAAT >hg38_chr8:53252494-53252504(+) ACACAAAGGGA >hg38_chr8:53259677-53259687(-) AGACAATGAAG >hg38_chr8:53259686-53259696(-) GCACAATGAAG >hg38_chr8:53270537-53270547(+) gaacaatagct >hg38_chr8:53332473-53332483(-) tcacaatggcc >hg38_chr8:53344185-53344195(+) GAACAATAGAA >hg38_chr8:53358430-53358440(-) caacaatgaca >hg38_chr8:53422334-53422344(+) ggacaatggat >hg38_chr8:53422375-53422385(-) aaacaaaggag >hg38_chr8:53423330-53423340(-) TTACAATGCTC >hg38_chr8:53551677-53551687(+) CCACAATGATT >hg38_chr8:53552139-53552149(-) GGACAATGGGT >hg38_chr8:53552690-53552700(+) TAACAATGACC >hg38_chr8:53553646-53553656(+) tcacaatagcg >hg38_chr8:53587784-53587794(-) CAACAATGCCT >hg38_chr8:53591164-53591174(+) TTACAATGCAA >hg38_chr8:53591208-53591218(-) AAACAATAGAT >hg38_chr8:53625529-53625539(-) gaacaatgctg >hg38_chr8:53657896-53657906(-) AAACAAAGGCA >hg38_chr8:53659724-53659734(-) AGACAATGGCA >hg38_chr8:53709626-53709636(+) AAACAATGAGT >hg38_chr8:53710366-53710376(-) aaacaatagca >hg38_chr8:53720828-53720838(-) TAACAGTGGGT >hg38_chr8:53778089-53778099(+) gtacaatagaa >hg38_chr8:53778729-53778739(-) ttacaataact >hg38_chr8:53778969-53778979(+) tcacaatgata >hg38_chr8:53779009-53779019(-) acacaatgaat >hg38_chr8:53779867-53779877(-) cGACAATATCT >hg38_chr8:53805851-53805861(+) acacaatatta >hg38_chr8:53863448-53863458(+) GAACAATGGCA >hg38_chr8:53886064-53886074(+) TCACAATGTGA >hg38_chr8:53886263-53886273(+) GTACAATTGAA >hg38_chr8:53905501-53905511(+) GGATAATGGGC >hg38_chr8:53908156-53908166(+) GGATAATGGGT >hg38_chr8:53931177-53931187(-) aaacaatgctc >hg38_chr8:53943894-53943904(-) AAATAATGGCT >hg38_chr8:53945251-53945261(+) aaacaatgaga >hg38_chr8:54030480-54030490(-) acacaatgtat >hg38_chr8:54030497-54030507(-) ttacaaaggaa >hg38_chr8:54102034-54102044(+) TCACAATGTCC >hg38_chr8:54109780-54109790(+) atacaataatt >hg38_chr8:54152989-54152999(+) ATACAATGACA >hg38_chr8:54165920-54165930(-) ATATAATGGCA >hg38_chr8:54169495-54169505(+) GAACAATAGGT >hg38_chr8:54178774-54178784(+) GAACAAAGGTC >hg38_chr8:54178793-54178803(-) TTACAATGAGA >hg38_chr8:54198604-54198614(-) tcacaaaggta >hg38_chr8:54212238-54212248(+) AAACAATGGAA >hg38_chr8:54212261-54212271(-) AGACAATGAAG >hg38_chr8:54212272-54212282(-) TAACAATGTTT >hg38_chr8:54249666-54249676(+) gaacaatgcct >hg38_chr8:54255559-54255569(+) AGACAATGCAC >hg38_chr8:54260040-54260050(+) GAACAATGGAC >hg38_chr8:54260080-54260090(+) ATACAATGTCT >hg38_chr8:54294021-54294031(-) AGACAATGGGT >hg38_chr8:54302159-54302169(+) AAACAATAGCA >hg38_chr8:54303024-54303034(+) GCACAATGGGA >hg38_chr8:54314605-54314615(+) CAATAATGGTA >hg38_chr8:54314674-54314684(-) aaacaaaGGAG >hg38_chr8:54335683-54335693(+) CAACAATGGAT >hg38_chr8:54374726-54374736(-) GGACAACGGAG >hg38_chr8:54507456-54507466(-) CGATAATGGTA >hg38_chr8:54531276-54531286(+) gtacaatgatt >hg38_chr8:54618280-54618290(+) GGACAATGGCA >hg38_chr8:54639281-54639291(-) atacaatgaaa >hg38_chr8:54639780-54639790(+) gtacaaTATAT >hg38_chr8:54671423-54671433(-) agacaaaggga >hg38_chr8:54686658-54686668(-) CCACAATAGAG >hg38_chr8:54686694-54686704(+) AGACAATGAAA >hg38_chr8:54756125-54756135(-) GTATAATGGCA >hg38_chr8:54756152-54756162(+) ACACAATGACC >hg38_chr8:54802662-54802672(+) ACACAATAGGT >hg38_chr8:54826326-54826336(-) AGACAATGTGG >hg38_chr8:54831062-54831072(-) aaacaaaggaa >hg38_chr8:54867570-54867580(+) agacaatgtat >hg38_chr8:54881984-54881994(-) tgacaatagag >hg38_chr8:54935411-54935421(-) tgacaataggc >hg38_chr8:54953849-54953859(+) gaacaatggca >hg38_chr8:55025287-55025297(+) CAACAATGGAC >hg38_chr8:55028328-55028338(-) ATATAATGGCT >hg38_chr8:55065637-55065647(+) gtacaatgact >hg38_chr8:55124360-55124370(-) GAACAATGCTG >hg38_chr8:55203162-55203172(-) AGACAATGAAT >hg38_chr8:55345779-55345789(+) AGACAATGACA >hg38_chr8:55345785-55345795(+) TGACAATGAAG >hg38_chr8:55373006-55373016(+) TCACAATGAGC >hg38_chr8:55521167-55521177(+) GCACAAAGGGA >hg38_chr8:55537945-55537955(-) GGACAATAGGT >hg38_chr8:55582608-55582618(-) TGACAATGGTA >hg38_chr8:55586563-55586573(+) CAACAATGACT >hg38_chr8:55704730-55704740(-) CAACAATGTCT >hg38_chr8:55705123-55705133(+) caacaatagca >hg38_chr8:55705147-55705157(+) ggacaatgaaa >hg38_chr8:55779195-55779205(+) gTATAATGGAA >hg38_chr8:55848282-55848292(-) TTACAATGGGA >hg38_chr8:55879257-55879267(-) ggacaatggat >hg38_chr8:55914828-55914838(+) TAACAATAGAG >hg38_chr8:56048068-56048078(-) ttataatggag >hg38_chr8:56061549-56061559(+) agacaatgcat >hg38_chr8:56093884-56093894(+) ACACAATGCTC >hg38_chr8:56124448-56124458(+) TTACAATAGAG >hg38_chr8:56127381-56127391(-) caacaatgcat >hg38_chr8:56127419-56127429(+) tgacaatggca >hg38_chr8:56127427-56127437(+) gcacaaaggaa >hg38_chr8:56132863-56132873(-) gcacaatgcat >hg38_chr8:56132880-56132890(+) gaacaatgcac >hg38_chr8:56140568-56140578(+) gtacaaaggga >hg38_chr8:56140585-56140595(-) ggacaatgggc >hg38_chr8:56172003-56172013(-) CTACAATAGGT >hg38_chr8:56173797-56173807(+) AAACAATAGAG >hg38_chr8:56202547-56202557(-) GTACAATGCAT >hg38_chr8:56208212-56208222(+) ATACAATGAAA >hg38_chr8:56211256-56211266(-) CTACAATGGCT >hg38_chr8:56229421-56229431(-) CGACAATACAC >hg38_chr8:56248591-56248601(+) AAACAATGAAA >hg38_chr8:56456591-56456601(+) gaacaatggga >hg38_chr8:56458236-56458246(-) taacaaaggtg >hg38_chr8:56467254-56467264(-) gcacaatgcct >hg38_chr8:56553839-56553849(-) GAACAATGAGA >hg38_chr8:56553910-56553920(-) TCACAATGCCC >hg38_chr8:56562479-56562489(+) ACACAAAGGAT >hg38_chr8:56616331-56616341(-) taacaatgaag >hg38_chr8:56617690-56617700(-) atacaataacc >hg38_chr8:56638999-56639009(+) ttataatggaa >hg38_chr8:56675767-56675777(+) gaacaatggtc >hg38_chr8:56702497-56702507(+) gaacaataaga >hg38_chr8:56702547-56702557(+) ttacaatggaa >hg38_chr8:56703754-56703764(+) agacaatgata >hg38_chr8:56718540-56718550(+) aaacaaTAGCA >hg38_chr8:56768471-56768481(-) atacaatgctc >hg38_chr8:56818891-56818901(+) agacaatgctg >hg38_chr8:56819113-56819123(+) atacaatgaaa >hg38_chr8:56819134-56819144(+) ctacaaaggaa >hg38_chr8:56819146-56819156(+) gaacaataaca >hg38_chr8:56829923-56829933(+) ttacaaaggag >hg38_chr8:56842571-56842581(-) TAACAATGAGC >hg38_chr8:56898238-56898248(-) GGACAATAGAT >hg38_chr8:56898777-56898787(+) acacaatgaca >hg38_chr8:56898826-56898836(-) gaacaaaggct >hg38_chr8:56898835-56898845(-) acacaatgaga >hg38_chr8:56940563-56940573(+) tgacaatgtaa >hg38_chr8:56940604-56940614(+) gaacaaaggac >hg38_chr8:56959891-56959901(+) ATACAATGCCC >hg38_chr8:56959899-56959909(-) TAACAATAGGG >hg38_chr8:56967849-56967859(+) tgacaatgaag >hg38_chr8:56994102-56994112(-) GTACAATGGGA >hg38_chr8:56994112-56994122(+) CGACTATGGCT >hg38_chr8:57010037-57010047(+) ATACAATGGAA >hg38_chr8:57038386-57038396(-) caacaatagca >hg38_chr8:57076551-57076561(-) TAACAATGAGA >hg38_chr8:57076562-57076572(-) AAACAATGAAT >hg38_chr8:57088133-57088143(+) gcacaatggag >hg38_chr8:57088161-57088171(+) aaacaatgaga >hg38_chr8:57099013-57099023(-) aaacaatggac >hg38_chr8:57107733-57107743(-) TAACAAAGGCT >hg38_chr8:57107792-57107802(-) tcacaatagca >hg38_chr8:57122600-57122610(-) ACACAATAGTT >hg38_chr8:57329290-57329300(-) ACACTATGGAG >hg38_chr8:57467643-57467653(+) TGATAATGGTG >hg38_chr8:57494652-57494662(+) TCACAATGGCG >hg38_chr8:57535105-57535115(-) GGACAATGAGC >hg38_chr8:57550544-57550554(+) AAACAATGGGA >hg38_chr8:57551697-57551707(+) GAACAATGGGT >hg38_chr8:57587356-57587366(+) TGACAAAGGGA >hg38_chr8:57639445-57639455(+) TGACAATAGGT >hg38_chr8:57656981-57656991(-) aaacaatagtc >hg38_chr8:57688256-57688266(+) GGACAATGTAG >hg38_chr8:57769827-57769837(+) agacaatgaaa >hg38_chr8:57789642-57789652(+) caacaatgatg >hg38_chr8:57789686-57789696(+) ttacaatagct >hg38_chr8:57791761-57791771(+) atacaatgttt >hg38_chr8:57791817-57791827(+) ggacaatagtt >hg38_chr8:57792380-57792390(-) acacaatgctg >hg38_chr8:57807249-57807259(+) AAACAATGAAA >hg38_chr8:57807756-57807766(+) ttacaatggca >hg38_chr8:57835284-57835294(+) tgacaatgttt >hg38_chr8:57835959-57835969(-) agacaatgtat >hg38_chr8:57879916-57879926(-) TCACAATGTGG >hg38_chr8:57925013-57925023(-) GTACAATGCCA >hg38_chr8:57934388-57934398(+) atacaatacaa >hg38_chr8:57937287-57937297(-) acacaatggag >hg38_chr8:57946510-57946520(-) caacaatagta >hg38_chr8:57965286-57965296(+) GGACAATGCCA >hg38_chr8:57993167-57993177(-) GAACAAAGGAG >hg38_chr8:57995572-57995582(-) AAACAATAGGA >hg38_chr8:58018610-58018620(+) ttacaatgata >hg38_chr8:58019709-58019719(-) taataatgata >hg38_chr8:58059145-58059155(-) agacaatggat >hg38_chr8:58061899-58061909(-) TAACAATGTAT >hg38_chr8:58066262-58066272(-) GGACAATGACC >hg38_chr8:58087766-58087776(-) AGACAATGTGG >hg38_chr8:58089680-58089690(-) GTACAATAGCT >hg38_chr8:58124289-58124299(+) TGACAATGAAA >hg38_chr8:58136411-58136421(-) TGACAATGTGT >hg38_chr8:58162154-58162164(+) AAACAATGGGC >hg38_chr8:58244799-58244809(-) AGACAATGCAT >hg38_chr8:58244852-58244862(+) ACACAATAGAG >hg38_chr8:58251627-58251637(+) gaacaatagag >hg38_chr8:58256528-58256538(-) AAACAATAGAG >hg38_chr8:58257435-58257445(-) AGACAATGGAA >hg38_chr8:58258060-58258070(-) ACACAATGCAT >hg38_chr8:58310155-58310165(+) aaacaatgtca >hg38_chr8:58338622-58338632(-) ccacaatgtga >hg38_chr8:58338653-58338663(+) tgacaatgatg >hg38_chr8:58377704-58377714(-) CAATAATGGAC >hg38_chr8:58377940-58377950(+) AAACAATAGAC >hg38_chr8:58415059-58415069(+) GTACAATATTA >hg38_chr8:58428353-58428363(+) gcacaatgaga >hg38_chr8:58453928-58453938(+) ATACAATGTTT >hg38_chr8:58458852-58458862(-) GGACAATGGAA >hg38_chr8:58458879-58458889(-) GGACAATGTTT >hg38_chr8:58469500-58469510(+) agacaatgggg >hg38_chr8:58489250-58489260(+) aaacaatgggc >hg38_chr8:58505009-58505019(+) agacaatgtga >hg38_chr8:58508862-58508872(-) caacaatgaaa >hg38_chr8:58515439-58515449(-) gaacaaaggaa >hg38_chr8:58537895-58537905(-) caacaaaggta >hg38_chr8:58564645-58564655(-) gtacaatgccc >hg38_chr8:58584456-58584466(+) gaacaatgcaa >hg38_chr8:58606672-58606682(-) ACACTATGGTC >hg38_chr8:58611108-58611118(+) atacaataaaa >hg38_chr8:58680219-58680229(-) aaacaatggga >hg38_chr8:58680254-58680264(+) agacAATATAG >hg38_chr8:58681032-58681042(-) acacaatgtca >hg38_chr8:58709320-58709330(-) taataatggct >hg38_chr8:58719486-58719496(+) acacaatgtat >hg38_chr8:58774351-58774361(+) CAACAATGAAA >hg38_chr8:58804595-58804605(+) caacaataggc >hg38_chr8:58833449-58833459(+) CTACAATGACC >hg38_chr8:58833477-58833487(+) AAATAATGGAG >hg38_chr8:58833716-58833726(-) AGACAATGGAG >hg38_chr8:58834050-58834060(+) CCACAATGAGG >hg38_chr8:58836748-58836758(-) TTACAATGCTT >hg38_chr8:58845647-58845657(-) ATACAATGCCC >hg38_chr8:58864659-58864669(-) AAACAATATAA >hg38_chr8:58878505-58878515(+) AAACAATGCCA >hg38_chr8:58878573-58878583(-) CAACAATACGT >hg38_chr8:58884375-58884385(+) TAACAATAGTA >hg38_chr8:58884972-58884982(+) TAACAATGTCT >hg38_chr8:58887886-58887896(+) taacaatggga >hg38_chr8:58887948-58887958(+) atataatgtac >hg38_chr8:58889297-58889307(+) acacaatggaa >hg38_chr8:58929603-58929613(-) GAACAATGAGC >hg38_chr8:58930132-58930142(-) GTACAATGTCA >hg38_chr8:58932236-58932246(-) GAACAATGCGT >hg38_chr8:58945794-58945804(+) AAATAATGGGA >hg38_chr8:58954111-58954121(-) TTACAATAACA >hg38_chr8:58958217-58958227(+) AAACAATGTTA >hg38_chr8:58971529-58971539(+) aaACTATGGTA >hg38_chr8:59006410-59006420(-) TCACAATAGCA >hg38_chr8:59014755-59014765(+) GAATAATGGTG >hg38_chr8:59021376-59021386(-) GGACAATAGCC >hg38_chr8:59035815-59035825(+) GGACaatgaca >hg38_chr8:59035901-59035911(-) tcacaatagct >hg38_chr8:59043583-59043593(-) ACACTATGGAA >hg38_chr8:59043597-59043607(+) AAACAATGGCA >hg38_chr8:59050154-59050164(-) TCACAATGCGT >hg38_chr8:59050184-59050194(-) TGACAATAGAA >hg38_chr8:59057440-59057450(+) gaacaatgttc >hg38_chr8:59064425-59064435(-) AGACAATGCAA >hg38_chr8:59079309-59079319(+) agacaatggga >hg38_chr8:59083073-59083083(-) GTACAAAGGAG >hg38_chr8:59088709-59088719(+) GAACAAAGGAT >hg38_chr8:59109515-59109525(+) GGACAATGATG >hg38_chr8:59115798-59115808(-) GAACAATGCGA >hg38_chr8:59115809-59115819(+) CCACAATGGGC >hg38_chr8:59117392-59117402(+) GTACAATGGAA >hg38_chr8:59206322-59206332(-) TCACAATGAGA >hg38_chr8:59208215-59208225(+) CCACAATAGAT >hg38_chr8:59212570-59212580(-) atataatgata >hg38_chr8:59289601-59289611(-) AGACAATGAAT >hg38_chr8:59289610-59289620(-) GCACAATGAAG >hg38_chr8:59295663-59295673(+) ggacaatgatt >hg38_chr8:59309000-59309010(-) aaacaaaggct >hg38_chr8:59309039-59309049(+) GTACAATGTTT >hg38_chr8:59314646-59314656(-) AGACAATGGAG >hg38_chr8:59323808-59323818(-) tgacaatgaat >hg38_chr8:59323858-59323868(-) gaacaatataa >hg38_chr8:59326306-59326316(-) CAACAATGTCC >hg38_chr8:59328885-59328895(+) CTACAAAGGAA >hg38_chr8:59340454-59340464(+) TAACAATGCAC >hg38_chr8:59340472-59340482(+) TAACAATGGCG >hg38_chr8:59345743-59345753(+) AGACAATGCAA >hg38_chr8:59454523-59454533(-) ATACAATAATA >hg38_chr8:59455680-59455690(-) gaacaaaggtt >hg38_chr8:59468080-59468090(-) aaacaatgtga >hg38_chr8:59469312-59469322(+) GCACAATATAA >hg38_chr8:59502624-59502634(+) atacaataata >hg38_chr8:59600609-59600619(-) TAACAATGAGG >hg38_chr8:59640249-59640259(+) caacaatgaga >hg38_chr8:59661857-59661867(+) ACACAATGACT >hg38_chr8:59676308-59676318(-) TTACAATAGGA >hg38_chr8:59776023-59776033(+) GCACAAAGGTA >hg38_chr8:59776065-59776075(+) AAACAATAAGT >hg38_chr8:59798423-59798433(+) gtataatggct >hg38_chr8:59822333-59822343(-) acacaatatac >hg38_chr8:59825211-59825221(-) ACACaatgaag >hg38_chr8:59825561-59825571(-) acacaaaggaa >hg38_chr8:59825581-59825591(-) gtactatggta >hg38_chr8:59827519-59827529(-) caacaatgatt >hg38_chr8:59847605-59847615(-) ttacaatgtta >hg38_chr8:59849314-59849324(-) tgacaaaggac >hg38_chr8:59889628-59889638(+) ATACAATAAAG >hg38_chr8:59889790-59889800(+) ACACAATGTGA >hg38_chr8:59906047-59906057(-) ggacaatgagt >hg38_chr8:59906109-59906119(+) gtacaaTAGAT >hg38_chr8:59923012-59923022(+) AGACAATGAAA >hg38_chr8:60009776-60009786(+) tcacaatggga >hg38_chr8:60039421-60039431(-) AAACAGTGGAA >hg38_chr8:60042597-60042607(+) CAACAATGCCC >hg38_chr8:60050801-60050811(+) GCACAATGTAG >hg38_chr8:60059983-60059993(+) GTACAATGAGA >hg38_chr8:60060013-60060023(+) GCACAATGCTC >hg38_chr8:60066685-60066695(+) aaacaatgcca >hg38_chr8:60092969-60092979(+) AGACAATGGTA >hg38_chr8:60093269-60093279(-) taacaataatg >hg38_chr8:60093343-60093353(-) caacaatgtat >hg38_chr8:60136314-60136324(+) CGACAGTGGGA >hg38_chr8:60143354-60143364(+) aaacaaaggaa >hg38_chr8:60144068-60144078(+) gtacaatataa >hg38_chr8:60144087-60144097(-) agataatggca >hg38_chr8:60172266-60172276(-) GCATAATGGTC >hg38_chr8:60172299-60172309(-) ACACAATAGAT >hg38_chr8:60241986-60241996(+) AAATAATGGCA >hg38_chr8:60274341-60274351(+) AAATAATGGGC >hg38_chr8:60274379-60274389(+) agacaaaggta >hg38_chr8:60282223-60282233(-) TAACAATGCCA >hg38_chr8:60396380-60396390(+) GTACAATGTTC >hg38_chr8:60503450-60503460(+) ggacaatgatt >hg38_chr8:60516983-60516993(-) GAACAATAACA >hg38_chr8:60520163-60520173(+) GAACAATGCAC >hg38_chr8:60545652-60545662(+) TCACAATGGTG >hg38_chr8:60584162-60584172(-) ATACAATGTCC >hg38_chr8:60588927-60588937(-) AAACAATGTTT >hg38_chr8:60591779-60591789(-) GTACCATGGAA >hg38_chr8:60594053-60594063(+) gaacaatagta >hg38_chr8:60599498-60599508(+) atacaatggga >hg38_chr8:60604955-60604965(+) tgacaatgggg >hg38_chr8:60614340-60614350(-) GAACAATAGGA >hg38_chr8:60614353-60614363(+) CAACAATAGTC >hg38_chr8:60614381-60614391(-) GTACCATGGAA >hg38_chr8:60621331-60621341(-) TAACAATGCTT >hg38_chr8:60621374-60621384(-) TAACAATGTCA >hg38_chr8:60651362-60651372(+) TTACAAAGGAC >hg38_chr8:60651708-60651718(+) AGACAATGTCC >hg38_chr8:60654042-60654052(-) GAACAATGCAG >hg38_chr8:60680722-60680732(+) AGACAATGGAC >hg38_chr8:60681409-60681419(+) TGACAATGATA >hg38_chr8:60682528-60682538(-) ATACAATGCTG >hg38_chr8:60684834-60684844(+) GGACAATAGGT >hg38_chr8:60695553-60695563(-) AGACAATGCTT >hg38_chr8:60736381-60736391(-) AGACAAAGGGC >hg38_chr8:60736406-60736416(-) ACACACTGGTA >hg38_chr8:60736760-60736770(+) ACACAATAGGA >hg38_chr8:60739809-60739819(-) GAACAATGGGC >hg38_chr8:60765149-60765159(+) AGACAATAGGC >hg38_chr8:60867299-60867309(+) ACACAATGCGG >hg38_chr8:60867325-60867335(+) ACACAAAGGCA >hg38_chr8:60872393-60872403(-) TTACAATAAAA >hg38_chr8:60872426-60872436(-) ACACAATGGCC >hg38_chr8:60873972-60873982(+) AAACAAAGGGC >hg38_chr8:60875852-60875862(-) ATACAATGGGG >hg38_chr8:60910221-60910231(+) AAACAATGGTG >hg38_chr8:60921414-60921424(-) GGACAATGTCA >hg38_chr8:60922684-60922694(+) CTATAATGGCC >hg38_chr8:60922719-60922729(+) AAACAAAGGGA >hg38_chr8:60949019-60949029(-) AAACAATGCCT >hg38_chr8:60954118-60954128(-) agacaatggaa >hg38_chr8:60965165-60965175(-) GCACAATAGAA >hg38_chr8:60967069-60967079(-) AAACTATGGTA >hg38_chr8:60985894-60985904(+) ATACAATAAGG >hg38_chr8:61013383-61013393(+) AGACAATGCTG >hg38_chr8:61014033-61014043(+) GGACAAAGGGA >hg38_chr8:61037564-61037574(-) tcacaatagct >hg38_chr8:61057078-61057088(+) AGACAAAGGCA >hg38_chr8:61078003-61078013(-) AGACAATGGGA >hg38_chr8:61080654-61080664(-) aaacaatagtt >hg38_chr8:61080668-61080678(-) gcacaatgctt >hg38_chr8:61093955-61093965(+) AGACAATGTGC >hg38_chr8:61100218-61100228(+) GGACAATGGGT >hg38_chr8:61100234-61100244(+) TGACAATGATC >hg38_chr8:61138972-61138982(+) CGACAATGGCT >hg38_chr8:61139816-61139826(-) GGAGAATGGCG >hg38_chr8:61215799-61215809(+) TGACAATAGGA >hg38_chr8:61229412-61229422(-) taacaatagga >hg38_chr8:61299034-61299044(-) CAACAATGGGC >hg38_chr8:61328829-61328839(+) TTACACTGGAC >hg38_chr8:61341373-61341383(-) GGACAATGAGC >hg38_chr8:61341405-61341415(+) GTACAATGTGT >hg38_chr8:61360040-61360050(-) CTACAATGGCT >hg38_chr8:61405048-61405058(+) CAACAATGCAC >hg38_chr8:61511435-61511445(-) CCACAATGTGC >hg38_chr8:61544489-61544499(-) GGACAAAGGTA >hg38_chr8:61582576-61582586(+) GAACAATGACT >hg38_chr8:61593397-61593407(+) GAATAATGGAC >hg38_chr8:61600918-61600928(+) ggacaataaaa >hg38_chr8:61609975-61609985(+) AGACAATGTGT >hg38_chr8:61609990-61610000(+) AAACAATGAAG >hg38_chr8:61627208-61627218(-) acacaatgagt >hg38_chr8:61680372-61680382(-) TAACAATGTGG >hg38_chr8:61712313-61712323(-) GTACAGTGTCG >hg38_chr8:61749625-61749635(-) atacaatggaa >hg38_chr8:61796331-61796341(+) gaacaaaggac >hg38_chr8:61796361-61796371(-) agacaatgtaa >hg38_chr8:61852785-61852795(+) TAACAATAATA >hg38_chr8:61878752-61878762(-) GAACAATGATG >hg38_chr8:61924690-61924700(-) GGACAATGAAA >hg38_chr8:61931991-61932001(+) TGACAATGTGT >hg38_chr8:61947779-61947789(-) AAACAATAAAA >hg38_chr8:61956559-61956569(-) GGACAATGTTA >hg38_chr8:61957371-61957381(+) AAACAATAAAA >hg38_chr8:61957446-61957456(-) TCACAATGAAG >hg38_chr8:61980692-61980702(-) ggacaaaggaa >hg38_chr8:62026936-62026946(-) atacaatagcc >hg38_chr8:62031554-62031564(+) GAACAATAGAA >hg38_chr8:62047559-62047569(+) atacaataatc >hg38_chr8:62054575-62054585(-) TCACAATGCTG >hg38_chr8:62134706-62134716(-) gtacaatagtt >hg38_chr8:62135456-62135466(-) ctacaatataa >hg38_chr8:62135497-62135507(-) taataatgggg >hg38_chr8:62200490-62200500(-) AAACAAAGGTA >hg38_chr8:62200558-62200568(+) ACACAAAGGCA >hg38_chr8:62217696-62217706(-) AGACAATGAAA >hg38_chr8:62250303-62250313(-) GAACAATGAAG >hg38_chr8:62285526-62285536(-) ACACAATGAAA >hg38_chr8:62341165-62341175(+) TGACAATGGGG >hg38_chr8:62341213-62341223(-) AAACAATGCCT >hg38_chr8:62342602-62342612(+) GCACAAAGGAA >hg38_chr8:62367149-62367159(+) gaacaatgtgt >hg38_chr8:62435961-62435971(+) ATACAATGCTT >hg38_chr8:62491350-62491360(-) TAACAATGGAG >hg38_chr8:62501864-62501874(+) TAACAATATAC >hg38_chr8:62553059-62553069(-) ACACAATGTCA >hg38_chr8:62592865-62592875(+) ttacaatgata >hg38_chr8:62786964-62786974(+) GGACAATGGGA >hg38_chr8:62797006-62797016(+) GAACAATAGCC >hg38_chr8:62797056-62797066(-) AAACAATAGGT >hg38_chr8:62868481-62868491(+) AGACAAAGGAG >hg38_chr8:62986090-62986100(-) atacaATATGA >hg38_chr8:63004861-63004871(-) gtacaataagc >hg38_chr8:63074575-63074585(-) CTACAATGTGC >hg38_chr8:63120345-63120355(+) GTACTATGGAG >hg38_chr8:63168639-63168649(-) CCACAATGGCG >hg38_chr8:63169926-63169936(-) GAACAATAGAG >hg38_chr8:63170153-63170163(+) GAACAATAACT >hg38_chr8:63190227-63190237(+) GGACAAAGGAT >hg38_chr8:63191879-63191889(-) acacaatggtc >hg38_chr8:63197888-63197898(-) CAACAATGGAA >hg38_chr8:63200567-63200577(-) ccacaatggtc >hg38_chr8:63206957-63206967(-) ATACAATGAGC >hg38_chr8:63207186-63207196(-) ACACAATGGTC >hg38_chr8:63207221-63207231(-) agacagtggac >hg38_chr8:63207665-63207675(-) TAACAATGAGG >hg38_chr8:63257021-63257031(-) gtacaataaca >hg38_chr8:63258119-63258129(+) caacaatggta >hg38_chr8:63258176-63258186(-) gaacaatgtaa >hg38_chr8:63258205-63258215(-) taacaatacaa >hg38_chr8:63286641-63286651(+) TTACAAAGGGA >hg38_chr8:63287083-63287093(+) AGACAATAGAA >hg38_chr8:63333696-63333706(+) ATACAATGAAA >hg38_chr8:63362982-63362992(-) AAACAAAGGGA >hg38_chr8:63397545-63397555(-) acacaatgctt >hg38_chr8:63440897-63440907(+) gcacaataggc >hg38_chr8:63470366-63470376(+) CAACAATAGAC >hg38_chr8:63521540-63521550(+) GCACAATGGAT >hg38_chr8:63566350-63566360(+) AAACAAAGGGG >hg38_chr8:63602772-63602782(+) TAACAATACAA >hg38_chr8:63602777-63602787(+) ATACAATGCAA >hg38_chr8:63605568-63605578(-) TGACAATAGGG >hg38_chr8:63607023-63607033(+) GAACAATGGCT >hg38_chr8:63688813-63688823(-) ATACAATATCC >hg38_chr8:63700152-63700162(+) AAACAATGTGT >hg38_chr8:63701569-63701579(+) GTACAATGCCC >hg38_chr8:63703097-63703107(-) AGACAATGACC >hg38_chr8:63709831-63709841(+) TTACAATAAGA >hg38_chr8:63740489-63740499(+) GAACAAAGGGC >hg38_chr8:63742774-63742784(+) taagaatggta >hg38_chr8:63812838-63812848(+) CCACAATGTCT >hg38_chr8:63849969-63849979(-) TCACAATGATG >hg38_chr8:63863836-63863846(-) ggacaatagtt >hg38_chr8:63903298-63903308(+) AAACAGTGGAT >hg38_chr8:63904678-63904688(+) GAATAATGGAA >hg38_chr8:63908186-63908196(+) acataatggag >hg38_chr8:63942430-63942440(+) acacaatatat >hg38_chr8:63961860-63961870(-) taacaataagc >hg38_chr8:63961876-63961886(-) caacaatcgat >hg38_chr8:63966541-63966551(-) AGACAAAGGGC >hg38_chr8:63977255-63977265(-) GCACAATGGAA >hg38_chr8:63977286-63977296(+) GGACAAAGGGC >hg38_chr8:63996222-63996232(+) GGACAATGGTA >hg38_chr8:64003131-64003141(-) CTATAATGGTC >hg38_chr8:64003142-64003152(+) CAACAATGGGT >hg38_chr8:64018578-64018588(+) atacaaaggaa >hg38_chr8:64018593-64018603(+) gtacaatgaac >hg38_chr8:64018606-64018616(+) aaacaatgaaa >hg38_chr8:64056727-64056737(+) gtacagtggga >hg38_chr8:64066105-64066115(+) tcacaatagcc >hg38_chr8:64079916-64079926(-) AAACAATGCCA >hg38_chr8:64092107-64092117(+) gaacaatagaG >hg38_chr8:64092150-64092160(+) GAACAATAAAg >hg38_chr8:64111770-64111780(+) CAACAATGGGA >hg38_chr8:64111778-64111788(+) GGACAATAGAG >hg38_chr8:64264208-64264218(-) GAACAATGCCA >hg38_chr8:64266977-64266987(-) GTACAAAGGCA >hg38_chr8:64267258-64267268(+) GTACAAAGGAA >hg38_chr8:64283131-64283141(-) gtacaatggaa >hg38_chr8:64286594-64286604(+) atacaatgggg >hg38_chr8:64368983-64368993(-) AAACAATGGGA >hg38_chr8:64369000-64369010(+) CAACAATGGAG >hg38_chr8:64370514-64370524(-) GGACAATGAGT >hg38_chr8:64372252-64372262(+) GAACAATGGCC >hg38_chr8:64374322-64374332(-) ACACAATAGGA >hg38_chr8:64375939-64375949(-) GTACAATGCCT >hg38_chr8:64376642-64376652(-) AAACAATGACG >hg38_chr8:64380028-64380038(+) GAACAAAGGAG >hg38_chr8:64392004-64392014(+) AAACAATGTAG >hg38_chr8:64409588-64409598(+) GGACAAAGGAG >hg38_chr8:64409637-64409647(+) ATACAATGAGC >hg38_chr8:64422320-64422330(-) AGACAATAaac >hg38_chr8:64430040-64430050(+) AGATAATGGCC >hg38_chr8:64433042-64433052(-) acacaatagaa >hg38_chr8:64514491-64514501(-) aaacaatgaga >hg38_chr8:64542725-64542735(+) tgataatggga >hg38_chr8:64555035-64555045(-) GTACAATGCTT >hg38_chr8:64558287-64558297(-) TGACAATATAA >hg38_chr8:64558322-64558332(-) atacaaaggtg >hg38_chr8:64575571-64575581(-) GAACAATGTAG >hg38_chr8:64578430-64578440(-) AAACAAAGGTT >hg38_chr8:64578474-64578484(+) AAACAATAACA >hg38_chr8:64580155-64580165(+) GAATAATGGAG >hg38_chr8:64670758-64670768(+) ACACAATGAAA >hg38_chr8:64691566-64691576(-) caacaatgagt >hg38_chr8:64695702-64695712(+) AGACAATGGAT >hg38_chr8:64695768-64695778(+) TGACAATGCAG >hg38_chr8:64725496-64725506(-) tcacaatgtat >hg38_chr8:64727503-64727513(+) ACACAAAGGAC >hg38_chr8:64727985-64727995(+) CCACAATGGAG >hg38_chr8:64728018-64728028(+) GGACAATAGGG >hg38_chr8:64745791-64745801(+) AAACAATAGTT >hg38_chr8:64853945-64853955(+) aaacaatggag >hg38_chr8:64868882-64868892(+) TTACAATGAGA >hg38_chr8:64918916-64918926(+) AGATAATGGGC >hg38_chr8:64945359-64945369(+) ttacaatggct >hg38_chr8:64969574-64969584(-) AAACAATGACA >hg38_chr8:65024206-65024216(-) TGATAATGGCG >hg38_chr8:65045522-65045532(-) AAACAATGAGG >hg38_chr8:65072499-65072509(+) acataatggaa >hg38_chr8:65166001-65166011(-) AAACAATGGCT >hg38_chr8:65177068-65177078(-) caacaatggag >hg38_chr8:65177120-65177130(-) gcacaatgagg >hg38_chr8:65214449-65214459(-) GGACAAAGGGT >hg38_chr8:65284516-65284526(-) aaacaatggag >hg38_chr8:65326038-65326048(-) GTACCATGGAC >hg38_chr8:65476578-65476588(-) ACACTATGGAT >hg38_chr8:65498738-65498748(-) TCACAATAATA >hg38_chr8:65539728-65539738(+) CAACAATGCCA >hg38_chr8:65539769-65539779(+) CAACAATGGCT >hg38_chr8:65549959-65549969(-) TTACAATGATT >hg38_chr8:65559197-65559207(-) TAACAATGGCC >hg38_chr8:65576883-65576893(-) tgacaaaggga >hg38_chr8:65577081-65577091(-) aaacaatgatc >hg38_chr8:65581069-65581079(+) aaacaataaaa >hg38_chr8:65585155-65585165(+) GGACAATGGCC >hg38_chr8:65599628-65599638(+) TAACAATGAGA >hg38_chr8:65659413-65659423(+) gaacaaaggag >hg38_chr8:65755602-65755612(-) taacaataatt >hg38_chr8:65762038-65762048(-) ATACAGTGGCT >hg38_chr8:65796041-65796051(+) acacaatataa >hg38_chr8:65840883-65840893(-) GAACAATAGGC >hg38_chr8:65859092-65859102(-) aaacaatggca >hg38_chr8:65859153-65859163(+) aaacaatgact >hg38_chr8:65929430-65929440(+) GGACAATAGGC >hg38_chr8:66017178-66017188(-) ACACAATGCTC >hg38_chr8:66042377-66042387(-) GCACAATGAAT >hg38_chr8:66114090-66114100(-) AAATAATGGGG >hg38_chr8:66120353-66120363(-) taacaaaggca >hg38_chr8:66120399-66120409(+) taactatggac >hg38_chr8:66125384-66125394(+) acacaatggag >hg38_chr8:66147228-66147238(-) aaacaatgcat >hg38_chr8:66254934-66254944(-) agacaatagac >hg38_chr8:66310951-66310961(+) gaacaaaggct >hg38_chr8:66352475-66352485(-) TGACAATGGGG >hg38_chr8:66357231-66357241(+) aaacaatgtga >hg38_chr8:66362316-66362326(-) tcacaatgaag >hg38_chr8:66378605-66378615(+) GTATAATGAAT >hg38_chr8:66383054-66383064(+) AGACAATAGCA >hg38_chr8:66388320-66388330(+) TAACAAAGGAA >hg38_chr8:66423318-66423328(-) tcacaatgaag >hg38_chr8:66434051-66434061(-) GGACAATGACC >hg38_chr8:66445819-66445829(+) ACATAATGGCT >hg38_chr8:66446118-66446128(-) TCACAATAGGG >hg38_chr8:66472397-66472407(+) TCACAATGGCC >hg38_chr8:66480148-66480158(+) GAACAATGAGA >hg38_chr8:66494489-66494499(-) TGACAATGAGA >hg38_chr8:66509973-66509983(+) GTACAAAGGCC >hg38_chr8:66512328-66512338(+) GCATAATGGGA >hg38_chr8:66533570-66533580(-) AGACAATGtga >hg38_chr8:66549062-66549072(-) GAACAAAGGGG >hg38_chr8:66559997-66560007(+) TAACAATATTA >hg38_chr8:66566835-66566845(+) ATACAATAAGA >hg38_chr8:66566853-66566863(+) TAACAATAATA >hg38_chr8:66611064-66611074(-) TAATAATGGAC >hg38_chr8:66733485-66733495(+) AAATAATGGGT >hg38_chr8:66733865-66733875(+) AAACAAAGGGT >hg38_chr8:66735991-66736001(-) TAACAATGGCT >hg38_chr8:66777080-66777090(+) TCACAATGTGG >hg38_chr8:66784273-66784283(-) AAACAATGGCA >hg38_chr8:66814673-66814683(+) ACACAATAGTC >hg38_chr8:66829147-66829157(+) TCATAATGGAC >hg38_chr8:66834186-66834196(-) ctacaatgtat >hg38_chr8:66834203-66834213(+) atataatggaa >hg38_chr8:66834251-66834261(+) ccacaatgtta >hg38_chr8:66859506-66859516(-) ATACACTGGCA >hg38_chr8:66917831-66917841(-) agacaaaggtg >hg38_chr8:66917838-66917848(-) tcacaatagac >hg38_chr8:66918539-66918549(+) CTACAATGTCT >hg38_chr8:66959421-66959431(+) AGACAATGTTT >hg38_chr8:66967879-66967889(-) ATACAATGAAA >hg38_chr8:67005588-67005598(-) agacactggta >hg38_chr8:67027537-67027547(-) ctacaatgcaa >hg38_chr8:67027602-67027612(+) aaacaatatta >hg38_chr8:67029538-67029548(+) GGACAATGCAA >hg38_chr8:67039000-67039010(+) AAACAATGGAG >hg38_chr8:67039525-67039535(+) AGACAATGCTT >hg38_chr8:67082389-67082399(-) TTACAATAGGA >hg38_chr8:67082958-67082968(-) TAACAATAGCA >hg38_chr8:67083777-67083787(+) GCACAATAGAA >hg38_chr8:67083789-67083799(-) TCACAATGGAT >hg38_chr8:67087276-67087286(-) GGACAATGCAA >hg38_chr8:67097035-67097045(+) CTACAATGAGG >hg38_chr8:67097078-67097088(-) AAACTATGGCA >hg38_chr8:67110445-67110455(-) AAACAAAGGAG >hg38_chr8:67120553-67120563(-) atacaatgaaa >hg38_chr8:67144124-67144134(-) tcacaatgaaa >hg38_chr8:67159273-67159283(+) GCACAATGTAC >hg38_chr8:67187753-67187763(+) tgacaaaggac >hg38_chr8:67195996-67196006(-) CTACAATAGAT >hg38_chr8:67202846-67202856(+) GAACAATGTAA >hg38_chr8:67221053-67221063(-) ctacaatgtca >hg38_chr8:67229969-67229979(+) GAACAAAGGCA >hg38_chr8:67235414-67235424(+) TCACAATAGAG >hg38_chr8:67254036-67254046(+) ATACAGTGGGT >hg38_chr8:67273908-67273918(-) TTACAATAGGA >hg38_chr8:67273934-67273944(-) ATAGAATGGTA >hg38_chr8:67274619-67274629(-) ACACAAAGGAA >hg38_chr8:67276020-67276030(+) ACACAATGACC >hg38_chr8:67294367-67294377(+) tcacaaaggaa >hg38_chr8:67294600-67294610(-) aaacaatgaga >hg38_chr8:67330793-67330803(-) CAACAATGTTT >hg38_chr8:67349131-67349141(-) GAACAAAGGCA >hg38_chr8:67369141-67369151(+) taacaataaac >hg38_chr8:67408309-67408319(-) TCACAATGAAC >hg38_chr8:67431766-67431776(+) TTACAATAGTT >hg38_chr8:67447267-67447277(-) ttacaatagaa >hg38_chr8:67447298-67447308(-) caacaataggc >hg38_chr8:67460386-67460396(+) GAACAATGATG >hg38_chr8:67460405-67460415(-) CCATAATGGTA >hg38_chr8:67479857-67479867(-) taacaatagat >hg38_chr8:67489990-67490000(-) ATACAATGACA >hg38_chr8:67490391-67490401(-) CAACAATAGTA >hg38_chr8:67490447-67490457(+) AAACAATGCAC >hg38_chr8:67492733-67492743(-) agacaatgctt >hg38_chr8:67492748-67492758(+) ACACAATGGCC >hg38_chr8:67507060-67507070(+) AAACAATATAT >hg38_chr8:67507069-67507079(-) GGACAATAAAT >hg38_chr8:67566161-67566171(-) CGACAATGGGA >hg38_chr8:67566914-67566924(-) AAACAATGGCT >hg38_chr8:67693041-67693051(-) GTACAATAGCT >hg38_chr8:67711302-67711312(+) aaacaataaac >hg38_chr8:67717352-67717362(-) CAACAATGTCC >hg38_chr8:67742088-67742098(+) GAACAAAGGCA >hg38_chr8:67794868-67794878(+) GAATAATGGAT >hg38_chr8:67794885-67794895(-) CAATAATGGAC >hg38_chr8:67866303-67866313(-) GTACAATAGGA >hg38_chr8:67866880-67866890(+) CTACAAAGGAT >hg38_chr8:67884273-67884283(+) ACACAATAGGA >hg38_chr8:67884589-67884599(-) GAACAATGCCC >hg38_chr8:67884607-67884617(-) CCACAATAGAA >hg38_chr8:67913155-67913165(-) ccacaatgaac >hg38_chr8:67945428-67945438(+) agacaataaaa >hg38_chr8:67950676-67950686(-) AAACAATAAAC >hg38_chr8:67950723-67950733(-) GAACAAAGGGC >hg38_chr8:67955452-67955462(-) gaacaaaggca >hg38_chr8:67956978-67956988(+) gaacaatagca >hg38_chr8:67969205-67969215(+) AGACAATGTCT >hg38_chr8:67978640-67978650(-) ccacaatagtc >hg38_chr8:67979061-67979071(-) AAACAATAGCT >hg38_chr8:67992789-67992799(+) ACATAATGGGA >hg38_chr8:68033108-68033118(+) AAACAATAAAA >hg38_chr8:68033127-68033137(+) GCACAATGTGC >hg38_chr8:68033799-68033809(-) ATACAATGGAG >hg38_chr8:68033823-68033833(+) ATACAATGAGT >hg38_chr8:68035390-68035400(-) atacaatgcat >hg38_chr8:68053046-68053056(-) ACACAATATTA >hg38_chr8:68067193-68067203(-) ccacaatagcc >hg38_chr8:68115671-68115681(-) ATACAATAGGC >hg38_chr8:68170322-68170332(-) ATACAAAGGGA >hg38_chr8:68183513-68183523(-) ACACAATGTCC >hg38_chr8:68210561-68210571(-) TCACAATGAAA >hg38_chr8:68226880-68226890(-) TTACAATGCTG >hg38_chr8:68258057-68258067(+) GGACAATGGCA >hg38_chr8:68273873-68273883(+) tgacaatgctg >hg38_chr8:68302947-68302957(+) agacaatgtac >hg38_chr8:68302975-68302985(+) taacaaaggcc >hg38_chr8:68332740-68332750(-) AAACAATGGTC >hg38_chr8:68332761-68332771(-) CCACAATGCGA >hg38_chr8:68346028-68346038(-) GGACAATGTTA >hg38_chr8:68448602-68448612(+) aaacaaaggca >hg38_chr8:68455639-68455649(-) ATATAATGGTA >hg38_chr8:68487074-68487084(+) TGATAATGGAA >hg38_chr8:68547759-68547769(+) gaacaatgtca >hg38_chr8:68547824-68547834(+) agacaatgtgg >hg38_chr8:68554751-68554761(+) ggacaaaggca >hg38_chr8:68555187-68555197(-) aaacaatggct >hg38_chr8:68565586-68565596(+) AAATAATGGTG >hg38_chr8:68571842-68571852(+) TAACAATAATG >hg38_chr8:68584683-68584693(-) AAACAATGTTT >hg38_chr8:68592960-68592970(-) agacaatgaag >hg38_chr8:68602276-68602286(-) caacaatggtg >hg38_chr8:68628764-68628774(-) AGACAATGTGG >hg38_chr8:68641186-68641196(+) GAACAATGTAC >hg38_chr8:68656710-68656720(+) TCACAATGCCT >hg38_chr8:68658356-68658366(-) aaacaaaggag >hg38_chr8:68658373-68658383(-) caacaatgatg >hg38_chr8:68705506-68705516(-) gcacaatggga >hg38_chr8:68750801-68750811(-) gaacaatgcat >hg38_chr8:68768656-68768666(+) taacaataggg >hg38_chr8:68774128-68774138(-) GAACAATGTGG >hg38_chr8:68790066-68790076(-) CTACAATGCTA >hg38_chr8:68800969-68800979(-) AGACAATAAAT >hg38_chr8:68801154-68801164(-) ATACAAAGATA >hg38_chr8:68843746-68843756(-) TGACAATGGAA >hg38_chr8:68854185-68854195(+) GAACAATAGAG >hg38_chr8:68883551-68883561(-) caacaatgcaa >hg38_chr8:68884722-68884732(+) ggacaaagggc >hg38_chr8:68897011-68897021(-) ATACAATATAC >hg38_chr8:68925740-68925750(-) GCACAATGATC >hg38_chr8:68970524-68970534(+) aaataatggtg >hg38_chr8:69011924-69011934(-) ctacaatcgac >hg38_chr8:69029773-69029783(+) GTACAATGGGT >hg38_chr8:69029829-69029839(-) ACACAATGGCT >hg38_chr8:69035343-69035353(+) AGATAATGGAT >hg38_chr8:69051499-69051509(+) caacaatgaaa >hg38_chr8:69051510-69051520(-) gcataatggta >hg38_chr8:69062298-69062308(+) GAACAATAACG >hg38_chr8:69071411-69071421(+) AGACAATGGAG >hg38_chr8:69088280-69088290(-) agacaaaggca >hg38_chr8:69111359-69111369(+) TAACAATAATA >hg38_chr8:69124364-69124374(-) aaacaatatac >hg38_chr8:69125516-69125526(-) acacaatgaaa >hg38_chr8:69125524-69125534(-) taacaataaca >hg38_chr8:69145567-69145577(+) taacaatgcac >hg38_chr8:69154907-69154917(+) TGACAATATAA >hg38_chr8:69154970-69154980(+) AAACAATAGTG >hg38_chr8:69177656-69177666(+) TAACAATAAGA >hg38_chr8:69191816-69191826(+) ATacaatgttt >hg38_chr8:69202286-69202296(-) atacagtggca >hg38_chr8:69225944-69225954(+) ttacaatgcct >hg38_chr8:69311079-69311089(-) aaacaataata >hg38_chr8:69357784-69357794(+) atacaataatt >hg38_chr8:69364942-69364952(-) GAACAATAGCA >hg38_chr8:69371181-69371191(+) GAACAATAGGC >hg38_chr8:69397568-69397578(+) GAACAATAATT >hg38_chr8:69418491-69418501(-) AAACAATAGCA >hg38_chr8:69418500-69418510(-) ACACAATGAAA >hg38_chr8:69419479-69419489(-) GAACAATGAAT >hg38_chr8:69419486-69419496(-) ATATAATGAAC >hg38_chr8:69447932-69447942(-) GGACAATGAAC >hg38_chr8:69448839-69448849(+) TTACAATGGAG >hg38_chr8:69467064-69467074(-) GTACAATAGCA >hg38_chr8:69475646-69475656(+) TGACAATGGTC >hg38_chr8:69487355-69487365(-) TGACAATAGAA >hg38_chr8:69488117-69488127(-) TTACAATAGGA >hg38_chr8:69532590-69532600(-) GTACAATGAAT >hg38_chr8:69539126-69539136(-) TGACAAAGGCG >hg38_chr8:69539186-69539196(-) GAACAATGGCT >hg38_chr8:69559267-69559277(+) ttacaatagaa >hg38_chr8:69597272-69597282(-) TGACAATGTTG >hg38_chr8:69607963-69607973(+) GAACAAAGGGA >hg38_chr8:69622025-69622035(+) GAACAATGGAA >hg38_chr8:69702761-69702771(+) GGACAATGAAG >hg38_chr8:69712592-69712602(-) CAACAATAGCA >hg38_chr8:69712601-69712611(-) TAACAATAGCA >hg38_chr8:69712618-69712628(+) CAACAATGTGC >hg38_chr8:69713323-69713333(-) TTACAATGATA >hg38_chr8:69715466-69715476(-) CAACAATGGAC >hg38_chr8:69727471-69727481(+) CTATAATGGAT >hg38_chr8:69761008-69761018(+) ATACAATAAGA >hg38_chr8:69761025-69761035(+) AAACAATGTAT >hg38_chr8:69833380-69833390(-) AGACAATGAGG >hg38_chr8:69834335-69834345(-) TCACAAAGGCG >hg38_chr8:69889028-69889038(+) gtacaatagac >hg38_chr8:69891619-69891629(-) TAACAACGGAT >hg38_chr8:69944916-69944926(-) TCACAATGGCT >hg38_chr8:69954482-69954492(-) TCacaatggct >hg38_chr8:69977457-69977467(+) AGACAATGGCT >hg38_chr8:69977500-69977510(-) AAACAATGAAA >hg38_chr8:69979198-69979208(+) TAACAATGCAC >hg38_chr8:69995676-69995686(-) gtacaatgcta >hg38_chr8:69995684-69995694(-) taacattggta >hg38_chr8:70035539-70035549(+) TGACAAAGGAT >hg38_chr8:70037398-70037408(-) GAACAATGTGA >hg38_chr8:70049205-70049215(-) GAACAATGGTC >hg38_chr8:70061282-70061292(-) AAACAATGAGA >hg38_chr8:70082798-70082808(+) ACACAATATAA >hg38_chr8:70093371-70093381(+) GGACAATGGCC >hg38_chr8:70120876-70120886(+) GAACAATGTAA >hg38_chr8:70121215-70121225(+) TGACAATATAT >hg38_chr8:70124088-70124098(+) ATACAATGGAA >hg38_chr8:70149111-70149121(+) TGACAATGGCT >hg38_chr8:70183003-70183013(+) TGATAATGGTG >hg38_chr8:70240366-70240376(-) TGACAATAATA >hg38_chr8:70240396-70240406(-) CTACAATGGGC >hg38_chr8:70247745-70247755(+) CTACAACGGCg >hg38_chr8:70288070-70288080(-) agacaaaggca >hg38_chr8:70300805-70300815(+) CAACAATGTAA >hg38_chr8:70300813-70300823(+) TAACAATAGCA >hg38_chr8:70314785-70314795(+) ATATAATGAAT >hg38_chr8:70333782-70333792(+) TGACAATGCCC >hg38_chr8:70351059-70351069(-) caacaatgggt >hg38_chr8:70372971-70372981(-) atacaatggga >hg38_chr8:70390270-70390280(+) TAACAATGACT >hg38_chr8:70390314-70390324(-) ACACAATGAAG >hg38_chr8:70447451-70447461(+) AAATAATGGCG >hg38_chr8:70447467-70447477(-) ACACAATGGGT >hg38_chr8:70509146-70509156(-) ggacaatgcca >hg38_chr8:70600779-70600789(+) aaacaatgagc >hg38_chr8:70746946-70746956(-) gaacaataaac >hg38_chr8:70746977-70746987(-) aaacaatgggt >hg38_chr8:70758628-70758638(-) GGACAATGTTT >hg38_chr8:70758643-70758653(+) ATACAATGGCA >hg38_chr8:70833352-70833362(-) AAACAATGTAG >hg38_chr8:70864398-70864408(-) ATACAATGCTC >hg38_chr8:70864422-70864432(+) GAACAATGGAC >hg38_chr8:70939396-70939406(+) TAATAATAGTA >hg38_chr8:70995508-70995518(+) GAACAATACAC >hg38_chr8:71017226-71017236(+) CAACAATGGGG >hg38_chr8:71112208-71112218(+) AAACAAAGGCA >hg38_chr8:71112218-71112228(+) ACACAATGAAA >hg38_chr8:71123036-71123046(+) taataatgggt >hg38_chr8:71202314-71202324(+) AAACAAAGGAC >hg38_chr8:71205574-71205584(+) AAACAAAGGTA >hg38_chr8:71219114-71219124(-) CAACAATGGGG >hg38_chr8:71219123-71219133(-) GAACAATAGCA >hg38_chr8:71225170-71225180(-) GCACAATGGAA >hg38_chr8:71230058-71230068(-) CTACAATAGGG >hg38_chr8:71231300-71231310(-) TTACAATGGAT >hg38_chr8:71243973-71243983(+) TCATAATGGAG >hg38_chr8:71266168-71266178(-) TGACAATGTGA >hg38_chr8:71298563-71298573(-) tgacaatgtgt >hg38_chr8:71322669-71322679(-) AAACAATAGGC >hg38_chr8:71336902-71336912(-) TTACAATAAAT >hg38_chr8:71386513-71386523(-) AGACAATGttg >hg38_chr8:71386553-71386563(+) TGACAATAGCT >hg38_chr8:71524763-71524773(+) ATACAATGATC >hg38_chr8:71536240-71536250(+) CCACAATGCAA >hg38_chr8:71559877-71559887(-) ACATAATGGCT >hg38_chr8:71559917-71559927(-) TTATAATGGAA >hg38_chr8:71577314-71577324(-) gaactatggaa >hg38_chr8:71637092-71637102(-) aaacaatggca >hg38_chr8:71639966-71639976(-) GAATAATGGTA >hg38_chr8:71672527-71672537(+) GCACAATAATA >hg38_chr8:71702351-71702361(+) caacaatgtgt >hg38_chr8:71733080-71733090(-) GCACAATGTTG >hg38_chr8:71751060-71751070(+) TAATAATGGGG >hg38_chr8:71752258-71752268(-) TAACAATGTCA >hg38_chr8:71757597-71757607(+) TAATAATGGAG >hg38_chr8:71775306-71775316(+) gtacaatgttc >hg38_chr8:71788797-71788807(+) TCACAATGATG >hg38_chr8:71810373-71810383(+) caacaatgttc >hg38_chr8:71839271-71839281(-) GTACAATGTGC >hg38_chr8:71839278-71839288(-) ATACAATGTAC >hg38_chr8:71845305-71845315(-) ATACAATAGCA >hg38_chr8:71854722-71854732(+) atacaATGTTC >hg38_chr8:71854735-71854745(+) GGACAATGCAT >hg38_chr8:71854780-71854790(+) CTACAATGCCA >hg38_chr8:71865997-71866007(-) TAACAAAGGCG >hg38_chr8:71894110-71894120(-) atacaataacc >hg38_chr8:71932858-71932868(+) gtacaatagaa >hg38_chr8:72025022-72025032(-) TTATAATGGAA >hg38_chr8:72042282-72042292(-) aaacaatagtg >hg38_chr8:72062056-72062066(+) GAACAATGTCC >hg38_chr8:72083164-72083174(-) caacaatggaa >hg38_chr8:72093940-72093950(-) GAACAATGCCT >hg38_chr8:72224565-72224575(+) GAACAATGCCA >hg38_chr8:72224591-72224601(-) gaacaatggga >hg38_chr8:72227882-72227892(+) tcacaatggcc >hg38_chr8:72246531-72246541(-) AGACAGTGGAA >hg38_chr8:72299131-72299141(-) GAACAATAATT >hg38_chr8:72299201-72299211(-) TTACAAAGGGC >hg38_chr8:72314525-72314535(-) atataatgaat >hg38_chr8:72314560-72314570(-) ctataatggaa >hg38_chr8:72332656-72332666(+) ATACAATAAGC >hg38_chr8:72333051-72333061(+) AAACAATGCAA >hg38_chr8:72333382-72333392(+) ATACAATGGAT >hg38_chr8:72435320-72435330(-) aaacaatgact >hg38_chr8:72441375-72441385(+) GAACAATAGCT >hg38_chr8:72450082-72450092(-) AAACACTGGTA >hg38_chr8:72458026-72458036(+) acacaatgtct >hg38_chr8:72460628-72460638(-) agacaataaaa >hg38_chr8:72516001-72516011(+) atacaatagaa >hg38_chr8:72656462-72656472(+) TAATAATGGCA >hg38_chr8:72656876-72656886(-) cgataatgaga >hg38_chr8:72677368-72677378(-) agacaatggct >hg38_chr8:72686897-72686907(+) GGACAATGGTC >hg38_chr8:72735037-72735047(+) TTATAATGGAA >hg38_chr8:72744822-72744832(+) gcacaatgaca >hg38_chr8:72754469-72754479(-) ttacaatggaa >hg38_chr8:72852588-72852598(+) CGACAGTGGAT >hg38_chr8:72873732-72873742(+) ACACAATGTAG >hg38_chr8:72948441-72948451(-) TCACAATGAGC >hg38_chr8:72958162-72958172(-) AAATAATGGAG >hg38_chr8:72986414-72986424(-) taacaaaggca >hg38_chr8:72995021-72995031(-) AAACAATAGAC >hg38_chr8:73024165-73024175(+) atacaataatt >hg38_chr8:73036176-73036186(-) TTACAATGTTT >hg38_chr8:73043031-73043041(+) GCACAATAGTA >hg38_chr8:73118103-73118113(-) TTACAATGGAT >hg38_chr8:73118691-73118701(+) taacaatacat >hg38_chr8:73118759-73118769(+) TCACAATGCCT >hg38_chr8:73120492-73120502(-) gcacaatgagA >hg38_chr8:73120520-73120530(+) aaacaatgaga >hg38_chr8:73142703-73142713(-) TAACAATGCTT >hg38_chr8:73179553-73179563(-) GTATAATGGCC >hg38_chr8:73179578-73179588(+) GTACAATGGCt >hg38_chr8:73197940-73197950(+) gaacaatgcct >hg38_chr8:73204040-73204050(-) GAACAATGCAT >hg38_chr8:73229232-73229242(+) ctacaatgtca >hg38_chr8:73268736-73268746(+) atataatgggc >hg38_chr8:73284807-73284817(+) TAACAATGTAC >hg38_chr8:73288984-73288994(-) GAACAATAATA >hg38_chr8:73312545-73312555(-) TCACAAAGGAA >hg38_chr8:73356682-73356692(-) AAACAAAGGCT >hg38_chr8:73356830-73356840(-) aaacaatgaga >hg38_chr8:73407416-73407426(-) AGACAATGCCA >hg38_chr8:73420137-73420147(+) GCACAATGTTT >hg38_chr8:73420171-73420181(+) GAACAATGCGA >hg38_chr8:73487715-73487725(+) GAACAATGGTT >hg38_chr8:73489182-73489192(+) GCACAATGATC >hg38_chr8:73489190-73489200(-) AAATAATGGAT >hg38_chr8:73489243-73489253(+) TGACAATGAAA >hg38_chr8:73489889-73489899(-) GAACAATAGAC >hg38_chr8:73492191-73492201(-) TTACAATGCCA >hg38_chr8:73504253-73504263(+) ATACAATAGCT >hg38_chr8:73531772-73531782(-) ACACAATGAAT >hg38_chr8:73587397-73587407(+) caacaaaggac >hg38_chr8:73587428-73587438(+) ggataatggtg >hg38_chr8:73606221-73606231(+) aaacaatataa >hg38_chr8:73656434-73656444(+) ttacaaaggat >hg38_chr8:73681544-73681554(+) aaacaataaca >hg38_chr8:73681552-73681562(+) acacaatgaga >hg38_chr8:73681596-73681606(+) gcacaatggat >hg38_chr8:73694856-73694866(+) agacaatgaaa >hg38_chr8:73713434-73713444(+) agacaatagac >hg38_chr8:73713447-73713457(+) acacaataaat >hg38_chr8:73713457-73713467(+) tcacaatataa >hg38_chr8:73747063-73747073(-) GAACAATGGCC >hg38_chr8:73807914-73807924(+) GAACAATGTAA >hg38_chr8:73807930-73807940(+) TTACAAAGGCA >hg38_chr8:73826192-73826202(-) AAACAAAGGCT >hg38_chr8:73843083-73843093(-) atacaatatgt >hg38_chr8:73849933-73849943(+) atataatgata >hg38_chr8:73949036-73949046(+) ACACAAAGGTT >hg38_chr8:73960736-73960746(+) ACACAGTGGTA >hg38_chr8:73963091-73963101(+) GAACAATGGCC >hg38_chr8:73981341-73981351(-) GTACAATCGAA >hg38_chr8:73981387-73981397(+) CTACAATGCTA >hg38_chr8:74028413-74028423(-) ACACAATGGTC >hg38_chr8:74028467-74028477(-) AAACAATGTTC >hg38_chr8:74107374-74107384(-) AAACAATAGGA >hg38_chr8:74108839-74108849(-) TCACAATGGAT >hg38_chr8:74164016-74164026(-) ATACAATACAA >hg38_chr8:74175890-74175900(-) aaacaatgctg >hg38_chr8:74194367-74194377(+) GTACAATACAT >hg38_chr8:74212885-74212895(+) atacaatattt >hg38_chr8:74212925-74212935(+) acataatggca >hg38_chr8:74231630-74231640(-) ACACAATAGAG >hg38_chr8:74300223-74300233(-) TAACAAAGGAG >hg38_chr8:74321937-74321947(-) ATACAATGAGT >hg38_chr8:74321975-74321985(-) AAACAATGCAA >hg38_chr8:74328067-74328077(+) AAACAATAGCC >hg38_chr8:74338363-74338373(+) CTACAATGGAT >hg38_chr8:74352214-74352224(-) AGACAATGTGT >hg38_chr8:74377444-74377454(-) taacaatgttg >hg38_chr8:74419317-74419327(+) atataatgtaa >hg38_chr8:74419335-74419345(+) gaacaatgaaa >hg38_chr8:74449343-74449353(-) tgacaatgaga >hg38_chr8:74567765-74567775(+) TCACAATGTTT >hg38_chr8:74567828-74567838(-) CGACAAAGGGA >hg38_chr8:74579369-74579379(+) gtaccatggaa >hg38_chr8:74579387-74579397(+) ggacaatcgtg >hg38_chr8:74583641-74583651(-) GAATAATGGGC >hg38_chr8:74714526-74714536(+) CTACAATGGTT >hg38_chr8:74727921-74727931(-) GCACAATGAAC >hg38_chr8:74738932-74738942(+) AAACAATGTAA >hg38_chr8:74741843-74741853(+) aaacaatgtgg >hg38_chr8:74761911-74761921(-) AAACAAAGGAA >hg38_chr8:74820528-74820538(+) ggacaatggag >hg38_chr8:74822043-74822053(-) TAACAATGAGG >hg38_chr8:74877073-74877083(+) AAACAATAGGG >hg38_chr8:74968675-74968685(+) AAACAATAGAA >hg38_chr8:74977578-74977588(+) GAACAATGCAA >hg38_chr8:75000743-75000753(-) CTACAAAGGAA >hg38_chr8:75081378-75081388(-) GAACAATAACT >hg38_chr8:75084502-75084512(+) TCACAATGAAA >hg38_chr8:75125521-75125531(+) GAACAATGGAA >hg38_chr8:75142812-75142822(-) TAACAATGTCT >hg38_chr8:75193605-75193615(+) TAACAATAATG >hg38_chr8:75195024-75195034(+) caacaatgaac >hg38_chr8:75219208-75219218(+) TGACAAAGGAA >hg38_chr8:75247392-75247402(-) aaacaaaggct >hg38_chr8:75270115-75270125(+) GAACAATAAAA >hg38_chr8:75270132-75270142(-) GAACAGTGGGA >hg38_chr8:75305752-75305762(+) ggacaatagaa >hg38_chr8:75314819-75314829(-) ggacaatgtaa >hg38_chr8:75315914-75315924(+) GAACAAAGGGG >hg38_chr8:75341616-75341626(+) ACACAATGATG >hg38_chr8:75369545-75369555(+) AAACAATGTCT >hg38_chr8:75369574-75369584(+) CTACAATGCAG >hg38_chr8:75373695-75373705(+) taacaataatg >hg38_chr8:75373734-75373744(-) atacaatgatg >hg38_chr8:75419696-75419706(+) TGACAATGTAA >hg38_chr8:75499789-75499799(+) aaacaatagtc >hg38_chr8:75500308-75500318(+) aaacaatgaga >hg38_chr8:75500316-75500326(+) agacaatgctt >hg38_chr8:75500335-75500345(+) ccacaatggct >hg38_chr8:75535468-75535478(+) ATACACTGGGT >hg38_chr8:75547230-75547240(+) TGACAATAGCC >hg38_chr8:75554976-75554986(+) cAACAATGTGA >hg38_chr8:75730980-75730990(-) AAACAAAGGGG >hg38_chr8:75730994-75731004(+) ATACTATGGTC >hg38_chr8:75782809-75782819(-) CGACAATACAG >hg38_chr8:75793881-75793891(+) ggacaatggtc >hg38_chr8:75830303-75830313(+) acacaataaac >hg38_chr8:75878496-75878506(+) AAACAATAGAT >hg38_chr8:75893880-75893890(+) CCACAATGTAT >hg38_chr8:75893909-75893919(+) TGACAATAGTG >hg38_chr8:75896810-75896820(+) AAACAATGGTA >hg38_chr8:75910320-75910330(+) TTACAATGTCA >hg38_chr8:75960266-75960276(-) ccacaatgaaa >hg38_chr8:75975905-75975915(-) ATACAATGGCA >hg38_chr8:75975926-75975936(-) CTACAATAATA >hg38_chr8:76076071-76076081(-) ATACAATGATT >hg38_chr8:76076076-76076086(-) TAACAATACAA >hg38_chr8:76114489-76114499(+) taacaatgaag >hg38_chr8:76127519-76127529(-) CCACAATGCAA >hg38_chr8:76137909-76137919(-) GTACAATGAGA >hg38_chr8:76163604-76163614(+) ACACAATGGCA >hg38_chr8:76166462-76166472(-) TCACAATGGAT >hg38_chr8:76187103-76187113(+) TGACAATGTCT >hg38_chr8:76196675-76196685(+) CAACAATGGGG >hg38_chr8:76210098-76210108(+) TTACAATGCTC >hg38_chr8:76234646-76234656(+) aaacaatagcc >hg38_chr8:76234653-76234663(-) aaacaatggct >hg38_chr8:76235752-76235762(+) GGACAATGGGA >hg38_chr8:76252114-76252124(+) aaacaatggat >hg38_chr8:76352651-76352661(-) ATACAATGATT >hg38_chr8:76358160-76358170(-) aaacaatggaa >hg38_chr8:76358263-76358273(+) ATACAATAATG >hg38_chr8:76400570-76400580(+) AAACAATAAAA >hg38_chr8:76400605-76400615(-) acacaatgcat >hg38_chr8:76400620-76400630(-) acacaatgcat >hg38_chr8:76400640-76400650(-) acacaatgcgt >hg38_chr8:76400655-76400665(-) acacaatgcat >hg38_chr8:76403245-76403255(+) ATATAATGTAC >hg38_chr8:76403273-76403283(-) ATACAATGTTT >hg38_chr8:76406366-76406376(+) CAACAATGGGG >hg38_chr8:76417412-76417422(-) TTACAATAGCT >hg38_chr8:76452706-76452716(-) gcacaatgtct >hg38_chr8:76461323-76461333(-) TTACAATGCAA >hg38_chr8:76490796-76490806(-) agacaatgcac >hg38_chr8:76490820-76490830(+) taacaataaca >hg38_chr8:76491692-76491702(+) atacaatgtac >hg38_chr8:76491699-76491709(+) gtacaataatc >hg38_chr8:76491729-76491739(-) agacaatgggc >hg38_chr8:76491772-76491782(-) gtataatggaa >hg38_chr8:76526291-76526301(-) GAACAATAAGA >hg38_chr8:76530460-76530470(-) TGACTATGGAA >hg38_chr8:76530473-76530483(+) AAACAATAAAC >hg38_chr8:76562136-76562146(+) TAACAATAAAA >hg38_chr8:76580923-76580933(+) CCACAATGTAT >hg38_chr8:76582506-76582516(-) ACATAATGGGA >hg38_chr8:76619506-76619516(-) GTACAATGTAC >hg38_chr8:76619551-76619561(-) GAACAATGTGA >hg38_chr8:76620456-76620466(-) GAACAATAAAT >hg38_chr8:76646049-76646059(-) taacagtggga >hg38_chr8:76646708-76646718(-) gtacaatgctg >hg38_chr8:76687139-76687149(+) CAATAATGGAT >hg38_chr8:76712599-76712609(-) ACACAATGACT >hg38_chr8:76746789-76746799(-) TTACAATGGAT >hg38_chr8:76756465-76756475(-) TTACAATGTAT >hg38_chr8:76756479-76756489(+) GAACAATGTGA >hg38_chr8:76757383-76757393(-) AAACAATGTGT >hg38_chr8:76761872-76761882(+) TTACAATAGGA >hg38_chr8:76764854-76764864(-) GAACAATAGGG >hg38_chr8:76775761-76775771(-) GAACAATGCAC >hg38_chr8:76778173-76778183(-) GAACAATGGTC >hg38_chr8:76794555-76794565(+) GAACAATGCGC >hg38_chr8:76798292-76798302(-) GCATAATGGGT >hg38_chr8:76802703-76802713(-) ACACAATGATG >hg38_chr8:76811412-76811422(+) TAACAATATGT >hg38_chr8:76817662-76817672(+) CTACAATGTGT >hg38_chr8:76865808-76865818(-) GTACAATAACC >hg38_chr8:76866100-76866110(+) AAACAATGTGA >hg38_chr8:76866638-76866648(-) TCACAATGCTG >hg38_chr8:76894270-76894280(+) TAATAATGGCA >hg38_chr8:76894279-76894289(+) CAACAATGTTA >hg38_chr8:76935533-76935543(+) ACACAAtgttt >hg38_chr8:76965731-76965741(+) gcacaatgttg >hg38_chr8:77014999-77015009(+) gaacagtggaa >hg38_chr8:77055924-77055934(+) GAAcaatgcaa >hg38_chr8:77055952-77055962(+) gaacaatgcat >hg38_chr8:77055957-77055967(-) gaacaatgcat >hg38_chr8:77055977-77055987(+) gaacaatgcaa >hg38_chr8:77098842-77098852(-) GCACAATAGAA >hg38_chr8:77119394-77119404(+) GGACAATGTGA >hg38_chr8:77119403-77119413(+) GAACAAAGGCA >hg38_chr8:77125969-77125979(+) tgacaatagct >hg38_chr8:77134362-77134372(-) AGACAATGCCT >hg38_chr8:77147546-77147556(-) TTACTATGGTG >hg38_chr8:77163148-77163158(+) CTACAATGAGC >hg38_chr8:77178672-77178682(+) tcacaatggtc >hg38_chr8:77192688-77192698(+) acacaatgaga >hg38_chr8:77261351-77261361(-) GGACAATGTCA >hg38_chr8:77265843-77265853(-) AAACAAAGGAA >hg38_chr8:77309498-77309508(+) GAACAATAATA >hg38_chr8:77328248-77328258(-) taacaatatga >hg38_chr8:77332422-77332432(+) CAACAATGGCA >hg38_chr8:77336531-77336541(-) gaacaatagga >hg38_chr8:77541231-77541241(+) AGACAATGTTG >hg38_chr8:77652044-77652054(-) tgacaatggcc >hg38_chr8:77652696-77652706(-) ACACAAAGGAA >hg38_chr8:77708648-77708658(+) caacaatgaca >hg38_chr8:77708668-77708678(+) aaacaatggcc >hg38_chr8:77727496-77727506(+) TTACAATGAGA >hg38_chr8:77782396-77782406(-) CAATAATGGGA >hg38_chr8:77844596-77844606(+) ATACAATATAG >hg38_chr8:77930296-77930306(-) CAACAATAGAG >hg38_chr8:77993659-77993669(+) ccacaatgtta >hg38_chr8:77993682-77993692(-) aaataatggac >hg38_chr8:78091752-78091762(-) TAACAATGAAT >hg38_chr8:78091767-78091777(-) TAACAATGAGA >hg38_chr8:78091812-78091822(-) TAACAATGAAT >hg38_chr8:78121102-78121112(-) AAACAATGAGC >hg38_chr8:78208221-78208231(-) AAACAATAACT >hg38_chr8:78232778-78232788(-) tgacaatgact >hg38_chr8:78233166-78233176(+) GAACAATGCTT >hg38_chr8:78250860-78250870(-) AAACAATGCTT >hg38_chr8:78276847-78276857(-) ACACAAAGGCA >hg38_chr8:78281908-78281918(-) AAACAATGGTG >hg38_chr8:78302287-78302297(+) ATACAAAGGCC >hg38_chr8:78367708-78367718(+) TAACAATGCAT >hg38_chr8:78458475-78458485(+) caacaatggtg >hg38_chr8:78458494-78458504(+) atacaatggag >hg38_chr8:78480068-78480078(+) gtacaatgaga >hg38_chr8:78481631-78481641(+) aaacaatagtt >hg38_chr8:78486038-78486048(+) AGACAATAACA >hg38_chr8:78507745-78507755(+) GCACAATGCAG >hg38_chr8:78516001-78516011(+) GAACAATGCTG >hg38_chr8:78520373-78520383(-) GTACAATGTCT >hg38_chr8:78549913-78549923(-) ttacaatggct >hg38_chr8:78549944-78549954(+) atacaatgagt >hg38_chr8:78549971-78549981(+) ttacaatgaca >hg38_chr8:78552627-78552637(+) GAACAATGGTG >hg38_chr8:78557417-78557427(-) AAACAATGTCA >hg38_chr8:78590346-78590356(+) agacaatggga >hg38_chr8:78592903-78592913(+) TGATAATGGCA >hg38_chr8:78612866-78612876(+) GAACAATGCCT >hg38_chr8:78630683-78630693(-) TTACAATAGCT >hg38_chr8:78665869-78665879(+) AAACAAAGGCG >hg38_chr8:78666529-78666539(-) CAATAATGGAG >hg38_chr8:78669757-78669767(-) ccacaatgaag >hg38_chr8:78683655-78683665(-) agacaaaggga >hg38_chr8:78691163-78691173(-) aaacaatgctt >hg38_chr8:78691176-78691186(-) acacaatggtg >hg38_chr8:78722797-78722807(-) TGACAATGTTC >hg38_chr8:78730384-78730394(-) AGACAATGAAA >hg38_chr8:78744951-78744961(-) aaacaaaggca >hg38_chr8:78748776-78748786(-) TTACTATGGAC >hg38_chr8:78751805-78751815(+) atacaataaat >hg38_chr8:78812555-78812565(-) atacaataata >hg38_chr8:78812607-78812617(-) ccacaatgagg >hg38_chr8:78817652-78817662(+) gcacaatgctg >hg38_chr8:78856045-78856055(-) TCACAAAGGAA >hg38_chr8:78874789-78874799(-) agacaatgact >hg38_chr8:78914016-78914026(+) taacaatcgct >hg38_chr8:78948675-78948685(-) ggacaaaggga >hg38_chr8:78973625-78973635(+) ACACAATGTGT >hg38_chr8:78992488-78992498(+) ggacaatgtaa >hg38_chr8:79001166-79001176(-) GAACAATGGCT >hg38_chr8:79056383-79056393(-) taactatggcc >hg38_chr8:79065783-79065793(+) ACACAAAGGAC >hg38_chr8:79086176-79086186(-) GCACAATAGTT >hg38_chr8:79100200-79100210(+) tcacaatggag >hg38_chr8:79103993-79104003(+) TCACAATAGTT >hg38_chr8:79123148-79123158(-) taacaataggc >hg38_chr8:79123199-79123209(-) gaacaaaggca >hg38_chr8:79124519-79124529(-) gaacaaaggag >hg38_chr8:79127907-79127917(+) gaataatggct >hg38_chr8:79133782-79133792(+) GGACAATAGCA >hg38_chr8:79142001-79142011(+) atacaatagcc >hg38_chr8:79147751-79147761(+) GAACTATGGTA >hg38_chr8:79194957-79194967(-) GAACAATGTTA >hg38_chr8:79215952-79215962(-) AAACAGTGGTC >hg38_chr8:79216164-79216174(-) TAACAATAGGG >hg38_chr8:79249168-79249178(+) aaacaaaggtt >hg38_chr8:79288143-79288153(+) acacaatgcaa >hg38_chr8:79302176-79302186(+) TAACAATGAAA >hg38_chr8:79321256-79321266(-) TAACCATGGCG >hg38_chr8:79322298-79322308(+) CTATAATGGAT >hg38_chr8:79324791-79324801(+) AAAcaatgggg >hg38_chr8:79334145-79334155(-) TTACAATGGTT >hg38_chr8:79349042-79349052(-) AAACAATAATA >hg38_chr8:79349856-79349866(-) GTACAATGTTC >hg38_chr8:79349863-79349873(+) GTACAATGCCT >hg38_chr8:79383910-79383920(+) AAACAATGGAG >hg38_chr8:79407515-79407525(-) TAACAATAGAA >hg38_chr8:79421347-79421357(-) atacaataaag >hg38_chr8:79513664-79513674(+) agacaatgtta >hg38_chr8:79513711-79513721(+) acacaatgact >hg38_chr8:79521409-79521419(+) ATACAATGATG >hg38_chr8:79530180-79530190(-) gtagaatggac >hg38_chr8:79608776-79608786(+) TGATAATGGCA >hg38_chr8:79609607-79609617(-) GAACAATACAC >hg38_chr8:79668650-79668660(-) GCACAAAGGCG >hg38_chr8:79668694-79668704(+) AAACAATGGGG >hg38_chr8:79687166-79687176(+) acacaatagga >hg38_chr8:79737748-79737758(+) GGACAATGGCA >hg38_chr8:79793798-79793808(-) ggacaaaggga >hg38_chr8:79828523-79828533(+) TTACAAAGGCA >hg38_chr8:79852868-79852878(-) TTACAATGTGC >hg38_chr8:79873209-79873219(+) GCACaatgaat >hg38_chr8:79877486-79877496(-) AAACAATGCCC >hg38_chr8:79881830-79881840(+) gtacaatgtct >hg38_chr8:79890978-79890988(-) GGACAATGGCC >hg38_chr8:79940775-79940785(-) GTACAATACAC >hg38_chr8:79943340-79943350(-) ATATAATGTAT >hg38_chr8:79943377-79943387(-) AAACAATGCAA >hg38_chr8:79958973-79958983(+) AAACAATGCAA >hg38_chr8:79960986-79960996(+) TGACAATGGTC >hg38_chr8:79963608-79963618(+) GTACAATAGGC >hg38_chr8:79963651-79963661(+) GCACAAAGGAA >hg38_chr8:79965790-79965800(-) AAACAATGGAC >hg38_chr8:79967207-79967217(+) AGACAATGACA >hg38_chr8:79971002-79971012(+) AAACAATGGAC >hg38_chr8:79997036-79997046(+) agacaatatac >hg38_chr8:80001473-80001483(+) taacaatgcaa >hg38_chr8:80001490-80001500(+) taacaataaca >hg38_chr8:80001496-80001506(+) taacaataGTA >hg38_chr8:80030507-80030517(-) GTACAATGGGG >hg38_chr8:80055236-80055246(+) GAACAATGCAC >hg38_chr8:80059226-80059236(+) tcacaaaggaa >hg38_chr8:80093205-80093215(+) GAATAATGGCT >hg38_chr8:80093259-80093269(+) TAACAATGTAT >hg38_chr8:80093276-80093286(+) GTACAGTGGTC >hg38_chr8:80140043-80140053(-) AAACAAAGGCT >hg38_chr8:80147530-80147540(+) GAACAATGAAG >hg38_chr8:80151703-80151713(-) gaacaatagaa >hg38_chr8:80218366-80218376(+) atacaatggaa >hg38_chr8:80218385-80218395(-) agacaatgtcc >hg38_chr8:80291930-80291940(+) AAACAAAGGAC >hg38_chr8:80395663-80395673(+) gaacaatgagg >hg38_chr8:80414716-80414726(+) caacaatgctc >hg38_chr8:80485655-80485665(+) GCACAATGCAT >hg38_chr8:80486278-80486288(-) CGACAATAAAG >hg38_chr8:80487212-80487222(+) CAACAATGGCA >hg38_chr8:80488990-80489000(+) GGACAATGTAG >hg38_chr8:80504305-80504315(+) AAACAATGCTT >hg38_chr8:80531636-80531646(-) GAACAATGACA >hg38_chr8:80532118-80532128(-) CAACAATGAAT >hg38_chr8:80533253-80533263(-) AAACAATGCTC >hg38_chr8:80584301-80584311(+) AGACAATAGGG >hg38_chr8:80603164-80603174(-) TGACAATGCAA >hg38_chr8:80631543-80631553(-) GGACAATGAGG >hg38_chr8:80638357-80638367(-) AAACAATGGAT >hg38_chr8:80684503-80684513(+) TCACAATGGGT >hg38_chr8:80685825-80685835(-) CAACAATGCCT >hg38_chr8:80698840-80698850(-) AAACAATAGCT >hg38_chr8:80719554-80719564(+) GGACAAAGGAG >hg38_chr8:80753085-80753095(+) ACACAATGCTT >hg38_chr8:80772025-80772035(+) ctacaatgatt >hg38_chr8:80773280-80773290(-) atacaaaggga >hg38_chr8:80773318-80773328(-) atataatgtaa >hg38_chr8:80872192-80872202(+) ATACAATGCAG >hg38_chr8:80876011-80876021(-) gaacaatgggt >hg38_chr8:80913775-80913785(-) AAACAATAGCA >hg38_chr8:80913784-80913794(-) AAATAATGGAA >hg38_chr8:80914856-80914866(-) gaacaatgcct >hg38_chr8:81031167-81031177(-) AAACAATAGAT >hg38_chr8:81067791-81067801(-) AAATAATGGGA >hg38_chr8:81069469-81069479(-) CTACAATGGTT >hg38_chr8:81112320-81112330(-) GGACAATGGGC >hg38_chr8:81119099-81119109(-) CAACAATAGAC >hg38_chr8:81138924-81138934(+) TGACAATGCTT >hg38_chr8:81139731-81139741(-) TTACAATGAAC >hg38_chr8:81154901-81154911(+) ATACAATAAGT >hg38_chr8:81154914-81154924(+) GAACAATGATT >hg38_chr8:81174086-81174096(-) GTACAATGAAT >hg38_chr8:81212015-81212025(-) TTACAAAGGAA >hg38_chr8:81234311-81234321(+) TCACAATGGGT >hg38_chr8:81251654-81251664(+) gtataatggaa >hg38_chr8:81276811-81276821(+) ATACAATAATA >hg38_chr8:81333202-81333212(+) atacaatggaa >hg38_chr8:81333220-81333230(+) caacaatgagg >hg38_chr8:81335999-81336009(+) taacaaagggc >hg38_chr8:81340231-81340241(+) ACACAAAGGAA >hg38_chr8:81345830-81345840(-) atacaaaggaa >hg38_chr8:81345853-81345863(-) atataatggag >hg38_chr8:81346139-81346149(-) ggacaataaca >hg38_chr8:81346148-81346158(-) caacaataggg >hg38_chr8:81370152-81370162(+) TAACAATGCAA >hg38_chr8:81372115-81372125(-) GTACAatgctc >hg38_chr8:81372134-81372144(+) TCACAATGTTA >hg38_chr8:81401462-81401472(-) GAACAAAGGCA >hg38_chr8:81422641-81422651(+) ctataatggcc >hg38_chr8:81422652-81422662(-) gaacaatgaaa >hg38_chr8:81460603-81460613(-) TAACAATGCCT >hg38_chr8:81460614-81460624(-) AGACAATGAAA >hg38_chr8:81488061-81488071(+) AGACAATGGGG >hg38_chr8:81508687-81508697(+) acacaatagaa >hg38_chr8:81552590-81552600(+) tgacaatgagg >hg38_chr8:81552605-81552615(+) gaacactggat >hg38_chr8:81555545-81555555(+) GAACAGTGGAA >hg38_chr8:81555565-81555575(+) TCACAAAGGAT >hg38_chr8:81591197-81591207(-) CAACAATGCAG >hg38_chr8:81593438-81593448(+) ACACAATGCAC >hg38_chr8:81597670-81597680(+) atacaatgaac >hg38_chr8:81597728-81597738(+) ttacaataaca >hg38_chr8:81635902-81635912(+) ggacaaaggta >hg38_chr8:81637480-81637490(+) acacaatgaag >hg38_chr8:81640370-81640380(-) AAACAAAGGCC >hg38_chr8:81666371-81666381(+) acacaataata >hg38_chr8:81674438-81674448(+) TTACAATGTGG >hg38_chr8:81745805-81745815(-) AGACAAAGGAA >hg38_chr8:81752025-81752035(+) gtataatggct >hg38_chr8:81755984-81755994(+) TTATAATGGTG >hg38_chr8:81766658-81766668(-) aaagaatggcg >hg38_chr8:81767137-81767147(+) ttacaatgtgt >hg38_chr8:81804424-81804434(+) AAACAATCGGT >hg38_chr8:81812261-81812271(+) aaataatggct >hg38_chr8:81812856-81812866(+) taacaatagca >hg38_chr8:81815258-81815268(+) AAACAATGTAG >hg38_chr8:81815267-81815277(-) GTACAATAACT >hg38_chr8:81819906-81819916(-) ACACAATGGGC >hg38_chr8:81856393-81856403(+) AAACAATAAAT >hg38_chr8:81867987-81867997(+) gaacaatgcga >hg38_chr8:81868848-81868858(-) gtacaatgcta >hg38_chr8:81868884-81868894(+) acacaatagag >hg38_chr8:81870501-81870511(-) GTACAATAGAT >hg38_chr8:81872117-81872127(+) AAACAATGGGT >hg38_chr8:81883897-81883907(-) tcataatgggc >hg38_chr8:81896101-81896111(-) ttacaatggct >hg38_chr8:81897098-81897108(-) AGATAATGgct >hg38_chr8:81956302-81956312(+) AGACAATGCTG >hg38_chr8:81974524-81974534(-) aaacaatgaaa >hg38_chr8:81974583-81974593(-) tcacaaaggaa >hg38_chr8:82002559-82002569(-) ccacaatggcc >hg38_chr8:82002591-82002601(-) agataatggcg >hg38_chr8:82002604-82002614(-) tcacaaaggta >hg38_chr8:82003468-82003478(+) TGACTATGGAT >hg38_chr8:82027635-82027645(-) gtacaataagg >hg38_chr8:82045853-82045863(+) AGACAATGAAG >hg38_chr8:82143081-82143091(-) AAACAATAGGA >hg38_chr8:82179266-82179276(-) GAATAATGGGA >hg38_chr8:82258162-82258172(-) AAACAATGTTA >hg38_chr8:82258240-82258250(-) TAACAATAAAA >hg38_chr8:82317709-82317719(+) TAACCATGGTA >hg38_chr8:82336182-82336192(-) gaacaatgaga >hg38_chr8:82338513-82338523(-) ATACTATGGAT >hg38_chr8:82410329-82410339(+) CAACAATAGAT >hg38_chr8:82426207-82426217(-) caataatggaa >hg38_chr8:82445868-82445878(+) GGACAATGGGA >hg38_chr8:82445915-82445925(-) GAACAATGGCT >hg38_chr8:82504625-82504635(-) TTACAATAGCC >hg38_chr8:82504653-82504663(+) GAACAATGCTG >hg38_chr8:82821037-82821047(-) gaacaatgact >hg38_chr8:82872016-82872026(+) CTACAATGCCT >hg38_chr8:82932255-82932265(+) gcacaatagag >hg38_chr8:82935552-82935562(+) GAACAAAGGAA >hg38_chr8:82976435-82976445(+) GAACAATAGTT >hg38_chr8:83007354-83007364(-) GAACAATGGAA >hg38_chr8:83064828-83064838(+) agacaataggg >hg38_chr8:83256839-83256849(-) AAACAAAGGCC >hg38_chr8:83330662-83330672(-) agacaatggga >hg38_chr8:83387100-83387110(-) ttataatggct >hg38_chr8:83400546-83400556(+) agacaatgctt >hg38_chr8:83572353-83572363(+) GTACAATGACT >hg38_chr8:83790144-83790154(-) ggacaaaggtc >hg38_chr8:83980176-83980186(-) agacaaaggca >hg38_chr8:83999980-83999990(+) GTACAATGATG >hg38_chr8:84053057-84053067(+) CTACAATGAAC >hg38_chr8:84053098-84053108(+) AAACAATAGGG >hg38_chr8:84122709-84122719(+) cgataatgtat >hg38_chr8:84143578-84143588(-) aaacaatgctt >hg38_chr8:84182371-84182381(+) ACACAATGGAC >hg38_chr8:84182923-84182933(+) AGACGATGGGA >hg38_chr8:84328398-84328408(-) GTACAATGTCT >hg38_chr8:84360789-84360799(-) tgacaatgaat >hg38_chr8:84605622-84605632(-) ACACAATGATG >hg38_chr8:84626295-84626305(-) GCACAATGCAG >hg38_chr8:84626765-84626775(-) TGACAATATAC >hg38_chr8:84629815-84629825(+) ctacaatgagt >hg38_chr8:84716128-84716138(-) GAACAATGTGT >hg38_chr8:84722028-84722038(+) GTACAATGTCT >hg38_chr8:84724299-84724309(-) AGACAATGTAA >hg38_chr8:84752518-84752528(+) agacaatggga >hg38_chr8:84883123-84883133(-) TTATAATGGTA >hg38_chr8:84897239-84897249(-) TAACAATAGCA >hg38_chr8:84917213-84917223(-) TCACAATAGTC >hg38_chr8:84917266-84917276(+) GAACAATGGGT >hg38_chr8:84947632-84947642(+) CAACAATGGCA >hg38_chr8:84977091-84977101(-) GAACACTGGTC >hg38_chr8:85019181-85019191(-) TAACAATGGAA >hg38_chr8:85020553-85020563(+) gaacaataaaa >hg38_chr8:85045461-85045471(-) gtacaaaggtg >hg38_chr8:85074940-85074950(+) GGACAATGACA >hg38_chr8:85134111-85134121(-) caacaatggag >hg38_chr8:85146828-85146838(+) aaacaatggag >hg38_chr8:85171837-85171847(-) acacaatggtt >hg38_chr8:85214667-85214677(+) CAACAATAGCA >hg38_chr8:85296342-85296352(-) gaacaatgtag >hg38_chr8:85317183-85317193(-) AAACAATGTAT >hg38_chr8:85322883-85322893(-) GAACaatgtcc >hg38_chr8:85354701-85354711(-) AGACAATGAGA >hg38_chr8:85446246-85446256(-) ACACAATGCAT >hg38_chr8:85465417-85465427(+) CAACAATGGTC >hg38_chr8:85468342-85468352(-) TTACAAAGGAA >hg38_chr8:85556914-85556924(+) acataatggac >hg38_chr8:85556986-85556996(+) tcacaataggt >hg38_chr8:85626530-85626540(-) ATACAATGAAC >hg38_chr8:85830305-85830315(+) ACACAAAGGTC >hg38_chr8:85830650-85830660(+) AGACAAAGGAT >hg38_chr8:85830689-85830699(+) AGACAATGATC >hg38_chr8:85858607-85858617(+) GAACAATGACA >hg38_chr8:85884542-85884552(-) GGACAAAGGTT >hg38_chr8:85884559-85884569(-) AAACAATAGCC >hg38_chr8:85886512-85886522(+) ATACAATACTA >hg38_chr8:85886562-85886572(-) GAACAATGAAC >hg38_chr8:85921602-85921612(-) agacaatggca >hg38_chr8:85922398-85922408(+) GGACAAAGGCA >hg38_chr8:85922434-85922444(-) ATACAATGCTG >hg38_chr8:85963570-85963580(+) gaacaatggca >hg38_chr8:85974620-85974630(+) AGACAATGGAT >hg38_chr8:85978273-85978283(+) tcacaataggc >hg38_chr8:86012082-86012092(-) ACACAATGCCT >hg38_chr8:86033576-86033586(-) ggacagtggaa >hg38_chr8:86097144-86097154(-) acataatgacg >hg38_chr8:86097459-86097469(+) TAACAATAATG >hg38_chr8:86109860-86109870(-) GGACAATGTGG >hg38_chr8:86143949-86143959(-) GCACAATGTCC >hg38_chr8:86324650-86324660(+) gcacaatgcca >hg38_chr8:86388650-86388660(+) TTACAATGTTG >hg38_chr8:86388679-86388689(-) ATACAATGGAA >hg38_chr8:86399297-86399307(-) CCACAATGATG >hg38_chr8:86408527-86408537(-) AAACAATAAAC >hg38_chr8:86444672-86444682(-) atacaatggtc >hg38_chr8:86453393-86453403(-) ctataatggag >hg38_chr8:86453451-86453461(-) acacaaaggtc >hg38_chr8:86475900-86475910(-) gcacaatgctt >hg38_chr8:86477048-86477058(+) TCACAAAGGAA >hg38_chr8:86528429-86528439(-) TCACAATAGGC >hg38_chr8:86626519-86626529(-) agataatgggt >hg38_chr8:86627216-86627226(-) AAACAATAAAC >hg38_chr8:86641708-86641718(-) GTACAATAGAA >hg38_chr8:86642209-86642219(-) AAACAATATAG >hg38_chr8:86709172-86709182(+) taacaaaggag >hg38_chr8:86769238-86769248(+) gaacaaTAGCA >hg38_chr8:86771500-86771510(-) GTACAATAGAA >hg38_chr8:86817740-86817750(-) GGACAATGCCC >hg38_chr8:86836885-86836895(-) TAACAATGTTT >hg38_chr8:86858932-86858942(-) GAACAGTGGAG >hg38_chr8:86884719-86884729(-) ATACAATAATA >hg38_chr8:86913731-86913741(-) tgacaatgcgt >hg38_chr8:87004864-87004874(-) CAACAATGAAT >hg38_chr8:87038680-87038690(+) ttacaaaggta >hg38_chr8:87146615-87146625(-) ggataatggct >hg38_chr8:87148611-87148621(+) TAACTATGGAA >hg38_chr8:87166539-87166549(+) taacaatgtta >hg38_chr8:87329190-87329200(-) gaacaaaggca >hg38_chr8:87445563-87445573(+) TCACAATGGGT >hg38_chr8:87479557-87479567(+) TAACAATGACA >hg38_chr8:87522108-87522118(+) AAACAATGCAT >hg38_chr8:87522123-87522133(+) AGACAATGCTC >hg38_chr8:87608046-87608056(+) AAACAAAGGGA >hg38_chr8:87695995-87696005(-) GCACAAAGGGA >hg38_chr8:87723558-87723568(+) GAACAATGGgc >hg38_chr8:87756261-87756271(-) ttacaATAGAG >hg38_chr8:87769972-87769982(+) GCACAATGCTG >hg38_chr8:87769982-87769992(+) GTACAATAGTT >hg38_chr8:87800371-87800381(+) acacaataaaa >hg38_chr8:87826500-87826510(-) GGACAATAGGA >hg38_chr8:87854024-87854034(+) aaacaatgaac >hg38_chr8:87854499-87854509(+) atacaatggac >hg38_chr8:87906746-87906756(-) atacaatggca >hg38_chr8:87917839-87917849(+) ACACAAAGGGA >hg38_chr8:87918774-87918784(-) ggacaatgctc >hg38_chr8:87929868-87929878(-) tcacaatagct >hg38_chr8:87930138-87930148(+) CAACAATGTGT >hg38_chr8:87938290-87938300(-) aaacaatagct >hg38_chr8:87962676-87962686(-) TCACAATAGAT >hg38_chr8:87965272-87965282(+) ACACAAAGGAA >hg38_chr8:88006423-88006433(+) GAACAAAGGGC >hg38_chr8:88006564-88006574(+) AAACAATGTAA >hg38_chr8:88038174-88038184(+) GTACAATAAAC >hg38_chr8:88038193-88038203(-) AAACAGTGGGA >hg38_chr8:88038208-88038218(-) GGACAATGTAA >hg38_chr8:88039629-88039639(-) AAACAATGAAC >hg38_chr8:88041580-88041590(+) TGACAATAGCT >hg38_chr8:88057225-88057235(-) atacaataagc >hg38_chr8:88057253-88057263(-) aaacaataaca >hg38_chr8:88068125-88068135(-) ccacaatgaaa >hg38_chr8:88185811-88185821(-) atacaatgctt >hg38_chr8:88210682-88210692(+) GTACAATAGGT >hg38_chr8:88219742-88219752(-) CTACAATGTCC >hg38_chr8:88232691-88232701(+) AGACAATGCAA >hg38_chr8:88244095-88244105(-) GAACAAAGGAA >hg38_chr8:88258739-88258749(-) GAACAATAGCA >hg38_chr8:88283108-88283118(+) GTACAATGAGG >hg38_chr8:88284638-88284648(+) AGACAATGGAG >hg38_chr8:88320889-88320899(+) GCACAATGCCA >hg38_chr8:88324135-88324145(-) GTACTATGGAA >hg38_chr8:88325982-88325992(-) ACACAATAGCA >hg38_chr8:88326646-88326656(-) TAACAAAGGAG >hg38_chr8:88340412-88340422(+) ATATAATGGAG >hg38_chr8:88340449-88340459(+) TGACAATAGTA >hg38_chr8:88352315-88352325(-) ttacaatggtg >hg38_chr8:88358677-88358687(-) ATACAATAAAT >hg38_chr8:88392629-88392639(+) TAACAATGACC >hg38_chr8:88392661-88392671(-) TCACAATGCCT >hg38_chr8:88398916-88398926(-) GGACAATGGGT >hg38_chr8:88398929-88398939(+) AGACAATAACA >hg38_chr8:88421815-88421825(+) TCACTATGGAA >hg38_chr8:88446367-88446377(+) TTACAAAGGCA >hg38_chr8:88468153-88468163(-) atacaataaaa >hg38_chr8:88468158-88468168(-) atacaatacaa >hg38_chr8:88495866-88495876(+) ACACAATGCCA >hg38_chr8:88495886-88495896(+) ATACAATGCGA >hg38_chr8:88507264-88507274(+) agacaaaggat >hg38_chr8:88507276-88507286(+) gaacaaaggaa >hg38_chr8:88507807-88507817(+) gaacaatagtt >hg38_chr8:88543481-88543491(-) atacaaaggca >hg38_chr8:88543504-88543514(-) aaacaaaggca >hg38_chr8:88605161-88605171(+) TGACAATGACA >hg38_chr8:88678250-88678260(+) agacaatgccc >hg38_chr8:88722005-88722015(+) taacaaagggc >hg38_chr8:88756022-88756032(+) gtacaatacac >hg38_chr8:88756030-88756040(-) taacaatagtg >hg38_chr8:88756058-88756068(+) gtacaatagga >hg38_chr8:88756456-88756466(-) gtacaatgaaa >hg38_chr8:88756519-88756529(-) ttacaatagca >hg38_chr8:88769353-88769363(+) GCACAATGTCT >hg38_chr8:88785973-88785983(-) GAACAATCGCA >hg38_chr8:88787438-88787448(+) AAACAATGAAT >hg38_chr8:88852707-88852717(+) GAACAATATAA >hg38_chr8:88853773-88853783(-) ttacaatatat >hg38_chr8:88882579-88882589(-) tcacaatagtt >hg38_chr8:88925452-88925462(+) gaacaatgcaa >hg38_chr8:88926464-88926474(+) AAACAATGTAG >hg38_chr8:88940704-88940714(-) AGACAATAAGA >hg38_chr8:88945931-88945941(+) CAACAATGCTA >hg38_chr8:88945951-88945961(-) CAACAATAGCA >hg38_chr8:88947997-88948007(-) CTACAATGAGG >hg38_chr8:88958871-88958881(+) CAACAAAGGTA >hg38_chr8:89009845-89009855(-) AAACAATGACA >hg38_chr8:89016132-89016142(-) GAACAATGATC >hg38_chr8:89053100-89053110(+) tcacaatggca >hg38_chr8:89055979-89055989(+) CTACAATGCCC >hg38_chr8:89057997-89058007(+) GAACAATGGTT >hg38_chr8:89059287-89059297(+) GAACAATAGAA >hg38_chr8:89080696-89080706(+) ggacagtggaa >hg38_chr8:89112317-89112327(+) aaacaaaggaa >hg38_chr8:89125100-89125110(-) tgacAATGAAC >hg38_chr8:89125109-89125119(+) caacaatgtcc >hg38_chr8:89128501-89128511(-) GAACAATAGAG >hg38_chr8:89151659-89151669(-) TAACACTGGTt >hg38_chr8:89235904-89235914(+) taacaatggct >hg38_chr8:89246445-89246455(+) ccataatggta >hg38_chr8:89252085-89252095(-) taacaatggca >hg38_chr8:89258729-89258739(-) GAACAATGAGT >hg38_chr8:89277114-89277124(+) TTACAATAGAG >hg38_chr8:89307581-89307591(+) TTACAATAAAA >hg38_chr8:89307629-89307639(-) TAACAATGTTC >hg38_chr8:89307655-89307665(+) AAACAATGGAT >hg38_chr8:89322341-89322351(+) ATACAATAGCT >hg38_chr8:89322375-89322385(+) TCACAATGAAC >hg38_chr8:89341990-89342000(+) taacaatgggt >hg38_chr8:89350899-89350909(-) TAACAATATAA >hg38_chr8:89397744-89397754(+) AAACAATGGCA >hg38_chr8:89413540-89413550(-) acacaatggga >hg38_chr8:89474090-89474100(+) TAACAGTGGAT >hg38_chr8:89546521-89546531(+) AAACAATGGCA >hg38_chr8:89547232-89547242(+) AAACAATGGAT >hg38_chr8:89547269-89547279(+) ACACAATGTGC >hg38_chr8:89589320-89589330(-) TAATAATGGAA >hg38_chr8:89592115-89592125(+) TTACAATGCAT >hg38_chr8:89592125-89592135(+) TAACAATAAAG >hg38_chr8:89594039-89594049(+) gtataatggaa >hg38_chr8:89611088-89611098(-) ATAcaaaggaa >hg38_chr8:89623844-89623854(-) GCACAATGCCA >hg38_chr8:89626797-89626807(+) AGACAATGTGC >hg38_chr8:89689310-89689320(+) ACACAATGTAT >hg38_chr8:89706246-89706256(+) ggacaatgctt >hg38_chr8:89715347-89715357(-) acataatggcc >hg38_chr8:89718433-89718443(+) TAATAATGGCC >hg38_chr8:89719131-89719141(-) ctacaatataa >hg38_chr8:89721056-89721066(+) AAACAATGCAA >hg38_chr8:89728888-89728898(-) TGACAATAATA >hg38_chr8:89732570-89732580(+) aaacaaaggat >hg38_chr8:89738646-89738656(+) gcacaatgaat >hg38_chr8:89755543-89755553(+) taacaatgact >hg38_chr8:89760868-89760878(-) GAACAATAGAG >hg38_chr8:89760907-89760917(-) CAACAATAGAG >hg38_chr8:89766169-89766179(+) taacaatagtt >hg38_chr8:89769169-89769179(-) ATACAATGGGT >hg38_chr8:89773268-89773278(+) aaacaaaggcc >hg38_chr8:89777683-89777693(-) TAACAATAACA >hg38_chr8:89784321-89784331(-) gaacaatgaac >hg38_chr8:89827622-89827632(-) CTATAATGGAA >hg38_chr8:89837868-89837878(-) GGACAATGGCT >hg38_chr8:89848470-89848480(-) GCACAATGGGA >hg38_chr8:89859220-89859230(+) tgacaaaggta >hg38_chr8:89871584-89871594(-) atacattggta >hg38_chr8:89895808-89895818(+) tgacaatagta >hg38_chr8:89923275-89923285(-) caacaatggtc >hg38_chr8:89940765-89940775(+) GAACAATGAAA >hg38_chr8:89953788-89953798(+) TCACAATGTAC >hg38_chr8:89982329-89982339(-) GAACAATGAAC >hg38_chr8:89986443-89986453(+) ggataatggat >hg38_chr8:90012844-90012854(+) TGACAATGTTG >hg38_chr8:90039025-90039035(-) ACACAATAAAA >hg38_chr8:90055317-90055327(-) AAACAATGTTT >hg38_chr8:90055558-90055568(-) AAACAATGGCT >hg38_chr8:90055592-90055602(+) AAACAATAAGA >hg38_chr8:90064738-90064748(-) TTACAATAGCA >hg38_chr8:90108319-90108329(-) ctacaatgaat >hg38_chr8:90120025-90120035(-) caacaatgtag >hg38_chr8:90120627-90120637(-) TAACAAAGGAG >hg38_chr8:90126173-90126183(-) CAACAATGCTA >hg38_chr8:90126198-90126208(-) AAACAAAGGGA >hg38_chr8:90132547-90132557(-) AGACAATGAAT >hg38_chr8:90150913-90150923(+) GTACAATGAGT >hg38_chr8:90160374-90160384(-) aaacaataacc >hg38_chr8:90161867-90161877(-) TAACAATAGGT >hg38_chr8:90225039-90225049(+) GGACAATGCAG >hg38_chr8:90225982-90225992(-) TCACAATGGGT >hg38_chr8:90225998-90226008(-) TAACAATGTCA >hg38_chr8:90237716-90237726(-) ggacaaaggga >hg38_chr8:90285193-90285203(+) taacaATGGCT >hg38_chr8:90298348-90298358(-) atacaatagag >hg38_chr8:90298372-90298382(-) ctacaatgtcc >hg38_chr8:90310042-90310052(+) CAACAATAGAA >hg38_chr8:90321082-90321092(-) GCACAATGGGA >hg38_chr8:90321118-90321128(-) TTACACTGGAC >hg38_chr8:90339585-90339595(+) ggacactggta >hg38_chr8:90343192-90343202(+) GGACAAAGGAT >hg38_chr8:90344085-90344095(+) TTACAATATAA >hg38_chr8:90404549-90404559(+) ATACAATGGCT >hg38_chr8:90409305-90409315(-) aaacaatgtga >hg38_chr8:90452844-90452854(-) GCACAATGGGC >hg38_chr8:90460697-90460707(+) ACACAATGGAG >hg38_chr8:90479182-90479192(-) ggacaatgtca >hg38_chr8:90479761-90479771(-) GGACAATGATC >hg38_chr8:90479784-90479794(-) TTACAAAGGAG >hg38_chr8:90504298-90504308(+) atacaatgcct >hg38_chr8:90534108-90534118(+) ggacaataaaa >hg38_chr8:90548052-90548062(-) AAACAATGGAA >hg38_chr8:90552405-90552415(+) GAACAATGTGA >hg38_chr8:90571235-90571245(-) AGACAATGCTG >hg38_chr8:90624143-90624153(-) TTATAATGGGT >hg38_chr8:90624602-90624612(+) ACACAATAAAC >hg38_chr8:90625096-90625106(+) TAACAATATGA >hg38_chr8:90625790-90625800(+) CTACAATAGCT >hg38_chr8:90644023-90644033(+) TAACAATAATT >hg38_chr8:90644836-90644846(-) TAACAATAGGC >hg38_chr8:90672220-90672230(-) CTACAATAGTT >hg38_chr8:90693441-90693451(+) GAACAATGGAG >hg38_chr8:90693721-90693731(+) gcacaatgagg >hg38_chr8:90701449-90701459(-) ccacaatgatt >hg38_chr8:90701498-90701508(+) TTACAATAACA >hg38_chr8:90835728-90835738(+) atacaattgta >hg38_chr8:90835756-90835766(-) gaacaatggtc >hg38_chr8:90868861-90868871(+) acacaatgggg >hg38_chr8:90872481-90872491(-) GCACAATATAT >hg38_chr8:90895817-90895827(+) GTACAATAGAT >hg38_chr8:90899992-90900002(+) CAACAATGAGC >hg38_chr8:90910865-90910875(-) acacaatgggt >hg38_chr8:90910875-90910885(-) aaacaaaggaa >hg38_chr8:90915035-90915045(+) GGACAATGCTA >hg38_chr8:90923445-90923455(+) taacaatgcca >hg38_chr8:90931139-90931149(+) GTACAATGTGA >hg38_chr8:90937751-90937761(-) CAACAATGTGC >hg38_chr8:90937787-90937797(+) ATACAATGAGT >hg38_chr8:90939123-90939133(+) acacaatagca >hg38_chr8:91002512-91002522(+) AAACAATGTTC >hg38_chr8:91005811-91005821(-) TTATAATGGAC >hg38_chr8:91023052-91023062(+) AAACAATGAGT >hg38_chr8:91034670-91034680(-) tcacaatgagg >hg38_chr8:91034742-91034752(+) ttacaatgggt >hg38_chr8:91075009-91075019(-) Aaacaatacta >hg38_chr8:91087705-91087715(-) gaacaatggtg >hg38_chr8:91090044-91090054(-) taacaatacaa >hg38_chr8:91123394-91123404(+) GGATAATGGTA >hg38_chr8:91128774-91128784(-) GAACAAAGGGA >hg38_chr8:91128797-91128807(+) AGACAAAGGAA >hg38_chr8:91129397-91129407(+) GAACAATGGCA >hg38_chr8:91129461-91129471(+) GAACAATAGGA >hg38_chr8:91143894-91143904(+) taacaatgtac >hg38_chr8:91143903-91143913(-) acacaatgggt >hg38_chr8:91145375-91145385(-) CAACAATGGGG >hg38_chr8:91163406-91163416(-) caacaatgtgt >hg38_chr8:91181693-91181703(+) AGACAATGCAG >hg38_chr8:91185013-91185023(-) ttacaatgcaa >hg38_chr8:91215746-91215756(+) AAACAATGACA >hg38_chr8:91237882-91237892(-) GAACAATATCA >hg38_chr8:91255374-91255384(+) GAACAATAAGT >hg38_chr8:91267555-91267565(+) tcacaatagtc >hg38_chr8:91269047-91269057(-) caacaatgggt >hg38_chr8:91294612-91294622(+) GCACAATGCTG >hg38_chr8:91302314-91302324(+) GAATAATGGAA >hg38_chr8:91302499-91302509(+) TAATAATGTAA >hg38_chr8:91302985-91302995(-) AAACAATAAGA >hg38_chr8:91304743-91304753(-) GAACAATGCCT >hg38_chr8:91324618-91324628(+) gaacaataaca >hg38_chr8:91350136-91350146(+) AAACTATGGAA >hg38_chr8:91352386-91352396(-) TAACAAAGGAT >hg38_chr8:91361230-91361240(+) AGACAAAGGAG >hg38_chr8:91382032-91382042(+) acacaataata >hg38_chr8:91393828-91393838(-) TAACAATACAT >hg38_chr8:91393839-91393849(-) GTACAATGGGC >hg38_chr8:91403673-91403683(+) GGACAATGTCA >hg38_chr8:91421666-91421676(+) TGACAATGCTG >hg38_chr8:91491169-91491179(+) ATACAATAGCA >hg38_chr8:91515505-91515515(+) GCACAATGGCA >hg38_chr8:91515555-91515565(+) ACACAATGGCC >hg38_chr8:91519088-91519098(+) GGACAATGCAT >hg38_chr8:91628665-91628675(+) GGACAAAGGCA >hg38_chr8:91636042-91636052(+) TTACTATGGAG >hg38_chr8:91644797-91644807(-) AAATAATGGCA >hg38_chr8:91653746-91653756(-) AAACAATGACT >hg38_chr8:91681638-91681648(-) taacaATGGGG >hg38_chr8:91723624-91723634(+) TTATAATGGAA >hg38_chr8:91808182-91808192(+) AAACAATGTGT >hg38_chr8:91851238-91851248(+) GAATAATGGAG >hg38_chr8:91852326-91852336(+) CCACAATGTCC >hg38_chr8:91852558-91852568(-) gaacaatgagg >hg38_chr8:91852609-91852619(-) caacaatagaa >hg38_chr8:91863034-91863044(-) GTACAATGCTA >hg38_chr8:91930325-91930335(+) ATACAGTGGCT >hg38_chr8:91962578-91962588(+) GTACAATGGTT >hg38_chr8:91971414-91971424(+) CGAGAATGGAT >hg38_chr8:91972653-91972663(+) GAACAATAATT >hg38_chr8:91974272-91974282(-) GTACAAAGGAA >hg38_chr8:92023086-92023096(+) ggacaatgggc >hg38_chr8:92048856-92048866(+) AGATAATGGTC >hg38_chr8:92048863-92048873(-) GGACAATGACC >hg38_chr8:92055953-92055963(+) AAACAATGTCC >hg38_chr8:92062822-92062832(+) GAACAATGACA >hg38_chr8:92068301-92068311(-) AAACAAAGGTT >hg38_chr8:92073480-92073490(+) TCACAATGCCG >hg38_chr8:92082769-92082779(+) ACACAATGTCA >hg38_chr8:92117104-92117114(-) GGATAATGGGA >hg38_chr8:92150017-92150027(+) gaacaatatac >hg38_chr8:92156437-92156447(-) TGACAATGTAC >hg38_chr8:92170967-92170977(-) TGACAATGCCA >hg38_chr8:92189021-92189031(+) taacagtggtt >hg38_chr8:92211691-92211701(+) AGACAATGTTG >hg38_chr8:92225937-92225947(+) TAACAAAGGAA >hg38_chr8:92251106-92251116(+) AGACAATAGGA >hg38_chr8:92255632-92255642(-) ATACAATAGGG >hg38_chr8:92263599-92263609(+) AGACAAAGGTG >hg38_chr8:92263638-92263648(+) TAACAATAGAG >hg38_chr8:92290560-92290570(+) TGACAATAGca >hg38_chr8:92299065-92299075(+) GAACAATAGAC >hg38_chr8:92319048-92319058(+) TTACAATAGCA >hg38_chr8:92319660-92319670(-) ATACAATGAGC >hg38_chr8:92319665-92319675(-) TAACAATACAA >hg38_chr8:92426116-92426126(+) AAACAATATAC >hg38_chr8:92465605-92465615(-) TCACAATGAGA >hg38_chr8:92466838-92466848(+) AAACAATGACA >hg38_chr8:92471854-92471864(-) AGACAATGAGG >hg38_chr8:92517993-92518003(-) ATACAATAGGT >hg38_chr8:92527791-92527801(+) gaacaatacac >hg38_chr8:92536283-92536293(+) AGACAATAGTA >hg38_chr8:92577530-92577540(+) agacaatgaca >hg38_chr8:92683311-92683321(-) TTACAAAGGAC >hg38_chr8:92683746-92683756(+) ATACAATAGGC >hg38_chr8:92711642-92711652(-) TTATAATGGAA >hg38_chr8:92725422-92725432(+) ACACAATGCAC >hg38_chr8:92740356-92740366(-) GAACAAAGGTC >hg38_chr8:92774939-92774949(+) taacaatggcc >hg38_chr8:92833534-92833544(+) caacaatgaga >hg38_chr8:92833564-92833574(-) tcacaatagcc >hg38_chr8:92838084-92838094(-) GAACAATGCCT >hg38_chr8:92890021-92890031(+) GTACAATATGC >hg38_chr8:92893690-92893700(+) GAACAATGAAA >hg38_chr8:92908209-92908219(-) AAACAATAACC >hg38_chr8:92912053-92912063(+) aaacaatacta >hg38_chr8:92912598-92912608(+) aaacaatgtgg >hg38_chr8:93006596-93006606(+) agacaatgagc >hg38_chr8:93027373-93027383(+) AAATAATGGGA >hg38_chr8:93085768-93085778(-) GGACAAAGGAG >hg38_chr8:93085789-93085799(-) CAACAATAGCA >hg38_chr8:93108377-93108387(+) AAACAAAGGCA >hg38_chr8:93139690-93139700(+) GAACAATAGAT >hg38_chr8:93182011-93182021(-) GCACAATGCTA >hg38_chr8:93183579-93183589(+) gtacaatggaa >hg38_chr8:93187633-93187643(-) AAACAATGATG >hg38_chr8:93216916-93216926(+) gcacaaaggta >hg38_chr8:93216924-93216934(+) gtataatgggt >hg38_chr8:93216952-93216962(-) ctacaatggga >hg38_chr8:93245867-93245877(+) AAACAATGCAG >hg38_chr8:93257607-93257617(-) gaacaatgaaa >hg38_chr8:93261616-93261626(-) AGACAATGCTC >hg38_chr8:93262020-93262030(+) TAACAATAGAA >hg38_chr8:93361244-93361254(+) AGACAATGGTC >hg38_chr8:93361745-93361755(-) GAACAATAGGT >hg38_chr8:93362408-93362418(-) TAACAATAGCC >hg38_chr8:93371849-93371859(+) ACACAATGCCC >hg38_chr8:93414133-93414143(-) ttacaatgagg >hg38_chr8:93456179-93456189(-) TAACAAAGGTT >hg38_chr8:93536930-93536940(+) CAACAATGCAG >hg38_chr8:93572136-93572146(+) AGACAAAGGAA >hg38_chr8:93572157-93572167(-) ACACAATGTTT >hg38_chr8:93592355-93592365(-) GAACAATGGAC >hg38_chr8:93625879-93625889(+) TTACAATAATC >hg38_chr8:93631090-93631100(-) gaacaaaggcc >hg38_chr8:93637046-93637056(-) CCACAATGAGT >hg38_chr8:93642397-93642407(-) aaacaatagat >hg38_chr8:93658686-93658696(-) GAACAATAAAC >hg38_chr8:93748843-93748853(+) ggacaatgcag >hg38_chr8:93754910-93754920(+) GTACCATGGCG >hg38_chr8:93773416-93773426(-) ctacaatgatc >hg38_chr8:93818131-93818141(-) GAACAAAGGAG >hg38_chr8:93818140-93818150(-) TGACAATGTGA >hg38_chr8:93818198-93818208(-) AGACAAAGGGT >hg38_chr8:93846750-93846760(-) GAACAAAGGAG >hg38_chr8:93852285-93852295(+) caacaatggag >hg38_chr8:93860364-93860374(-) GAACAATGCAC >hg38_chr8:93880467-93880477(-) CCACAATAGAA >hg38_chr8:93903157-93903167(-) CTACAATAGCA >hg38_chr8:93924071-93924081(-) GTACACTGGAA >hg38_chr8:94044844-94044854(+) AAACACTGGAT >hg38_chr8:94065924-94065934(+) AAACAATGCTg >hg38_chr8:94142730-94142740(-) gaacaatggaa >hg38_chr8:94142762-94142772(-) gaacaatgtgt >hg38_chr8:94145528-94145538(-) agacaatgaac >hg38_chr8:94236285-94236295(+) GAACAACGCGT >hg38_chr8:94236311-94236321(+) TGACAATGCCT >hg38_chr8:94282439-94282449(+) ATACAAAGATA >hg38_chr8:94290693-94290703(+) gaacaaaggat >hg38_chr8:94347045-94347055(-) caacaatgaga >hg38_chr8:94358377-94358387(-) gcacaatgcta >hg38_chr8:94410632-94410642(+) AAACAATGGCA >hg38_chr8:94410679-94410689(-) AGATAATGGTT >hg38_chr8:94416997-94417007(+) tgacaaaggat >hg38_chr8:94418003-94418013(+) AGACAAAGGAC >hg38_chr8:94435490-94435500(-) ACACAATGTGC >hg38_chr8:94436863-94436873(-) GAACAATGGTA >hg38_chr8:94438374-94438384(-) agacaatgaaa >hg38_chr8:94457803-94457813(+) CAACAATAGAG >hg38_chr8:94493764-94493774(-) ATACAATAAAA >hg38_chr8:94493812-94493822(+) GAACAATGTAC >hg38_chr8:94512409-94512419(+) TTACAATGGCT >hg38_chr8:94545409-94545419(+) CAACAATGAAT >hg38_chr8:94554070-94554080(+) gaacaaTAAAA >hg38_chr8:94568248-94568258(+) AGACAAAGGTG >hg38_chr8:94640827-94640837(-) AGACAATGGCT >hg38_chr8:94644988-94644998(-) TAACAATGTTG >hg38_chr8:94670796-94670806(-) agacagtggta >hg38_chr8:94688123-94688133(-) gaacaatggac >hg38_chr8:94761246-94761256(+) gaacaaaggga >hg38_chr8:94796738-94796748(-) agacaatgaca >hg38_chr8:94823748-94823758(+) AAACAAAGGCT >hg38_chr8:94845331-94845341(-) atacaatagag >hg38_chr8:94880407-94880417(+) TAACAATAAAT >hg38_chr8:94880693-94880703(+) TCACAATGGAG >hg38_chr8:94880958-94880968(-) AAACAGTGGGA >hg38_chr8:94883968-94883978(-) AAACAATGTGG >hg38_chr8:94894279-94894289(-) TGACAATGTAC >hg38_chr8:94908157-94908167(-) acacaatggtg >hg38_chr8:94908178-94908188(-) gcacaatgcga >hg38_chr8:94926070-94926080(+) ACACAATGTTT >hg38_chr8:94926081-94926091(+) AAACAATGCTA >hg38_chr8:94930520-94930530(-) GCACAATGGCT >hg38_chr8:94941081-94941091(-) GTACAATGACT >hg38_chr8:94947698-94947708(+) AGACAGTGGAC >hg38_chr8:94948023-94948033(-) GAACAATGTGA >hg38_chr8:94948047-94948057(-) GCACAATAGTT >hg38_chr8:94952549-94952559(-) AGACAATAGAT >hg38_chr8:95046608-95046618(+) TCACAATGAAA >hg38_chr8:95068648-95068658(+) GGACAATGAAG >hg38_chr8:95138988-95138998(-) atacactggaa >hg38_chr8:95150503-95150513(-) TGACAATGACC >hg38_chr8:95165976-95165986(+) AGACAATGGGA >hg38_chr8:95167318-95167328(+) GAACAATGAAC >hg38_chr8:95198399-95198409(+) aaataatggtt >hg38_chr8:95198432-95198442(-) gcacaatgaat >hg38_chr8:95262958-95262968(+) atacaatggac >hg38_chr8:95264426-95264436(-) ggacaatgcct >hg38_chr8:95430773-95430783(+) aaacaatagca >hg38_chr8:95430794-95430804(-) caacaatagca >hg38_chr8:95492546-95492556(-) GGACAAAGGGT >hg38_chr8:95502605-95502615(-) gtacaatatca >hg38_chr8:95502997-95503007(-) ATACAATGCCT >hg38_chr8:95519422-95519432(-) TAACAAAGGTG >hg38_chr8:95528576-95528586(+) taacaataaca >hg38_chr8:95528582-95528592(+) taacaatggta >hg38_chr8:95584366-95584376(+) atataatggac >hg38_chr8:95584441-95584451(-) acacagtggaa >hg38_chr8:95607586-95607596(+) TGACAATGTGC >hg38_chr8:95607593-95607603(-) CAACAATGCAC >hg38_chr8:95616975-95616985(-) ATACAATGTGA >hg38_chr8:95629903-95629913(+) caacaatagaa >hg38_chr8:95647379-95647389(+) ctacaatgacc >hg38_chr8:95648456-95648466(-) AGACAATGTTC >hg38_chr8:95649373-95649383(-) agacaatgtgt >hg38_chr8:95651624-95651634(-) aaacaatgtta >hg38_chr8:95682040-95682050(-) TAACAAAGACG >hg38_chr8:95682089-95682099(-) acacaaaggga >hg38_chr8:95683186-95683196(-) AGATAATGGGT >hg38_chr8:95701234-95701244(-) ctacaatgggt >hg38_chr8:95707004-95707014(-) TAACAATAGAG >hg38_chr8:95707935-95707945(-) taacaaaggca >hg38_chr8:95709237-95709247(-) acacaatataa >hg38_chr8:95714743-95714753(-) GAACAATAGGG >hg38_chr8:95800718-95800728(-) AGACAAAGGCA >hg38_chr8:95802252-95802262(-) GGACAATAAAA >hg38_chr8:95910077-95910087(-) GCACAATGCTA >hg38_chr8:95923592-95923602(+) AGACAATGCTC >hg38_chr8:95986214-95986224(-) ACACAATGTAC >hg38_chr8:95986352-95986362(-) CAACAATAGGA >hg38_chr8:95986388-95986398(+) CTACAATGAAC >hg38_chr8:95986412-95986422(-) AGACAAAGGTA >hg38_chr8:96046504-96046514(-) gCACAATAGTT >hg38_chr8:96046822-96046832(+) gaacaatgcat >hg38_chr8:96065257-96065267(+) AGACAATAGAC >hg38_chr8:96114552-96114562(+) TGACAATGTAA >hg38_chr8:96124112-96124122(-) GAACAATGGAA >hg38_chr8:96134608-96134618(+) caacaatgtgc >hg38_chr8:96196667-96196677(+) AAACAATGTAA >hg38_chr8:96228833-96228843(+) GGACAATGTAG >hg38_chr8:96228855-96228865(+) GGACAATGTAG >hg38_chr8:96228878-96228888(+) GGACAATGCAG >hg38_chr8:96238052-96238062(-) atacaatgaac >hg38_chr8:96265041-96265051(+) TTACAATGATA >hg38_chr8:96295866-96295876(-) GGACAATGATG >hg38_chr8:96328029-96328039(-) ACACAAAGGGT >hg38_chr8:96328040-96328050(-) TCACAATCGTC >hg38_chr8:96332888-96332898(+) GAACAAAGGCT >hg38_chr8:96340331-96340341(-) ACACAAAGGGT >hg38_chr8:96352252-96352262(-) taacaatagaa >hg38_chr8:96352289-96352299(-) acactatggaa >hg38_chr8:96457268-96457278(-) CAACAATGGTT >hg38_chr8:96459822-96459832(-) atacaatggaa >hg38_chr8:96463274-96463284(-) ggacaatggct >hg38_chr8:96470970-96470980(-) GTACAATAGAA >hg38_chr8:96470994-96471004(-) TGACAATGGCT >hg38_chr8:96520856-96520866(+) AAACAAAGGAC >hg38_chr8:96521536-96521546(-) AGATAATGCGA >hg38_chr8:96542011-96542021(-) TGACAATGACC >hg38_chr8:96546455-96546465(+) AAACAATAGCT >hg38_chr8:96559531-96559541(+) TGACACTGGTA >hg38_chr8:96561580-96561590(-) CAACAATGAAA >hg38_chr8:96562221-96562231(-) gaacaatggga >hg38_chr8:96579356-96579366(-) CTACAATGCAC >hg38_chr8:96584951-96584961(+) AGACAAAGGTT >hg38_chr8:96665157-96665167(-) CTACAATCGAT >hg38_chr8:96671502-96671512(-) ACACAATGGTT >hg38_chr8:96674920-96674930(+) TCACAATGACT >hg38_chr8:96707163-96707173(+) AGACAATGAAG >hg38_chr8:96735326-96735336(-) AGACAATGGGC >hg38_chr8:96754352-96754362(+) TCACAATAGAT >hg38_chr8:96755182-96755192(-) gtacaatgtgc >hg38_chr8:96776952-96776962(+) GAACAATAACT >hg38_chr8:96807938-96807948(+) AGACAATGTCA >hg38_chr8:96812184-96812194(-) GAACAATAACT >hg38_chr8:96824939-96824949(-) GCACAATGCTT >hg38_chr8:96831676-96831686(+) aaacaatggct >hg38_chr8:96831692-96831702(+) atacagtggcc >hg38_chr8:96834513-96834523(-) ATACAAAGGAT >hg38_chr8:96844080-96844090(-) CCACAATGATG >hg38_chr8:96844417-96844427(+) TAAcaatacat >hg38_chr8:96844458-96844468(-) caacaatagaa >hg38_chr8:96858833-96858843(+) gaacaatgtga >hg38_chr8:96912350-96912360(-) AGACAATAGAA >hg38_chr8:96913958-96913968(-) ATACAATGAAA >hg38_chr8:96929380-96929390(-) TTACAATAGGA >hg38_chr8:96929400-96929410(-) AGATAATGGGC >hg38_chr8:96949992-96950002(+) ttataatggtg >hg38_chr8:96958188-96958198(+) ACATAATGGTA >hg38_chr8:96978365-96978375(+) TTACAATGAGT >hg38_chr8:96997816-96997826(+) GAACAATATTA >hg38_chr8:97060919-97060929(-) GGACAATGTCT >hg38_chr8:97070325-97070335(-) GAACAATATCA >hg38_chr8:97093619-97093629(+) TAACAGTGGAG >hg38_chr8:97172738-97172748(-) CAACAATAGCA >hg38_chr8:97232587-97232597(+) ACACAATAAAT >hg38_chr8:97321948-97321958(-) CCACAATGAAC >hg38_chr8:97324840-97324850(-) CCACAATAGTT >hg38_chr8:97356623-97356633(+) agacactggcg >hg38_chr8:97424424-97424434(+) TGACAATAGAG >hg38_chr8:97439624-97439634(-) atacaatgccc >hg38_chr8:97441937-97441947(+) GGACAAAGGAC >hg38_chr8:97478408-97478418(+) taacaataaag >hg38_chr8:97560507-97560517(+) GTACACTGGTG >hg38_chr8:97607342-97607352(+) GAACAATGGAG >hg38_chr8:97644188-97644198(-) GAACAATGGCG >hg38_chr8:97647193-97647203(+) ATACAAAGGTT >hg38_chr8:97680449-97680459(-) TGACAATGAAT >hg38_chr8:97709339-97709349(+) GTACAATATCG >hg38_chr8:97709560-97709570(+) atacaatggca >hg38_chr8:97709597-97709607(-) taacaatgtag >hg38_chr8:97756583-97756593(+) TAACAATAAGA >hg38_chr8:97785728-97785738(-) caacaatggat >hg38_chr8:97793173-97793183(-) gcacaatgcta >hg38_chr8:97793200-97793210(+) gcacaatagat >hg38_chr8:97799568-97799578(-) gcacaataggg >hg38_chr8:97799593-97799603(+) caacaatggcc >hg38_chr8:97822220-97822230(-) ctacaatgagt >hg38_chr8:97835101-97835111(+) TAATAATGTAT >hg38_chr8:97835759-97835769(-) ACACAATATAC >hg38_chr8:97850763-97850773(+) tcacaatgact >hg38_chr8:97922949-97922959(+) ATACAATAGGT >hg38_chr8:97933028-97933038(+) GAACAATGTGC >hg38_chr8:98002002-98002012(+) GAACAATGCTG >hg38_chr8:98050481-98050491(+) acacaatgaaa >hg38_chr8:98050497-98050507(+) taacaatgtag >hg38_chr8:98111910-98111920(-) gaacaatggtt >hg38_chr8:98118242-98118252(-) TGACAATGAAA >hg38_chr8:98158588-98158598(+) AAACAAAGGGC >hg38_chr8:98158640-98158650(+) CAACAATAGAT >hg38_chr8:98195314-98195324(+) TAACAATGATC >hg38_chr8:98213709-98213719(+) gcacaatgcct >hg38_chr8:98215250-98215260(-) GAATAATGGGA >hg38_chr8:98235315-98235325(+) CAACAATAGCT >hg38_chr8:98247403-98247413(-) GCACAATGACC >hg38_chr8:98255319-98255329(+) ATACAAAGGAT >hg38_chr8:98292683-98292693(+) AAACAATGTCC >hg38_chr8:98323073-98323083(-) TGATAATGGCT >hg38_chr8:98328793-98328803(-) TAACAATAGCT >hg38_chr8:98332696-98332706(+) ACACAATGCAA >hg38_chr8:98383217-98383227(-) tgacaatgaaa >hg38_chr8:98412320-98412330(-) GAACAATGGAG >hg38_chr8:98438263-98438273(-) GAACAATGGGA >hg38_chr8:98455523-98455533(+) GTACAATGCAA >hg38_chr8:98455531-98455541(+) CAACAATAGCT >hg38_chr8:98472613-98472623(+) ttacaatgata >hg38_chr8:98479674-98479684(+) ttacaatgtct >hg38_chr8:98490000-98490010(-) gaataatgggg >hg38_chr8:98510191-98510201(+) AGACAATAGAT >hg38_chr8:98519641-98519651(+) CAACAAAGGTA >hg38_chr8:98551723-98551733(-) agacaaaggtt >hg38_chr8:98551753-98551763(-) aaacaatgtgc >hg38_chr8:98552242-98552252(-) aaacaataaga >hg38_chr8:98553268-98553278(-) gtactatggga >hg38_chr8:98572714-98572724(-) ATACAATATGT >hg38_chr8:98591732-98591742(+) TGACAATGAAT >hg38_chr8:98592327-98592337(-) GAACAATATGA >hg38_chr8:98595401-98595411(+) TAACAATAGAA >hg38_chr8:98599353-98599363(+) gtacaatgtga >hg38_chr8:98600289-98600299(-) taataatggtg >hg38_chr8:98607410-98607420(+) agacagtggaa >hg38_chr8:98607430-98607440(-) atacaatgatt >hg38_chr8:98607442-98607452(-) tgacaatgaag >hg38_chr8:98635211-98635221(-) TAACAATAGTG >hg38_chr8:98636993-98637003(+) GGACAATGCTA >hg38_chr8:98646178-98646188(-) TTACAGTGGTA >hg38_chr8:98709313-98709323(+) atataatgggg >hg38_chr8:98857566-98857576(-) AAACAATGAGC >hg38_chr8:98877276-98877286(+) AAATAATGGGC >hg38_chr8:98920564-98920574(-) AAACAACGGCT >hg38_chr8:98920587-98920597(-) CTACAATGGAC >hg38_chr8:98931408-98931418(-) GCACAATGTGT >hg38_chr8:98937808-98937818(-) TAACAAAGGAA >hg38_chr8:98955065-98955075(-) CCACAATGACC >hg38_chr8:98998130-98998140(-) CAATAATGGAA >hg38_chr8:98999730-98999740(+) GGACAAAGGTG >hg38_chr8:99024584-99024594(-) taacaaaggca >hg38_chr8:99035529-99035539(-) atacaatggga >hg38_chr8:99035831-99035841(+) tgataatggcc >hg38_chr8:99035838-99035848(-) taacaatggcc >hg38_chr8:99035862-99035872(-) ccacaatgaga >hg38_chr8:99036807-99036817(-) GGACAATGAGC >hg38_chr8:99036858-99036868(+) TGATAATGGAA >hg38_chr8:99037456-99037466(+) aTACAATAAAC >hg38_chr8:99112156-99112166(-) AAACAATGTTC >hg38_chr8:99112174-99112184(-) ATACAATGATA >hg38_chr8:99135781-99135791(-) ATACAATGTGT >hg38_chr8:99136726-99136736(+) ATACAATGGAG >hg38_chr8:99218613-99218623(-) tgacaatgccc >hg38_chr8:99218627-99218637(-) cgacaatggca >hg38_chr8:99230896-99230906(-) TGACAATGCTG >hg38_chr8:99244126-99244136(+) AAACAATGAAC >hg38_chr8:99245030-99245040(-) CAACAATGAGA >hg38_chr8:99251247-99251257(+) tcataatggaa >hg38_chr8:99285899-99285909(-) AAACAATGCAA >hg38_chr8:99286242-99286252(-) GAACAATAGGG >hg38_chr8:99297242-99297252(-) AAACAATAGCC >hg38_chr8:99303309-99303319(-) AAACAATGTTT >hg38_chr8:99303337-99303347(+) GAACAATGGGC >hg38_chr8:99305162-99305172(-) atacaatggac >hg38_chr8:99322141-99322151(-) ACACaaaggaa >hg38_chr8:99334182-99334192(+) caacaatgtgt >hg38_chr8:99334194-99334204(+) ttacaatgaga >hg38_chr8:99334206-99334216(-) gaacaatgtca >hg38_chr8:99340960-99340970(-) AGACAATAAAA >hg38_chr8:99345421-99345431(-) ctacaatgaca >hg38_chr8:99358775-99358785(-) TAATAATGGCA >hg38_chr8:99359489-99359499(+) CCACAATGAAA >hg38_chr8:99392112-99392122(+) gaacaatgaca >hg38_chr8:99395286-99395296(+) caacaatagag >hg38_chr8:99452456-99452466(-) aaataatggta >hg38_chr8:99473542-99473552(+) aaacaatggta >hg38_chr8:99481318-99481328(-) GAACAATAGAA >hg38_chr8:99495044-99495054(-) AAACAATATAA >hg38_chr8:99525504-99525514(+) ttacaatgacc >hg38_chr8:99529783-99529793(-) AAACAATGTTT >hg38_chr8:99547789-99547799(-) CTACAATGTAG >hg38_chr8:99555214-99555224(-) AGACAACGGGT >hg38_chr8:99555247-99555257(-) TGATAATGGAC >hg38_chr8:99597183-99597193(-) ctataatggga >hg38_chr8:99613514-99613524(+) AAACAATAGAT >hg38_chr8:99629502-99629512(-) ctacaatgctg >hg38_chr8:99639019-99639029(-) caacaatgggc >hg38_chr8:99642779-99642789(-) AAACACTGGAA >hg38_chr8:99661159-99661169(-) GTACAATGTGG >hg38_chr8:99679738-99679748(-) TGACAATGAGA >hg38_chr8:99702713-99702723(+) CTACAAAGGAA >hg38_chr8:99754472-99754482(-) CAATAATGGGA >hg38_chr8:99754475-99754485(-) GTACAATAATG >hg38_chr8:99761225-99761235(-) TTACTATGGAG >hg38_chr8:99761235-99761245(-) AAACAATAGAT >hg38_chr8:99785022-99785032(+) ATACAATGACA >hg38_chr8:99799041-99799051(+) AGACAATGGCA >hg38_chr8:99801074-99801084(-) AGACAATGGAA >hg38_chr8:99803347-99803357(-) AAACTATGGGT >hg38_chr8:99803385-99803395(+) CGACAATGCAG >hg38_chr8:99803397-99803407(-) ATATAATGGAG >hg38_chr8:99860327-99860337(+) GGACAATGAAA >hg38_chr8:99876209-99876219(-) AGACAAAGGAG >hg38_chr8:99881796-99881806(+) agacaatgaca >hg38_chr8:99929908-99929918(+) TGACAATGTGT >hg38_chr8:100014459-100014469(+) tgacaatgaac >hg38_chr8:100026207-100026217(+) CTACAATGCAC >hg38_chr8:100045900-100045910(-) aaacaatgtta >hg38_chr8:100045928-100045938(+) aaacaatgtca >hg38_chr8:100059775-100059785(-) tcacaatgagg >hg38_chr8:100059783-100059793(-) gtacaatatca >hg38_chr8:100106083-100106093(+) AGACAATACGG >hg38_chr8:100144131-100144141(+) TCACAATGCTA >hg38_chr8:100239677-100239687(+) GTACACTGGGA >hg38_chr8:100240373-100240383(+) TCACAATGCAT >hg38_chr8:100242449-100242459(+) aaacaatggaa >hg38_chr8:100251805-100251815(-) taacaatacat >hg38_chr8:100257430-100257440(-) GGACAATGTTC >hg38_chr8:100258586-100258596(-) AGACAATGGAA >hg38_chr8:100270726-100270736(-) TAACAATGATT >hg38_chr8:100289730-100289740(+) taacaatatca >hg38_chr8:100310522-100310532(-) ACACAAAGGAA >hg38_chr8:100336282-100336292(+) CAACAATGCAA >hg38_chr8:100336311-100336321(+) acacaatgagt >hg38_chr8:100375760-100375770(-) AAACAATGACT >hg38_chr8:100415922-100415932(+) AGACAATGATG >hg38_chr8:100433983-100433993(-) CTACAATGAAG >hg38_chr8:100443317-100443327(-) TAACAATGCAG >hg38_chr8:100447529-100447539(+) gtacaatgttg >hg38_chr8:100449334-100449344(+) TTATAATGGAA >hg38_chr8:100464175-100464185(-) Taacaatacta >hg38_chr8:100465888-100465898(+) ttacaatgcaa >hg38_chr8:100495108-100495118(+) AGATAATGGCA >hg38_chr8:100515210-100515220(+) GGACAATGAGC >hg38_chr8:100527500-100527510(-) GTACAAAGGAG >hg38_chr8:100547795-100547805(-) AGACAATGAAA >hg38_chr8:100564267-100564277(+) TAACAATGGAA >hg38_chr8:100567213-100567223(-) TCACAATAGCT >hg38_chr8:100574013-100574023(+) TAACAATAACA >hg38_chr8:100684386-100684396(+) aaacaatgaga >hg38_chr8:100704447-100704457(+) TCATAATGGTA >hg38_chr8:100752101-100752111(-) ATacaatactc >hg38_chr8:100758806-100758816(+) TCACAATGCTT >hg38_chr8:100758862-100758872(+) GGACAATAGGA >hg38_chr8:100761911-100761921(-) agacaataggc >hg38_chr8:100762410-100762420(-) cgactatggat >hg38_chr8:100789091-100789101(+) aaacaatgcac >hg38_chr8:100818855-100818865(-) agacaatgact >hg38_chr8:100827233-100827243(+) CAACAATAGGG >hg38_chr8:100879349-100879359(+) TCACAATGGAC >hg38_chr8:100879388-100879398(-) ggacaatagaA >hg38_chr8:100925264-100925274(+) GGACAATAAAA >hg38_chr8:100925290-100925300(+) TGACAATGTTA >hg38_chr8:100926805-100926815(+) AAACAGTGGAA >hg38_chr8:100926858-100926868(+) AAACAATGGTT >hg38_chr8:100952989-100952999(+) GGACAATGGGT >hg38_chr8:100953750-100953760(-) AGACAATGTAC >hg38_chr8:100953771-100953781(+) ATACAGTGGAC >hg38_chr8:100954292-100954302(+) CAACAATAGGC >hg38_chr8:100978875-100978885(+) taacaaaggag >hg38_chr8:100978894-100978904(+) atacagtggag >hg38_chr8:100994352-100994362(+) atacaatgtaa >hg38_chr8:100994393-100994403(+) gaacaatggtg >hg38_chr8:100994411-100994421(+) aaacaaaggta >hg38_chr8:101027206-101027216(+) GTACAATATAT >hg38_chr8:101044947-101044957(+) TTACAATGGTT >hg38_chr8:101067572-101067582(+) CAACAATAGCA >hg38_chr8:101075150-101075160(-) GAACAAAGGGA >hg38_chr8:101083808-101083818(+) aaacaatggaa >hg38_chr8:101088630-101088640(+) aaACAATGTCT >hg38_chr8:101096947-101096957(+) caacaatggga >hg38_chr8:101156168-101156178(-) TAACAACGGGT >hg38_chr8:101167051-101167061(-) TCACAATAGGC >hg38_chr8:101171491-101171501(+) TTATAATGGAT >hg38_chr8:101181404-101181414(-) agacaatggcc >hg38_chr8:101187081-101187091(+) ttataatggag >hg38_chr8:101201016-101201026(+) gaacaataaaa >hg38_chr8:101245566-101245576(-) ggacaatgagg >hg38_chr8:101308353-101308363(-) taacaatggag >hg38_chr8:101326435-101326445(-) TTACAATAACT >hg38_chr8:101327109-101327119(+) ACACAATTGCG >hg38_chr8:101327145-101327155(-) ACACAATATCG >hg38_chr8:101336552-101336562(-) aaacaatgtga >hg38_chr8:101361606-101361616(-) GAACAATGAAA >hg38_chr8:101392556-101392566(+) GAACAATGCTG >hg38_chr8:101393265-101393275(-) cgacaatggca >hg38_chr8:101409925-101409935(+) AGACAGTGGAC >hg38_chr8:101418365-101418375(-) AAACAATAGGA >hg38_chr8:101446321-101446331(+) gtacaaaggat >hg38_chr8:101460388-101460398(+) CAACAATGGAC >hg38_chr8:101467166-101467176(-) TTACACTGGAC >hg38_chr8:101473163-101473173(-) aaacaatgtaa >hg38_chr8:101491606-101491616(+) TTACAATGTAA >hg38_chr8:101529848-101529858(-) ATACAAAGGAC >hg38_chr8:101540684-101540694(-) TCACAATGAAA >hg38_chr8:101603705-101603715(+) GCACAATGACA >hg38_chr8:101608003-101608013(-) ATACAATAGTT >hg38_chr8:101632683-101632693(+) ACACAATAGAG >hg38_chr8:101751749-101751759(+) AAATAATGGAC >hg38_chr8:101756414-101756424(+) caacaatggat >hg38_chr8:101770417-101770427(-) AGATAATGGGG >hg38_chr8:101817722-101817732(-) GAACAATGCAT >hg38_chr8:101831952-101831962(+) TAACAATGAAA >hg38_chr8:101831968-101831978(-) ACACAAAGGAG >hg38_chr8:101849857-101849867(+) AGACAATGAAT >hg38_chr8:101875437-101875447(-) GGACAATGAAG >hg38_chr8:101890055-101890065(+) gtacaatgaag >hg38_chr8:101940637-101940647(+) GTACAATGAAC >hg38_chr8:101943474-101943484(-) TCACAATAGCC >hg38_chr8:101945655-101945665(+) CAACAATGCAT >hg38_chr8:101945680-101945690(+) AGACAATGCTT >hg38_chr8:101949887-101949897(-) ACACAATGTCA >hg38_chr8:101950670-101950680(-) ACACAATGTCT >hg38_chr8:102009095-102009105(-) ccacaatggag >hg38_chr8:102009112-102009122(+) taacaatggat >hg38_chr8:102015326-102015336(-) CTACAATGGCA >hg38_chr8:102023751-102023761(-) TGATAATGGCA >hg38_chr8:102028479-102028489(+) AAACAATGCCT >hg38_chr8:102038388-102038398(+) acacaatgctc >hg38_chr8:102053530-102053540(-) taacaatgagt >hg38_chr8:102056907-102056917(-) AAATAATGGCT >hg38_chr8:102065515-102065525(+) ACACAAAGGTC >hg38_chr8:102066554-102066564(-) TCACAATGGTC >hg38_chr8:102072096-102072106(+) agacaatgggc >hg38_chr8:102080364-102080374(+) AAACAATATAC >hg38_chr8:102080401-102080411(+) GCACAAAGGGA >hg38_chr8:102092667-102092677(-) gtacaaaggga >hg38_chr8:102112681-102112691(-) caacaatgggg >hg38_chr8:102163701-102163711(-) aaacaatggcc >hg38_chr8:102191140-102191150(-) agacaatggga >hg38_chr8:102254575-102254585(-) TAACAATAGGG >hg38_chr8:102266178-102266188(-) agataatggtg >hg38_chr8:102305237-102305247(-) CGACAATATCT >hg38_chr8:102388369-102388379(+) gtacaatgcct >hg38_chr8:102392383-102392393(-) GAACAATGAAT >hg38_chr8:102392427-102392437(+) ttacaatagaa >hg38_chr8:102403935-102403945(+) gaacaatggtt >hg38_chr8:102404289-102404299(+) TGACAATGTTA >hg38_chr8:102411774-102411784(-) AGACAATGCGG >hg38_chr8:102436989-102436999(-) TCACAATGGAG >hg38_chr8:102437387-102437397(-) acacaatgagg >hg38_chr8:102440805-102440815(+) CCACAATAGGC >hg38_chr8:102537115-102537125(-) TAACAATGAAT >hg38_chr8:102541907-102541917(-) aCACAATGAGG >hg38_chr8:102569278-102569288(+) aaacaatggtg >hg38_chr8:102577084-102577094(+) caacaataggc >hg38_chr8:102585629-102585639(+) TCACAATGTTA >hg38_chr8:102590469-102590479(+) gaacaatgaaa >hg38_chr8:102590509-102590519(-) taacaatggga >hg38_chr8:102611760-102611770(-) TAACAATAGGC >hg38_chr8:102653657-102653667(+) TAACAATATAA >hg38_chr8:102809161-102809171(+) gaacaatagga >hg38_chr8:102809181-102809191(+) tgacaAAGGAC >hg38_chr8:102809188-102809198(+) GGACAATGTTG >hg38_chr8:102814724-102814734(+) GAACAGTGGGA >hg38_chr8:102834978-102834988(+) TAACAATAAGT >hg38_chr8:102835014-102835024(+) ATACAATAAGG >hg38_chr8:102846495-102846505(+) CCACAATGACG >hg38_chr8:102856296-102856306(-) GTACAATGCAT >hg38_chr8:102864525-102864535(-) TCACAATGCGC >hg38_chr8:102901884-102901894(+) aaacaatgggc >hg38_chr8:103040920-103040930(+) GAACAATAATC >hg38_chr8:103063094-103063104(+) ATACAATGTGA >hg38_chr8:103063135-103063145(-) GAACAATGAAT >hg38_chr8:103069064-103069074(-) GCACAATAGTA >hg38_chr8:103069669-103069679(-) CAACAATGTCT >hg38_chr8:103102795-103102805(-) taacaatgacc >hg38_chr8:103106013-103106023(+) AAACAATGCCC >hg38_chr8:103109045-103109055(-) TGACAATGCCG >hg38_chr8:103124592-103124602(-) AAACAAAGGAT >hg38_chr8:103132256-103132266(+) CAACAATGCAG >hg38_chr8:103149131-103149141(-) gcacaatggac >hg38_chr8:103151649-103151659(+) GAACAATGATC >hg38_chr8:103171709-103171719(+) AAACAATGATA >hg38_chr8:103204442-103204452(-) caacaatgaaa >hg38_chr8:103208001-103208011(-) AAACAATGAGC >hg38_chr8:103255543-103255553(+) TAACAATGACA >hg38_chr8:103278833-103278843(-) TGACAATATAT >hg38_chr8:103279101-103279111(+) AAACAATGCTG >hg38_chr8:103301178-103301188(-) CAACAATAGAA >hg38_chr8:103301213-103301223(-) CCACAATGAGG >hg38_chr8:103305088-103305098(-) ACACAATGGAA >hg38_chr8:103305118-103305128(-) TCACAATGCTC >hg38_chr8:103305530-103305540(-) ATACAAGGGTA >hg38_chr8:103331547-103331557(+) TTACACTGGAA >hg38_chr8:103341967-103341977(+) acacaatagtt >hg38_chr8:103351662-103351672(+) ATACAATGCAG >hg38_chr8:103357616-103357626(+) TAACAAAGGAT >hg38_chr8:103363696-103363706(-) aaacaaaggca >hg38_chr8:103372256-103372266(-) CAACAAAGGAC >hg38_chr8:103433864-103433874(-) GAACAATGCCA >hg38_chr8:103434730-103434740(-) GAACAATGGAC >hg38_chr8:103440450-103440460(-) CTACAATAATA >hg38_chr8:103440499-103440509(-) TAACAAAGGCA >hg38_chr8:103443089-103443099(-) CTACAATGAAA >hg38_chr8:103445729-103445739(-) tcactatggaa >hg38_chr8:103445756-103445766(+) ACACAATAGAA >hg38_chr8:103497743-103497753(-) ACACAATGACA >hg38_chr8:103510188-103510198(+) AAACAATATAA >hg38_chr8:103510215-103510225(+) CCACAATGGTG >hg38_chr8:103532600-103532610(-) atacaatacat >hg38_chr8:103559183-103559193(-) agataatggtg >hg38_chr8:103559517-103559527(+) GAACAATGCAG >hg38_chr8:103596992-103597002(-) CAACAATGCTA >hg38_chr8:103597042-103597052(+) TAACAATGTGG >hg38_chr8:103635157-103635167(+) taacagtggtc >hg38_chr8:103637729-103637739(+) gtacaatacta >hg38_chr8:103639125-103639135(+) gtacaatgctt >hg38_chr8:103639136-103639146(-) taacaatacat >hg38_chr8:103639667-103639677(-) aaactatggtt >hg38_chr8:103642264-103642274(+) ttacaatgaat >hg38_chr8:103676109-103676119(+) aaacaaaggta >hg38_chr8:103676140-103676150(+) aaacaatggta >hg38_chr8:103685693-103685703(-) tgacaaaggat >hg38_chr8:103711136-103711146(-) gaacaattgta >hg38_chr8:103714222-103714232(+) TTACTATGGGC >hg38_chr8:103718331-103718341(-) gaacaataata >hg38_chr8:103718388-103718398(-) acacaatgact >hg38_chr8:103720274-103720284(-) TAACAATGAGC >hg38_chr8:103736295-103736305(+) GAACAATGTAT >hg38_chr8:103763000-103763010(-) taacaatagtt >hg38_chr8:103895543-103895553(-) atacaatagtt >hg38_chr8:103904790-103904800(-) gaacaatagaa >hg38_chr8:103905829-103905839(-) gaacaataaaa >hg38_chr8:103930961-103930971(-) ATACAATGACT >hg38_chr8:103960658-103960668(-) ACACAATGTGT >hg38_chr8:104010425-104010435(-) TGACAATAGGC >hg38_chr8:104010494-104010504(+) TAACAATAGTA >hg38_chr8:104010504-104010514(-) TAACAATACAT >hg38_chr8:104019464-104019474(-) GTACAATATAT >hg38_chr8:104055478-104055488(+) ACACAATGAAT >hg38_chr8:104122940-104122950(-) ATACAATAAAA >hg38_chr8:104126668-104126678(+) acacaatgcct >hg38_chr8:104127489-104127499(-) acacaatgcta >hg38_chr8:104163358-104163368(-) ggacaatagtt >hg38_chr8:104184087-104184097(+) GAACAATGTGC >hg38_chr8:104201884-104201894(-) CTACAATAGAG >hg38_chr8:104209299-104209309(+) taataatggaa >hg38_chr8:104244554-104244564(+) TTACAATGAAA >hg38_chr8:104263442-104263452(+) GCACAATGCCC >hg38_chr8:104273444-104273454(-) agacaatagcc >hg38_chr8:104340331-104340341(+) GAACAATGAAA >hg38_chr8:104343461-104343471(-) taacaATATCC >hg38_chr8:104379789-104379799(+) TGACAATGTTT >hg38_chr8:104408371-104408381(+) gaacaaagggc >hg38_chr8:104412469-104412479(-) ACACAATGCTT >hg38_chr8:104416509-104416519(+) ACACAATGCAC >hg38_chr8:104426249-104426259(+) GAACAATAGCA >hg38_chr8:104486128-104486138(+) AGACAAAGGAC >hg38_chr8:104492098-104492108(-) TGACAATATAA >hg38_chr8:104508050-104508060(+) ATACAATGTTA >hg38_chr8:104508571-104508581(+) agacaaaggtt >hg38_chr8:104518903-104518913(+) TAATAATGGAC >hg38_chr8:104533237-104533247(-) TAACAATAAAT >hg38_chr8:104538172-104538182(-) TAACAATGCCC >hg38_chr8:104569065-104569075(+) atacaatggaa >hg38_chr8:104585988-104585998(+) GGACAATGCGT >hg38_chr8:104586641-104586651(-) TAACAATAGTG >hg38_chr8:104586671-104586681(-) TAACAATAGTA >hg38_chr8:104649387-104649397(-) AGACAATGCAT >hg38_chr8:104662317-104662327(-) taacaatgcct >hg38_chr8:104698442-104698452(-) TAACAATGCTG >hg38_chr8:104709975-104709985(-) GGACAATAGTA >hg38_chr8:104746967-104746977(+) taacaatgggg >hg38_chr8:104746992-104747002(-) taacaatgcat >hg38_chr8:104787916-104787926(+) GAACAATGAAC >hg38_chr8:104797015-104797025(-) ATACAATACCG >hg38_chr8:104812701-104812711(+) CAACAATGGCT >hg38_chr8:104826552-104826562(-) aaacaacggcc >hg38_chr8:104826568-104826578(-) tgataatggac >hg38_chr8:104830129-104830139(+) ACACAAAGGAC >hg38_chr8:104853479-104853489(-) AGACAATAGta >hg38_chr8:104875508-104875518(+) GAACAATGGTT >hg38_chr8:104875797-104875807(-) GGACAATGACA >hg38_chr8:104895288-104895298(-) TCACAATGTAG >hg38_chr8:104924665-104924675(-) AGACAATGTCT >hg38_chr8:104982757-104982767(-) ACACAATGATG >hg38_chr8:104982916-104982926(-) GCACAATGCTG >hg38_chr8:105070100-105070110(-) TAACAATAGAT >hg38_chr8:105070112-105070122(-) GTACAATGGGA >hg38_chr8:105070119-105070129(+) GTACAATGATG >hg38_chr8:105071668-105071678(+) AGATAATGGAA >hg38_chr8:105095711-105095721(-) GAACAATGGAG >hg38_chr8:105117728-105117738(-) CAACAATAGAG >hg38_chr8:105130316-105130326(+) TTACAATAGTC >hg38_chr8:105427406-105427416(-) GAACAATGAAT >hg38_chr8:105464006-105464016(-) AAACAAAGGGA >hg38_chr8:105518178-105518188(+) CCACAATAGTA >hg38_chr8:105520646-105520656(-) CGACAATGCTT >hg38_chr8:105535234-105535244(-) ACACAAAGGTT >hg38_chr8:105535281-105535291(-) TAACAATAAAA >hg38_chr8:105536624-105536634(-) CAACAATGTGT >hg38_chr8:105559228-105559238(-) AAACTATGGAA >hg38_chr8:105570556-105570566(-) TGACAATGTAT >hg38_chr8:105570571-105570581(+) GCACAATGGTA >hg38_chr8:105576807-105576817(-) AGACAATAGCC >hg38_chr8:105654043-105654053(-) TTACAATGAAA >hg38_chr8:105654084-105654094(-) TAACAATGAAT >hg38_chr8:105753126-105753136(+) AAACAAAGGGG >hg38_chr8:105757880-105757890(-) GAACAATGAGG >hg38_chr8:105771448-105771458(+) AGACAATATAT >hg38_chr8:105771477-105771487(+) AAACAATGGTG >hg38_chr8:105779123-105779133(-) taacaatacaa >hg38_chr8:105779588-105779598(+) GAACAATATAA >hg38_chr8:105801015-105801025(-) GAACAATGAGA >hg38_chr8:105817827-105817837(+) ctacaatgaat >hg38_chr8:105828491-105828501(-) gtacaatgttc >hg38_chr8:105848444-105848454(+) aaacaaaggca >hg38_chr8:105848468-105848478(+) gcacaaaggat >hg38_chr8:105887364-105887374(+) GAACAATGGGA >hg38_chr8:105919884-105919894(+) acacaatgaag >hg38_chr8:105962437-105962447(+) ATACAATGCTG >hg38_chr8:105962462-105962472(+) GGACAATAGTA >hg38_chr8:105979926-105979936(+) atacaataaat >hg38_chr8:105999127-105999137(+) ATACAATGGCT >hg38_chr8:106006679-106006689(+) GCACAATGACT >hg38_chr8:106006711-106006721(+) TGACAATGACA >hg38_chr8:106042446-106042456(-) ttacagtggaa >hg38_chr8:106071951-106071961(-) GAACAATGTGT >hg38_chr8:106083256-106083266(+) AAACAAAGGCC >hg38_chr8:106090450-106090460(-) caacaatgccc >hg38_chr8:106103479-106103489(+) gaacaatggaa >hg38_chr8:106169384-106169394(-) GGACAATGGGA >hg38_chr8:106169391-106169401(-) TAACAGTGGAC >hg38_chr8:106220094-106220104(+) gaacaatgact >hg38_chr8:106286791-106286801(-) GAACAATAGAC >hg38_chr8:106311298-106311308(-) gaacaatgcaa >hg38_chr8:106311589-106311599(-) AGACAATAGCA >hg38_chr8:106327167-106327177(-) AAACAATGAGC >hg38_chr8:106327511-106327521(+) ATACAATGCAT >hg38_chr8:106327838-106327848(+) ATATAATGTAC >hg38_chr8:106327854-106327864(-) AAACAATAGAA >hg38_chr8:106398890-106398900(-) gaacaataaga >hg38_chr8:106430283-106430293(-) ATACAATTGTA >hg38_chr8:106466003-106466013(-) ggacaatgata >hg38_chr8:106507328-106507338(+) aaactatggag >hg38_chr8:106521053-106521063(-) ACACAATAGAC >hg38_chr8:106539372-106539382(-) ATACAATGAAT >hg38_chr8:106570119-106570129(+) GAACAATAAAT >hg38_chr8:106582472-106582482(-) CTACAATGGCA >hg38_chr8:106582516-106582526(+) CCACAATGGAG >hg38_chr8:106608886-106608896(-) TCACAATGTTG >hg38_chr8:106688565-106688575(-) AAACAATAGCT >hg38_chr8:106721097-106721107(-) ACACAATGAAT >hg38_chr8:106721872-106721882(-) CAACAATGACA >hg38_chr8:106731263-106731273(-) agacaatggtc >hg38_chr8:106731642-106731652(-) aaataatggtc >hg38_chr8:106731672-106731682(-) taacaaaggag >hg38_chr8:106734773-106734783(-) TGACAAAGGAC >hg38_chr8:106736234-106736244(-) ATACAATATGT >hg38_chr8:106736274-106736284(+) TAATAATGGCC >hg38_chr8:106746420-106746430(-) CAACAATGCAA >hg38_chr8:106813286-106813296(-) CTACAATAGCC >hg38_chr8:106837002-106837012(+) atacaaaggct >hg38_chr8:106855150-106855160(-) GAATAATGGCA >hg38_chr8:106857829-106857839(-) CAACAATGAAA >hg38_chr8:106891588-106891598(+) AAACAATGCTG >hg38_chr8:106895953-106895963(+) CTACAATAATA >hg38_chr8:106895999-106896009(-) GTATAATGGTT >hg38_chr8:106961747-106961757(+) tgacaatgaaa >hg38_chr8:106973814-106973824(+) gaacaataact >hg38_chr8:106982418-106982428(-) GCATAATGGTT >hg38_chr8:106982470-106982480(-) TAACAATGGGT >hg38_chr8:107001748-107001758(+) taacaaaggta >hg38_chr8:107034455-107034465(+) acacaatggca >hg38_chr8:107068837-107068847(-) GAACAATGTAT >hg38_chr8:107093987-107093997(-) ttacaatggtg >hg38_chr8:107101194-107101204(+) AAATAATGGTT >hg38_chr8:107123747-107123757(-) aaacaatgagg >hg38_chr8:107144708-107144718(+) ATACAATGGTT >hg38_chr8:107150958-107150968(-) aaacaatagga >hg38_chr8:107155442-107155452(+) CAACAATGGAA >hg38_chr8:107155453-107155463(+) TCACAATGACA >hg38_chr8:107165665-107165675(-) tgacaatggcc >hg38_chr8:107171272-107171282(-) AAACAATAGAA >hg38_chr8:107171305-107171315(+) TAACAATGGCA >hg38_chr8:107183894-107183904(-) AAACAATGGGC >hg38_chr8:107211661-107211671(+) TGACAATGGCA >hg38_chr8:107253603-107253613(-) CAACAATAGAG >hg38_chr8:107253618-107253628(+) TGACAATGATT >hg38_chr8:107297275-107297285(+) GCACAATGGAG >hg38_chr8:107337382-107337392(+) TCACAATGACC >hg38_chr8:107351830-107351840(-) TTACAATGGTT >hg38_chr8:107351857-107351867(+) TAACAATAATA >hg38_chr8:107358509-107358519(+) TTACAATGAAC >hg38_chr8:107387280-107387290(-) AAACAAAGGAA >hg38_chr8:107387364-107387374(-) TAACAATAGGC >hg38_chr8:107404879-107404889(-) tcacaatgatt >hg38_chr8:107407881-107407891(+) CCACAATGAGG >hg38_chr8:107407918-107407928(-) GAACAATGGTC >hg38_chr8:107407929-107407939(-) TAATAATGGAG >hg38_chr8:107428862-107428872(-) AGACAATAATA >hg38_chr8:107434245-107434255(+) GAACAGTGGGA >hg38_chr8:107449188-107449198(-) GAACAATGCAG >hg38_chr8:107480618-107480628(+) gaacaatagcc >hg38_chr8:107495189-107495199(+) TGACAATGACA >hg38_chr8:107495220-107495230(+) TGACAATAGTC >hg38_chr8:107529459-107529469(-) AAACAATGTTT >hg38_chr8:107540176-107540186(+) GTACTATGGGA >hg38_chr8:107540203-107540213(+) TAACAATGATT >hg38_chr8:107553211-107553221(+) AGACAATGAGG >hg38_chr8:107561167-107561177(+) TTACAAAGGCC >hg38_chr8:107561238-107561248(+) TTACTATGGTT >hg38_chr8:107562804-107562814(-) aaacaaaggcc >hg38_chr8:107630736-107630746(-) AGACAAAGGTT >hg38_chr8:107630745-107630755(-) TCACAATGAAG >hg38_chr8:107636103-107636113(-) TTACAATGCCT >hg38_chr8:107636126-107636136(+) TCACAATCGTC >hg38_chr8:107668623-107668633(+) TTATAATGCGA >hg38_chr8:107673933-107673943(-) ttacaatgtgc >hg38_chr8:107675333-107675343(-) gaacaaagggg >hg38_chr8:107698417-107698427(-) AAACAATGTGA >hg38_chr8:107747587-107747597(+) TGACAATGACA >hg38_chr8:107749813-107749823(+) acacaatagaa >hg38_chr8:107759703-107759713(-) GAACAATGAGC >hg38_chr8:107773443-107773453(+) CAACAATAGGC >hg38_chr8:107791478-107791488(+) atataatgata >hg38_chr8:107804171-107804181(+) ACATAATGTCG >hg38_chr8:107876434-107876444(-) acataatgggt >hg38_chr8:107876473-107876483(+) ggacaatgaat >hg38_chr8:107961721-107961731(-) ttacaatagtt >hg38_chr8:107975012-107975022(-) TGACAATGTAC >hg38_chr8:107975081-107975091(+) AAACAATAACA >hg38_chr8:108042731-108042741(+) CAACAATGGCC >hg38_chr8:108042742-108042752(+) CAACAATGAAG >hg38_chr8:108188786-108188796(-) AAATAATGGCA >hg38_chr8:108190146-108190156(+) Caacaatgaaa >hg38_chr8:108192958-108192968(-) AAACAATGTGA >hg38_chr8:108231907-108231917(+) CTACAATAGGT >hg38_chr8:108231953-108231963(+) TTACAATAGCT >hg38_chr8:108270116-108270126(+) gaacaatgggt >hg38_chr8:108270141-108270151(-) tcacaatagcc >hg38_chr8:108289468-108289478(-) TAACAATGGGG >hg38_chr8:108289505-108289515(-) CCACAATGTTT >hg38_chr8:108355529-108355539(+) ACATAATGGCT >hg38_chr8:108355538-108355548(-) GAACAAAGGAG >hg38_chr8:108369127-108369137(+) CTACAATGACT >hg38_chr8:108393460-108393470(+) ATACAATAGAA >hg38_chr8:108402899-108402909(+) ACATAATGGCT >hg38_chr8:108445850-108445860(-) TGACAATGTGT >hg38_chr8:108453632-108453642(+) AGACAATAGGA >hg38_chr8:108455369-108455379(-) aaacaatgcaa >hg38_chr8:108461530-108461540(-) ATACAATGTCT >hg38_chr8:108465634-108465644(-) CAACAATAGTT >hg38_chr8:108469604-108469614(-) ACACAATGTAT >hg38_chr8:108470517-108470527(-) ATACAATTGAC >hg38_chr8:108475019-108475029(-) ATACAAAGGGA >hg38_chr8:108475977-108475987(+) GAACAATGGCA >hg38_chr8:108476469-108476479(+) ATACAATGTGA >hg38_chr8:108477359-108477369(-) AAACAATAGGT >hg38_chr8:108481291-108481301(-) AGACAATAAAA >hg38_chr8:108481832-108481842(-) gaataatgata >hg38_chr8:108481897-108481907(-) atacaatgaac >hg38_chr8:108518791-108518801(-) aaacaatatat >hg38_chr8:108563984-108563994(-) TTACAATGGTT >hg38_chr8:108565935-108565945(-) agacaatgacc >hg38_chr8:108584531-108584541(-) taacaatgaat >hg38_chr8:108584764-108584774(-) taactatggaa >hg38_chr8:108584803-108584813(-) ACACAATAAAA >hg38_chr8:108597282-108597292(+) gaacaataggg >hg38_chr8:108602450-108602460(-) GCACAATGCTG >hg38_chr8:108602515-108602525(-) CAACAATAGAG >hg38_chr8:108602526-108602536(-) TAACAATGCAC >hg38_chr8:108638909-108638919(+) gaacaatagca >hg38_chr8:108646472-108646482(+) TGACAATGTAT >hg38_chr8:108660362-108660372(-) AAACAATGGCC >hg38_chr8:108775169-108775179(-) gcacaatgcct >hg38_chr8:108844570-108844580(+) ttacaataagt >hg38_chr8:108849191-108849201(+) GCACAATGAGG >hg38_chr8:108852057-108852067(+) CCACAATGAGA >hg38_chr8:108852065-108852075(-) TAACAATATCT >hg38_chr8:108856543-108856553(+) aaacaatgaca >hg38_chr8:108866295-108866305(-) TAACAATGCAG >hg38_chr8:108866314-108866324(+) TAACAATGCAC >hg38_chr8:108891886-108891896(+) agacaatgaat >hg38_chr8:108918836-108918846(+) AGACAAAGgaa >hg38_chr8:108953122-108953132(+) gtacaaaggga >hg38_chr8:109009844-109009854(+) gtataatgggc >hg38_chr8:109053207-109053217(-) AAATAATGGTT >hg38_chr8:109065090-109065100(-) AAACAATGATC >hg38_chr8:109065119-109065129(+) GGACAAAGGCA >hg38_chr8:109153242-109153252(-) GTATAATGGTC >hg38_chr8:109203736-109203746(-) agataatggtt >hg38_chr8:109230781-109230791(+) AGACAATGTAT >hg38_chr8:109262418-109262428(-) caacaatagga >hg38_chr8:109281053-109281063(+) GTACAATGTTG >hg38_chr8:109283860-109283870(+) atacaatagaa >hg38_chr8:109291905-109291915(+) TGACAATGTCT >hg38_chr8:109293237-109293247(+) ACACAATGCCC >hg38_chr8:109293861-109293871(+) TTACAAAGGCA >hg38_chr8:109295293-109295303(-) GAATAATGCGC >hg38_chr8:109328134-109328144(+) caacaaaggac >hg38_chr8:109345548-109345558(+) AAACAATGTTC >hg38_chr8:109345601-109345611(+) TCACAATGAAA >hg38_chr8:109347609-109347619(+) TTACAATGGTA >hg38_chr8:109347632-109347642(+) AAACAAAGGCA >hg38_chr8:109352340-109352350(-) ttataatggga >hg38_chr8:109368605-109368615(-) AAACAATGGGA >hg38_chr8:109385367-109385377(-) acacaataaaa >hg38_chr8:109385393-109385403(+) gaacaatgcta >hg38_chr8:109385403-109385413(+) aaacaatagtg >hg38_chr8:109388279-109388289(-) taacaaaggtt >hg38_chr8:109396387-109396397(-) CTACAATGCTG >hg38_chr8:109413670-109413680(+) ACACAATGGAT >hg38_chr8:109420028-109420038(-) cgaCAATATTT >hg38_chr8:109457828-109457838(-) ATACAATGATT >hg38_chr8:109482200-109482210(-) AAACAATGGTA >hg38_chr8:109482520-109482530(-) gaacaaTACTA >hg38_chr8:109514940-109514950(-) GTACAATAAGA >hg38_chr8:109535967-109535977(+) AAACAaaggga >hg38_chr8:109539999-109540009(-) agaCAATGCCC >hg38_chr8:109542079-109542089(+) GGACAATAGTA >hg38_chr8:109556419-109556429(-) CCACAATGCAA >hg38_chr8:109562457-109562467(-) TAACAATGTAC >hg38_chr8:109562513-109562523(-) AGACAATACGG >hg38_chr8:109577395-109577405(+) GAACAATGAGC >hg38_chr8:109577439-109577449(+) TCACAATGCAC >hg38_chr8:109578670-109578680(-) GGACAATGGTG >hg38_chr8:109580283-109580293(+) ACACAATGTAG >hg38_chr8:109608212-109608222(+) ACACAAAGGAT >hg38_chr8:109613891-109613901(-) CGACAATAGCA >hg38_chr8:109617862-109617872(-) TCATAATGGAT >hg38_chr8:109620925-109620935(+) GCACAATGCCT >hg38_chr8:109621624-109621634(-) GTACAATGCCT >hg38_chr8:109637852-109637862(+) caacaatgatt >hg38_chr8:109639616-109639626(+) TGACAATAGAT >hg38_chr8:109680895-109680905(-) GAACAAAGGGA >hg38_chr8:109734090-109734100(+) gcacaaaggtc >hg38_chr8:109737195-109737205(-) aaacaatgtga >hg38_chr8:109749921-109749931(-) ttacaatggtg >hg38_chr8:109750317-109750327(-) CAACAATGCAA >hg38_chr8:109752925-109752935(-) GAACAAAGGAA >hg38_chr8:109752951-109752961(+) AAACTATGGCA >hg38_chr8:109842630-109842640(+) aaacaatagga >hg38_chr8:109896860-109896870(+) TCACAATGTGT >hg38_chr8:109925005-109925015(-) TTATAATGGCC >hg38_chr8:109927889-109927899(-) gaacaaaggat >hg38_chr8:109988178-109988188(-) ctacaatgtgt >hg38_chr8:110046166-110046176(-) ACACAAAGGAA >hg38_chr8:110174512-110174522(+) gtacaatagat >hg38_chr8:110182473-110182483(-) tcacaatagcc >hg38_chr8:110218565-110218575(-) TAACAATGAAT >hg38_chr8:110218599-110218609(-) GGACAATGGGA >hg38_chr8:110289271-110289281(-) ctacaatggcc >hg38_chr8:110347200-110347210(-) tgataatggag >hg38_chr8:110410598-110410608(+) acacaatgaaa >hg38_chr8:110509512-110509522(+) TAACAAAGGGG >hg38_chr8:110584927-110584937(+) ACACAATAGCA >hg38_chr8:110584967-110584977(+) AAACAAAGGTC >hg38_chr8:110629342-110629352(+) agacaatgcac >hg38_chr8:110629408-110629418(+) tgacaatgcag >hg38_chr8:110694871-110694881(-) CAACAATGGAT >hg38_chr8:110696606-110696616(-) TGACAATGTGA >hg38_chr8:110806565-110806575(-) agacaatagct >hg38_chr8:110824413-110824423(-) TAATAATGTAA >hg38_chr8:110836289-110836299(+) CTACAATGCCA >hg38_chr8:110897340-110897350(-) ccacaatgagc >hg38_chr8:110897614-110897624(+) GAACAATGCTC >hg38_chr8:110927149-110927159(-) tcacaatgcca >hg38_chr8:110927345-110927355(+) gaacaatgcca >hg38_chr8:110959833-110959843(-) GCACAATAGGG >hg38_chr8:110982763-110982773(+) gaacaatgggc >hg38_chr8:111017376-111017386(-) ACACAATGGCT >hg38_chr8:111017428-111017438(+) AGACAATAAAA >hg38_chr8:111150986-111150996(-) gaacaatagct >hg38_chr8:111192455-111192465(-) taataatggga >hg38_chr8:111195551-111195561(+) TAACAATGATC >hg38_chr8:111195602-111195612(+) gtacaatagca >hg38_chr8:111270172-111270182(+) gaacaatagac >hg38_chr8:111373433-111373443(+) ggacaatagtg >hg38_chr8:111473892-111473902(-) ttacaatgcta >hg38_chr8:111621768-111621778(-) AGACAACGGTG >hg38_chr8:111751901-111751911(-) acacaatgcga >hg38_chr8:111814572-111814582(-) agacaatgggg >hg38_chr8:111860953-111860963(+) ccacaatgaga >hg38_chr8:111917107-111917117(-) taacaaaggtc >hg38_chr8:111963141-111963151(-) acacaatgaca >hg38_chr8:112105656-112105666(-) acacaatgaac >hg38_chr8:112194995-112195005(-) GGACAATGACT >hg38_chr8:112195002-112195012(-) GGACAAAGGAC >hg38_chr8:112299006-112299016(+) gtacaatagaa >hg38_chr8:112299817-112299827(-) ATACAATGAAA >hg38_chr8:112334767-112334777(+) ATACAATGAAA >hg38_chr8:112348091-112348101(+) AAACAATGACA >hg38_chr8:112356000-112356010(+) tcacaatggct >hg38_chr8:112395697-112395707(-) TGACAATATAT >hg38_chr8:112436878-112436888(+) ACACAATGCAG >hg38_chr8:112436917-112436927(-) TCACAATGGCA >hg38_chr8:112547580-112547590(+) GAACAATGAAT >hg38_chr8:112553152-112553162(-) GGACAATGAAA >hg38_chr8:112580150-112580160(+) TGACAATGGGA >hg38_chr8:112616494-112616504(-) tgacaATGCCA >hg38_chr8:112654064-112654074(+) AAACAATGGTG >hg38_chr8:112675860-112675870(+) agacaaaggtt >hg38_chr8:112702918-112702928(-) taacaaaggAT >hg38_chr8:112814301-112814311(-) atacaatgact >hg38_chr8:112882399-112882409(+) tgacaatgcat >hg38_chr8:112971239-112971249(+) gtacaatgtca >hg38_chr8:112982354-112982364(-) TAACAATGATG >hg38_chr8:113062344-113062354(+) agacaatatat >hg38_chr8:113121344-113121354(-) ATACACTGGAA >hg38_chr8:113153448-113153458(+) tgataatgggc >hg38_chr8:113171954-113171964(-) ttataatgggc >hg38_chr8:113254512-113254522(-) acataatgggc >hg38_chr8:113319846-113319856(-) GAACAATGAGC >hg38_chr8:113371623-113371633(+) TAACAGTGGAA >hg38_chr8:113656797-113656807(-) ATACAATGTCT >hg38_chr8:113990995-113991005(-) ggacaaaggaa >hg38_chr8:114075444-114075454(+) CCACAATGGCA >hg38_chr8:114093395-114093405(+) CTACAATGATA >hg38_chr8:114280101-114280111(-) tcacaatgatc >hg38_chr8:114280112-114280122(-) gcacaatgtaa >hg38_chr8:114306725-114306735(-) aaacaatagag >hg38_chr8:114310596-114310606(+) AAACAATGACA >hg38_chr8:114310630-114310640(+) TTATAATGGAA >hg38_chr8:114332044-114332054(+) CAACAATGAAA >hg38_chr8:114449980-114449990(-) GAACAATGGAA >hg38_chr8:114480469-114480479(-) ggacaataaga >hg38_chr8:114481396-114481406(+) GAACAATGGAC >hg38_chr8:114481886-114481896(-) GAACAATAGAA >hg38_chr8:114553640-114553650(+) TAACAATAAAG >hg38_chr8:114611879-114611889(-) agataatggaa >hg38_chr8:114619156-114619166(+) TAACAATAAAC >hg38_chr8:114658413-114658423(-) GTACAATAGGA >hg38_chr8:114769435-114769445(+) aaacaaaggaa >hg38_chr8:114837408-114837418(+) gcacaatgtga >hg38_chr8:114928623-114928633(-) acacaataaaa >hg38_chr8:114928645-114928655(-) atacaaaggta >hg38_chr8:114938148-114938158(-) agataatgggg >hg38_chr8:114941184-114941194(-) GCACAATGAGT >hg38_chr8:114992877-114992887(-) ATACAATAGGA >hg38_chr8:115001971-115001981(-) atacaatgttg >hg38_chr8:115028539-115028549(+) tcacaatgttt >hg38_chr8:115088209-115088219(-) taacaatggaa >hg38_chr8:115127095-115127105(-) AAACAATGTTA >hg38_chr8:115128962-115128972(-) ggacaataata >hg38_chr8:115129004-115129014(+) agacaatatac >hg38_chr8:115157480-115157490(+) CTACAATGAAC >hg38_chr8:115174978-115174988(+) agacaatgaat >hg38_chr8:115218792-115218802(+) GAACAAAGGAG >hg38_chr8:115239284-115239294(-) AGACAATGGAA >hg38_chr8:115255592-115255602(+) CCACAATGAAA >hg38_chr8:115255639-115255649(+) GCACAATAGCC >hg38_chr8:115264460-115264470(-) TAACAATGGTA >hg38_chr8:115264492-115264502(+) CAACAATGTTA >hg38_chr8:115275871-115275881(-) CTACAATGATC >hg38_chr8:115275916-115275926(+) AAACAATGTTG >hg38_chr8:115290727-115290737(+) TGACAATAGTA >hg38_chr8:115327035-115327045(+) tgacaatagtc >hg38_chr8:115350170-115350180(-) CTACAATGCTt >hg38_chr8:115394239-115394249(-) tgacaatgtta >hg38_chr8:115407312-115407322(-) ACACAaaggaa >hg38_chr8:115407394-115407404(+) TGACAATGATG >hg38_chr8:115418545-115418555(+) CAACAATGACA >hg38_chr8:115419742-115419752(+) TCACAATGTAT >hg38_chr8:115448246-115448256(-) AAACAATACGA >hg38_chr8:115467978-115467988(-) AAACAAAGGCT >hg38_chr8:115477692-115477702(+) gtacaaaggct >hg38_chr8:115490593-115490603(-) ACACAATATAA >hg38_chr8:115490644-115490654(-) TAACAATAACA >hg38_chr8:115494070-115494080(+) TAACAATGGGA >hg38_chr8:115541962-115541972(+) CAACAATGCAA >hg38_chr8:115554900-115554910(+) ggacaaaggga >hg38_chr8:115578544-115578554(-) ataccatggaa >hg38_chr8:115600658-115600668(+) GAACAAAGGCT >hg38_chr8:115612401-115612411(+) gaacaatggga >hg38_chr8:115628286-115628296(+) TTACAATGAAC >hg38_chr8:115687810-115687820(+) TAATAATAGTA >hg38_chr8:115687827-115687837(-) ACACAATGCTT >hg38_chr8:115720991-115721001(+) aaacaatgctc >hg38_chr8:115739380-115739390(-) TAACAATGGTG >hg38_chr8:115742586-115742596(+) ACACAATGCAG >hg38_chr8:115809499-115809509(+) ATACAATAACT >hg38_chr8:115809531-115809541(+) ACACAATAGAG >hg38_chr8:115825322-115825332(-) gtacaaaggct >hg38_chr8:115849369-115849379(+) TGACTATGGTC >hg38_chr8:115862392-115862402(+) TAACAAAGGGG >hg38_chr8:115885653-115885663(-) acacaatgcat >hg38_chr8:115926362-115926372(-) ttacaaaggtt >hg38_chr8:115994993-115995003(-) tcataatgggc >hg38_chr8:116038812-116038822(-) TGATAATGGAG >hg38_chr8:116038875-116038885(-) AGACAATAGAA >hg38_chr8:116065427-116065437(+) ctATAATGgag >hg38_chr8:116091130-116091140(+) TAATAATGGGA >hg38_chr8:116096602-116096612(+) AAACAATGCAG >hg38_chr8:116096633-116096643(-) AAACAATAGAA >hg38_chr8:116144234-116144244(+) GCACAATGATG >hg38_chr8:116268492-116268502(+) taacaatatta >hg38_chr8:116277916-116277926(+) gcataatggta >hg38_chr8:116282308-116282318(+) TAACAATGAGT >hg38_chr8:116302206-116302216(+) GGACAAAGGTT >hg38_chr8:116336367-116336377(-) GAACAATGCTT >hg38_chr8:116338556-116338566(+) GAACAATAAGT >hg38_chr8:116355782-116355792(-) CAACAATGCCA >hg38_chr8:116355961-116355971(+) TCACAATGCAA >hg38_chr8:116472047-116472057(+) AAACAATGGAG >hg38_chr8:116475730-116475740(-) TAACAATGAGA >hg38_chr8:116517613-116517623(+) ATACAATATCA >hg38_chr8:116518604-116518614(+) ATACAATAATT >hg38_chr8:116540840-116540850(-) TAACATTGGTA >hg38_chr8:116646932-116646942(+) TGACAATGAGG >hg38_chr8:116653496-116653506(-) TAACAATAAGT >hg38_chr8:116666255-116666265(+) GAATAATGGAA >hg38_chr8:116666284-116666294(-) CGACAATGCCT >hg38_chr8:116674670-116674680(-) atacaatacac >hg38_chr8:116707930-116707940(-) AGACAAAGGCA >hg38_chr8:116716290-116716300(-) agataatggta >hg38_chr8:116719152-116719162(+) GGACAACGGAT >hg38_chr8:116742869-116742879(-) TTACACTGGAT >hg38_chr8:116751797-116751807(-) GAACAATGTCT >hg38_chr8:116758434-116758444(+) caacaatggat >hg38_chr8:116771520-116771530(+) ACACTATGGTT >hg38_chr8:116771557-116771567(-) TTACAAAGGCA >hg38_chr8:116784551-116784561(+) ttacaatgttg >hg38_chr8:116798745-116798755(+) gaacaatggaa >hg38_chr8:116798760-116798770(+) ttacaataagt >hg38_chr8:116806872-116806882(+) TAACAAAGGGA >hg38_chr8:116806888-116806898(+) TCACAATGAAG >hg38_chr8:116815602-116815612(-) GTACAATGGTG >hg38_chr8:116816383-116816393(-) AGACAAAGGGA >hg38_chr8:116820297-116820307(-) gaacaatagac >hg38_chr8:116820692-116820702(-) tgacaaaggta >hg38_chr8:116848631-116848641(+) ATACAAAGGAG >hg38_chr8:116849742-116849752(+) AGATAATGGCA >hg38_chr8:116863539-116863549(-) AAACAATGGCC >hg38_chr8:116868285-116868295(+) gcacaatgctt >hg38_chr8:116887759-116887769(+) agacaaaggtt >hg38_chr8:116890411-116890421(+) CCACAATGACT >hg38_chr8:116896824-116896834(-) gtacaatgtta >hg38_chr8:116934130-116934140(+) ACACAATGAGA >hg38_chr8:116945283-116945293(+) ATACAATGGGA >hg38_chr8:116945312-116945322(-) TAATAATGATA >hg38_chr8:116966904-116966914(+) ATATAATGGCC >hg38_chr8:117034106-117034116(+) AAACAATGAGT >hg38_chr8:117039631-117039641(-) CAACAATGTCC >hg38_chr8:117063044-117063054(+) caacaatgcga >hg38_chr8:117109651-117109661(+) TGACAATGCTG >hg38_chr8:117144125-117144135(+) CAACAATGTGT >hg38_chr8:117171343-117171353(+) CAACAATGATA >hg38_chr8:117172265-117172275(-) TTACTATGGAA >hg38_chr8:117172303-117172313(+) TAACAGTGGAC >hg38_chr8:117180673-117180683(-) tcacaatgtgg >hg38_chr8:117180701-117180711(+) ttacaataaaa >hg38_chr8:117240067-117240077(-) AGACAATGGCA >hg38_chr8:117283498-117283508(+) tcacaataggt >hg38_chr8:117335841-117335851(-) CTACAATGCTT >hg38_chr8:117346666-117346676(+) aaacaaaggaa >hg38_chr8:117353709-117353719(+) tgactatggga >hg38_chr8:117353717-117353727(+) ggacaatagca >hg38_chr8:117355911-117355921(+) CTACAATGATA >hg38_chr8:117358015-117358025(+) AAACAATGAAC >hg38_chr8:117370176-117370186(-) tcacaatagcc >hg38_chr8:117370737-117370747(-) atacaatggaa >hg38_chr8:117380290-117380300(+) GCACAATGCTT >hg38_chr8:117380525-117380535(+) GGACAATGCCT >hg38_chr8:117386981-117386991(-) GAACAAAGGga >hg38_chr8:117388146-117388156(+) GGACAATGCAA >hg38_chr8:117408283-117408293(+) AGACACTGGAC >hg38_chr8:117503054-117503064(+) TGACAATGCTC >hg38_chr8:117507820-117507830(+) TTACAATGTAC >hg38_chr8:117599484-117599494(+) atacaatgatg >hg38_chr8:117599807-117599817(+) GGACAATGGCT >hg38_chr8:117605473-117605483(+) ATACAATGCCT >hg38_chr8:117623295-117623305(-) tcacaatgtca >hg38_chr8:117641941-117641951(-) ccacaatgaaa >hg38_chr8:117642508-117642518(-) gaacaatgttt >hg38_chr8:117646658-117646668(+) tgacaatgttt >hg38_chr8:117646670-117646680(+) agacaatgact >hg38_chr8:117661447-117661457(+) taataatgata >hg38_chr8:117703099-117703109(-) GTATAATGtat >hg38_chr8:117731642-117731652(+) GCACAATGTTG >hg38_chr8:117762724-117762734(+) CCACAATGCTA >hg38_chr8:117785352-117785362(+) gtacaatgttg >hg38_chr8:117826928-117826938(+) TTACAATAGAA >hg38_chr8:117834103-117834113(-) AAACAAAGGAG >hg38_chr8:117834126-117834136(+) GGACTATGGAG >hg38_chr8:117839557-117839567(+) GAACAATGATT >hg38_chr8:117880107-117880117(+) AAACAATAAAT >hg38_chr8:117880117-117880127(-) GTAGAATGGTA >hg38_chr8:117886338-117886348(-) GAacactggaa >hg38_chr8:117897260-117897270(-) GGACAATGTGC >hg38_chr8:117901076-117901086(-) AAACGATGGAT >hg38_chr8:117901130-117901140(+) taacaatgggg >hg38_chr8:117902554-117902564(-) caacaatgacg >hg38_chr8:117902573-117902583(-) TAACAATGCAT >hg38_chr8:117924391-117924401(+) GAACAATGCTA >hg38_chr8:117927633-117927643(-) TCACAATGTGT >hg38_chr8:117931089-117931099(-) aaacaaagggt >hg38_chr8:117937633-117937643(+) ATACAATAAAG >hg38_chr8:117967670-117967680(+) TAATAATGGAA >hg38_chr8:117967678-117967688(+) GAACTATGGCT >hg38_chr8:117980933-117980943(-) AGACAACGGCT >hg38_chr8:117981321-117981331(+) gaacaaaggat >hg38_chr8:117989481-117989491(+) tcataatggag >hg38_chr8:118046803-118046813(+) GTATAATGGAA >hg38_chr8:118049497-118049507(+) GCACAAAGGGA >hg38_chr8:118052883-118052893(-) ACACAAAGGAG >hg38_chr8:118074396-118074406(-) GCACAATAGCT >hg38_chr8:118077873-118077883(-) ACACAATCGAA >hg38_chr8:118079522-118079532(-) GAACAATGCCT >hg38_chr8:118111265-118111275(+) GCACAATGCAC >hg38_chr8:118115366-118115376(+) taacaatgggg >hg38_chr8:118145548-118145558(-) agacaatgagc >hg38_chr8:118230123-118230133(+) TAACAATGata >hg38_chr8:118262624-118262634(+) TTACAATGAAG >hg38_chr8:118352603-118352613(+) AGACAATGGGG >hg38_chr8:118392013-118392023(-) caacaatgacc >hg38_chr8:118416666-118416676(+) GCACAATGGAA >hg38_chr8:118439882-118439892(+) GGACAATGTTT >hg38_chr8:118456756-118456766(-) atacaatgcta >hg38_chr8:118457701-118457711(+) GTACAATATCC >hg38_chr8:118470667-118470677(-) TCACAATGATA >hg38_chr8:118470968-118470978(+) acacaatgttg >hg38_chr8:118505312-118505322(-) AGACAATAGAT >hg38_chr8:118509802-118509812(-) AGACAATAGTC >hg38_chr8:118509877-118509887(-) TTACAATGTTA >hg38_chr8:118512802-118512812(-) gaacaatggag >hg38_chr8:118513731-118513741(-) agacaatagag >hg38_chr8:118563375-118563385(-) TGACAATGGAA >hg38_chr8:118600874-118600884(+) GAACAATGTGT >hg38_chr8:118602723-118602733(+) ttacaatgaag >hg38_chr8:118603678-118603688(+) acacaaaggca >hg38_chr8:118646069-118646079(+) AGACAATGAAT >hg38_chr8:118671998-118672008(-) ttacaatggtg >hg38_chr8:118838004-118838014(-) ccacaatgagg >hg38_chr8:118865749-118865759(+) taacaatgaca >hg38_chr8:118944738-118944748(-) TGATAATGGGT >hg38_chr8:118944783-118944793(+) AAATAATGGTG >hg38_chr8:118950306-118950316(+) atacaatggaa >hg38_chr8:118950330-118950340(+) tgacaacggac >hg38_chr8:118957698-118957708(-) AGACAATGACC >hg38_chr8:118975142-118975152(+) AAACAATAAAA >hg38_chr8:118984053-118984063(+) tcacaatagca >hg38_chr8:118986399-118986409(+) GAACAATGTGA >hg38_chr8:118988909-118988919(+) atacaatatgc >hg38_chr8:119023668-119023678(+) GAACAATGGCA >hg38_chr8:119023674-119023684(-) AAACAATGCCA >hg38_chr8:119043332-119043342(+) AAACAATAGCA >hg38_chr8:119055207-119055217(+) ATACAATAGGT >hg38_chr8:119077043-119077053(+) ggacaatgtca >hg38_chr8:119101912-119101922(+) TAACAATGGTA >hg38_chr8:119121811-119121821(-) TAACAATAATA >hg38_chr8:119124184-119124194(-) gaacaaaggaa >hg38_chr8:119175358-119175368(+) taacaatgcta >hg38_chr8:119240398-119240408(+) CAACAATAGTT >hg38_chr8:119242052-119242062(-) ATACAATGGGA >hg38_chr8:119242569-119242579(+) AAACAATGTCT >hg38_chr8:119327719-119327729(-) GAACAATAGAC >hg38_chr8:119347529-119347539(+) tgacaatgaat >hg38_chr8:119347556-119347566(-) agacaatgtga >hg38_chr8:119410105-119410115(+) aaacaatgact >hg38_chr8:119425115-119425125(-) gcacaatgctg >hg38_chr8:119425993-119426003(+) aaacaatgtgt >hg38_chr8:119519580-119519590(+) agacaatgggt >hg38_chr8:119519620-119519630(-) atacaaaggtt >hg38_chr8:119586018-119586028(-) AGACAATATAT >hg38_chr8:119586723-119586733(+) GAACAATGGAG >hg38_chr8:119595472-119595482(-) AGACAATGTGG >hg38_chr8:119620088-119620098(+) GGACAATGGGG >hg38_chr8:119635927-119635937(-) CAACAATAGCT >hg38_chr8:119657805-119657815(-) TCACAATGACC >hg38_chr8:119657865-119657875(+) TAACAATGCTT >hg38_chr8:119667149-119667159(+) caacaaaggac >hg38_chr8:119672653-119672663(+) GGACAAAGGAC >hg38_chr8:119673834-119673844(+) ttacaaagggc >hg38_chr8:119673876-119673886(+) aaacaatgccg >hg38_chr8:119685808-119685818(-) gaacaatagac >hg38_chr8:119737038-119737048(-) ttacaatgtat >hg38_chr8:119737094-119737104(+) atacaaaggct >hg38_chr8:119741953-119741963(+) AAACAATGTGA >hg38_chr8:119751960-119751970(-) CGACAATATGA >hg38_chr8:119753427-119753437(-) GGACAATAGAT >hg38_chr8:119753486-119753496(-) GAACAATGGGA >hg38_chr8:119753495-119753505(-) GAACAATATGA >hg38_chr8:119759077-119759087(+) CAACAATGACA >hg38_chr8:119777588-119777598(+) ACACAATGGTA >hg38_chr8:119793278-119793288(+) GTACAATGAAA >hg38_chr8:119793351-119793361(+) GAACAATAAAC >hg38_chr8:119820741-119820751(-) GAACAAAGGGG >hg38_chr8:119896439-119896449(-) CCACAATAGCT >hg38_chr8:120073398-120073408(-) GAATAATGACG >hg38_chr8:120106285-120106295(-) aaacaatggaa >hg38_chr8:120167295-120167305(-) AAACAATGATT >hg38_chr8:120204677-120204687(+) ggacaatgccc >hg38_chr8:120204692-120204702(+) gtacaatggct >hg38_chr8:120294338-120294348(+) TAACAATGAAA >hg38_chr8:120295193-120295203(+) TCATAATGGGA >hg38_chr8:120295232-120295242(-) TTACAATGCCA >hg38_chr8:120295512-120295522(-) CAACaatagca >hg38_chr8:120296872-120296882(-) ACACAATGTGG >hg38_chr8:120324744-120324754(-) TGACAATGGTA >hg38_chr8:120342286-120342296(+) GAACAATGGGG >hg38_chr8:120366817-120366827(-) ACACAATAGAA >hg38_chr8:120372244-120372254(-) GAACAATAAAA >hg38_chr8:120377845-120377855(+) GAATAATGGGC >hg38_chr8:120403951-120403961(+) TAACAATAAGA >hg38_chr8:120413004-120413014(+) acacaataaat >hg38_chr8:120419879-120419889(-) GAACAATGATG >hg38_chr8:120423598-120423608(+) aaataatggaa >hg38_chr8:120443809-120443819(+) GAACAATGTAG >hg38_chr8:120444012-120444022(+) aaacaatgctg >hg38_chr8:120454312-120454322(-) TGACAATGCAT >hg38_chr8:120455091-120455101(+) GTACAATAGCA >hg38_chr8:120455169-120455179(-) AAACAATAAAC >hg38_chr8:120455566-120455576(-) TCACAATGATT >hg38_chr8:120456206-120456216(+) gaacaatatag >hg38_chr8:120456248-120456258(-) GCACAATGTCA >hg38_chr8:120482444-120482454(+) GAACAATAAAG >hg38_chr8:120512934-120512944(-) CCACAATAACG >hg38_chr8:120512974-120512984(+) ATACAAAGGAA >hg38_chr8:120580686-120580696(+) GCACAAAGGAC >hg38_chr8:120589877-120589887(-) GTACAatggac >hg38_chr8:120593936-120593946(-) GAACAATGAAA >hg38_chr8:120625725-120625735(+) ACACAATAGGA >hg38_chr8:120631315-120631325(-) GGACAATGCTG >hg38_chr8:120669234-120669244(-) GAACAAAGGAT >hg38_chr8:120675572-120675582(-) AGACAATGATA >hg38_chr8:120677975-120677985(-) AGACAATCGGA >hg38_chr8:120687683-120687693(-) AAACAATGTGG >hg38_chr8:120687761-120687771(+) TCACAATGAGA >hg38_chr8:120700294-120700304(+) AAACTATGGCA >hg38_chr8:120739378-120739388(+) CAACAATGGGG >hg38_chr8:120739398-120739408(+) AGACAATGGCA >hg38_chr8:120810407-120810417(+) CAACAATGAGG >hg38_chr8:120868541-120868551(-) taacaatgctg >hg38_chr8:120869021-120869031(-) taacaatagct >hg38_chr8:120878569-120878579(-) agacaatggat >hg38_chr8:120895751-120895761(-) agactatggtt >hg38_chr8:120938965-120938975(-) AAACAATGGAA >hg38_chr8:120971149-120971159(+) AGACAACGGAT >hg38_chr8:121000661-121000671(-) acacaataaat >hg38_chr8:121012840-121012850(+) ttacaatgtaa >hg38_chr8:121012920-121012930(+) acacaatgctt >hg38_chr8:121031923-121031933(-) TCACAATGAAT >hg38_chr8:121067109-121067119(+) aaacaatagct >hg38_chr8:121083464-121083474(-) TGACAATGACA >hg38_chr8:121083470-121083480(-) TAACAATGACA >hg38_chr8:121083685-121083695(+) CAATAATGGAC >hg38_chr8:121096553-121096563(-) ctacaatgctg >hg38_chr8:121104452-121104462(+) ttacaataata >hg38_chr8:121106652-121106662(-) gtacaaaggag >hg38_chr8:121145682-121145692(-) AAACAATGTGT >hg38_chr8:121148034-121148044(-) ACACAATGTTC >hg38_chr8:121148313-121148323(+) TCATAATGGCA >hg38_chr8:121169265-121169275(-) ACACAATAGCT >hg38_chr8:121246241-121246251(-) TCACAATGAAT >hg38_chr8:121281182-121281192(-) ACACAAAGGCA >hg38_chr8:121281240-121281250(+) AAACAATGAAA >hg38_chr8:121306031-121306041(+) CAATAATGGTC >hg38_chr8:121312235-121312245(+) atacaatagct >hg38_chr8:121325592-121325602(+) gaacaataaag >hg38_chr8:121369290-121369300(-) ctacaatagat >hg38_chr8:121448929-121448939(+) AAACTATGGTT >hg38_chr8:121449456-121449466(+) agacaatgcca >hg38_chr8:121449516-121449526(+) GAACAATAAAC >hg38_chr8:121520923-121520933(+) acataatggtc >hg38_chr8:121548714-121548724(-) AGACAATGCAG >hg38_chr8:121560703-121560713(+) TTACAATAAGA >hg38_chr8:121586054-121586064(+) GCACAATGCCA >hg38_chr8:121649997-121650007(-) ATATAATGTAT >hg38_chr8:121669348-121669358(-) AAACAATAAAT >hg38_chr8:121711700-121711710(-) TGACAATGGGT >hg38_chr8:121711710-121711720(-) TCACAATAGAT >hg38_chr8:121724546-121724556(-) GAACAATGCAG >hg38_chr8:121748911-121748921(+) CAACAATGTGG >hg38_chr8:121787820-121787830(-) TAACAATGTCC >hg38_chr8:121787833-121787843(+) TGACAATGGAT >hg38_chr8:121788867-121788877(-) AGACAATGTAC >hg38_chr8:121810450-121810460(+) GAACAATGGTA >hg38_chr8:121844117-121844127(+) GAACAAAGGCA >hg38_chr8:121851740-121851750(+) AAACAATGTGC >hg38_chr8:121873522-121873532(-) atacaatgtag >hg38_chr8:121873600-121873610(-) agacaatagaa >hg38_chr8:121896803-121896813(-) TTACAATGGAA >hg38_chr8:121896824-121896834(-) GTACAATGTTT >hg38_chr8:121942888-121942898(+) AAACAATGTCA >hg38_chr8:121959309-121959319(+) TCACAATGCTA >hg38_chr8:121977676-121977686(-) TTACAATAGCT >hg38_chr8:122010784-122010794(+) GCACAATATAT >hg38_chr8:122011124-122011134(-) AAACAAAGGAG >hg38_chr8:122011672-122011682(-) TTACAATAACC >hg38_chr8:122022518-122022528(+) CTACAATAGAG >hg38_chr8:122058028-122058038(+) AGACAATGATG >hg38_chr8:122081082-122081092(-) taacaatagct >hg38_chr8:122110550-122110560(+) gtacaatatat >hg38_chr8:122122137-122122147(+) atacaataaat >hg38_chr8:122122145-122122155(-) taacaataatt >hg38_chr8:122122293-122122303(+) AAATAATGGAG >hg38_chr8:122122711-122122721(-) TGACAATGTAT >hg38_chr8:122126980-122126990(+) AGACAAAGGTT >hg38_chr8:122133464-122133474(+) TAACAATAAGC >hg38_chr8:122150665-122150675(-) AGACAATGCGG >hg38_chr8:122174599-122174609(-) gaacaatatac >hg38_chr8:122233330-122233340(-) ATACAACGTAT >hg38_chr8:122236432-122236442(-) ttacaataaac >hg38_chr8:122281152-122281162(-) GAACAATGAAG >hg38_chr8:122288624-122288634(-) ATACAATAATG >hg38_chr8:122288646-122288656(+) TCACAACGGTA >hg38_chr8:122349219-122349229(+) GTATAATGGCA >hg38_chr8:122363965-122363975(-) TCACAATGCTG >hg38_chr8:122364826-122364836(+) acacaatgggt >hg38_chr8:122372257-122372267(+) CAACAATGAGT >hg38_chr8:122386147-122386157(-) AAACAATGGGG >hg38_chr8:122422565-122422575(-) taacagtggga >hg38_chr8:122457697-122457707(-) TGACAATGTGG >hg38_chr8:122465824-122465834(+) AAACAATGCCA >hg38_chr8:122478568-122478578(-) tgacaatgcaA >hg38_chr8:122489822-122489832(-) GCACAATAGGG >hg38_chr8:122499033-122499043(+) CCACAATAGCG >hg38_chr8:122515668-122515678(-) GTACAATAAAC >hg38_chr8:122568614-122568624(+) ACACAATGGAA >hg38_chr8:122623429-122623439(+) ACACAATATAA >hg38_chr8:122623437-122623447(+) TAATAATGGAA >hg38_chr8:122678936-122678946(-) GGACAAAGGGC >hg38_chr8:122737151-122737161(+) TAACAATAAAA >hg38_chr8:122743327-122743337(+) caacaatgtac >hg38_chr8:122758872-122758882(+) TAATAATGGAC >hg38_chr8:122758881-122758891(-) AAACAATGAGT >hg38_chr8:122789186-122789196(+) ATACAATAAAA >hg38_chr8:122789200-122789210(-) TTACAATGATC >hg38_chr8:122808946-122808956(+) AAACAAAGGGA >hg38_chr8:122864873-122864883(-) GCACAAAGGAA >hg38_chr8:122882252-122882262(-) GAACAATAACC >hg38_chr8:122882271-122882281(+) AAACAATAGCC >hg38_chr8:122882287-122882297(-) GAACAATGCAA >hg38_chr8:122890561-122890571(+) taacaatacta >hg38_chr8:122890644-122890654(-) aaacaatagaa >hg38_chr8:122895978-122895988(-) CAATAATGGTA >hg38_chr8:122896403-122896413(+) acacaatgATG >hg38_chr8:122947649-122947659(-) aaacaatgcct >hg38_chr8:122994320-122994330(+) TGACAATGATG >hg38_chr8:122994860-122994870(+) gcacaatgtca >hg38_chr8:123014007-123014017(+) GCACAATGTTT >hg38_chr8:123025682-123025692(+) AAACAATGTGG >hg38_chr8:123034072-123034082(+) TTACAAAGGCC >hg38_chr8:123036018-123036028(+) ACACAACGGGT >hg38_chr8:123072549-123072559(-) GGACAATGTAA >hg38_chr8:123112595-123112605(-) aaataatggtg >hg38_chr8:123205364-123205374(-) GGACAAAGGGC >hg38_chr8:123235959-123235969(+) ACACAATGAAA >hg38_chr8:123248038-123248048(+) acacaataaaa >hg38_chr8:123500444-123500454(-) TGATAATGGAA >hg38_chr8:123513573-123513583(-) AGATAATGGAA >hg38_chr8:123513635-123513645(-) GAACAATGAAA >hg38_chr8:123534786-123534796(-) ATACAATAAGG >hg38_chr8:123535071-123535081(-) TTACAATGCAA >hg38_chr8:123573273-123573283(-) GAACAATGGAA >hg38_chr8:123580666-123580676(-) ttacaatgggg >hg38_chr8:123610832-123610842(+) gaacaaaggtt >hg38_chr8:123610864-123610874(-) tcacaataggt >hg38_chr8:123622991-123623001(+) AGATAATGGCA >hg38_chr8:123625361-123625371(-) AAACAATAGCA >hg38_chr8:123658285-123658295(+) AGACAAAGGCA >hg38_chr8:123662283-123662293(-) GAACAATGTGC >hg38_chr8:123714180-123714190(-) ACACAATGGGG >hg38_chr8:123767442-123767452(+) ACATAATGGGG >hg38_chr8:123778111-123778121(-) AAACAATGAAA >hg38_chr8:123809529-123809539(-) tcacaatggat >hg38_chr8:123869916-123869926(+) ttacaaaggtg >hg38_chr8:123995138-123995148(-) aaacaatgcag >hg38_chr8:124023376-124023386(-) gAACAAAGGCA >hg38_chr8:124034015-124034025(+) GCACAATAGAA >hg38_chr8:124039999-124040009(+) CAACAAAGGTA >hg38_chr8:124048222-124048232(+) AGACAATGAAG >hg38_chr8:124057283-124057293(-) aaacaatggaa >hg38_chr8:124057690-124057700(-) agacaatgcat >hg38_chr8:124057989-124057999(-) agacaatgtga >hg38_chr8:124076793-124076803(+) gaataatgggc >hg38_chr8:124081125-124081135(+) AAATAATGGGC >hg38_chr8:124085949-124085959(-) atataatgata >hg38_chr8:124086207-124086217(-) taacaataaca >hg38_chr8:124105038-124105048(+) gaacaaaggct >hg38_chr8:124126571-124126581(+) TTACAAAGGGC >hg38_chr8:124126607-124126617(-) ACACAATGCTT >hg38_chr8:124126626-124126636(-) gtacaatgatg >hg38_chr8:124137144-124137154(+) taacaataatg >hg38_chr8:124137277-124137287(-) TTACAATGCAA >hg38_chr8:124138819-124138829(+) CTACAATAGCT >hg38_chr8:124138873-124138883(+) tgacaatgcct >hg38_chr8:124155389-124155399(-) gtacaatggga >hg38_chr8:124175278-124175288(-) TAACAATGGAA >hg38_chr8:124186617-124186627(+) tgacaaaggaa >hg38_chr8:124197320-124197330(+) CCACAATGAAC >hg38_chr8:124211192-124211202(+) GGACAAAGGAA >hg38_chr8:124272135-124272145(+) GAACAatgtaa >hg38_chr8:124298496-124298506(+) ttacaatagct >hg38_chr8:124299606-124299616(-) acacaatgaaa >hg38_chr8:124309309-124309319(-) gaacaatggag >hg38_chr8:124311561-124311571(+) TAACTATGGTT >hg38_chr8:124326851-124326861(+) CTACAATGGAA >hg38_chr8:124326906-124326916(+) AAACAATGGCT >hg38_chr8:124327404-124327414(-) CCACAATGCGA >hg38_chr8:124355100-124355110(+) TAATAATGGGA >hg38_chr8:124364211-124364221(+) ACACAATGGAA >hg38_chr8:124364257-124364267(+) AAACAATAACA >hg38_chr8:124376936-124376946(+) tcacaatggaa >hg38_chr8:124425391-124425401(-) GGACAATGCAG >hg38_chr8:124428050-124428060(+) ACACAATGGCT >hg38_chr8:124484653-124484663(-) CAACAATGGGA >hg38_chr8:124484683-124484693(-) TTACAATAAAC >hg38_chr8:124511911-124511921(+) gcacaaaggga >hg38_chr8:124538457-124538467(-) GTACAATGATC >hg38_chr8:124566514-124566524(-) ACACTATGGGA >hg38_chr8:124597555-124597565(-) GGACAATGCCA >hg38_chr8:124720610-124720620(-) TTACAAAGGTC >hg38_chr8:124796212-124796222(-) GGACAATGTGC >hg38_chr8:124804108-124804118(-) ACACAATGCCT >hg38_chr8:124834777-124834787(-) GGATAATGGCT >hg38_chr8:124909326-124909336(+) ctacaatgggt >hg38_chr8:124924702-124924712(+) atataatggac >hg38_chr8:124947124-124947134(+) aaacaatagag >hg38_chr8:124958100-124958110(-) TAACACTGGGA >hg38_chr8:124964238-124964248(-) taacaaaggtg >hg38_chr8:125011272-125011282(-) AAACAATGAGG >hg38_chr8:125012499-125012509(-) atacaatgcct >hg38_chr8:125012542-125012552(+) gcacaatgttt >hg38_chr8:125012971-125012981(-) gcacaatgata >hg38_chr8:125026696-125026706(-) atacaatggta >hg38_chr8:125026739-125026749(-) gaacaatgagc >hg38_chr8:125035708-125035718(-) TGACAATGCCT >hg38_chr8:125036302-125036312(+) AAACAAAGGTC >hg38_chr8:125036331-125036341(+) GGACAATGAAC >hg38_chr8:125101041-125101051(+) TGACAATGAGT >hg38_chr8:125109135-125109145(-) TTACAATGAGG >hg38_chr8:125112090-125112100(+) taacaataacc >hg38_chr8:125112458-125112468(+) tcacaatagcc >hg38_chr8:125131048-125131058(-) gaacaaaggga >hg38_chr8:125131101-125131111(-) caacaatgtaa >hg38_chr8:125151239-125151249(-) ACATAATGGTT >hg38_chr8:125192711-125192721(+) CAATAATGGAA >hg38_chr8:125194036-125194046(-) ATACAATACAT >hg38_chr8:125231344-125231354(-) GAACAATAGAC >hg38_chr8:125231393-125231403(+) GAACAAAGGGA >hg38_chr8:125231418-125231428(-) AAACAAAGGTC >hg38_chr8:125254359-125254369(+) TTACAATGGTA >hg38_chr8:125273408-125273418(+) TAACTATGGTA >hg38_chr8:125273471-125273481(+) TCACAATGCCT >hg38_chr8:125296743-125296753(-) CAACAATACGA >hg38_chr8:125334264-125334274(-) TGACAATGATC >hg38_chr8:125354489-125354499(-) taacaatagta >hg38_chr8:125429822-125429832(-) GCACAATGGGC >hg38_chr8:125446898-125446908(-) gtacaatggaa >hg38_chr8:125505575-125505585(-) GGACAATGGTG >hg38_chr8:125514958-125514968(+) aaataatggtg >hg38_chr8:125628767-125628777(-) ATACAATATAA >hg38_chr8:125637222-125637232(+) ATACAATGAGG >hg38_chr8:125772803-125772813(-) GAACAATATGA >hg38_chr8:125773228-125773238(+) TTACAAAGGGT >hg38_chr8:125853795-125853805(-) GGACAATGCCT >hg38_chr8:125887026-125887036(+) Aaacaatgaac >hg38_chr8:125897139-125897149(+) TCACAATGCTT >hg38_chr8:125924841-125924851(+) GAACAATGTTG >hg38_chr8:125928234-125928244(+) atacaaTAGAG >hg38_chr8:125928278-125928288(-) CAACAATGAAA >hg38_chr8:125948172-125948182(-) aaacaatgaac >hg38_chr8:126022252-126022262(-) atataatggca >hg38_chr8:126022313-126022323(+) taacaatgggt >hg38_chr8:126022800-126022810(-) AAACAAAGGAA >hg38_chr8:126140880-126140890(-) AAACAATGGAG >hg38_chr8:126224101-126224111(+) TTACAATGCAA >hg38_chr8:126295917-126295927(+) CCACAATAGGA >hg38_chr8:126334022-126334032(-) GTATAATGGGG >hg38_chr8:126334029-126334039(+) ATACAATGTAA >hg38_chr8:126359841-126359851(+) agacaatgacc >hg38_chr8:126359850-126359860(-) gaataatgggg >hg38_chr8:126372522-126372532(-) tcacaataggt >hg38_chr8:126379864-126379874(-) TAACAATAGAT >hg38_chr8:126423305-126423315(+) tgacaATagat >hg38_chr8:126426485-126426495(+) AGACAATGGCT >hg38_chr8:126448857-126448867(+) ccacaatggcc >hg38_chr8:126450720-126450730(-) acacaatgaga >hg38_chr8:126454385-126454395(+) GTACAAAGGAC >hg38_chr8:126471390-126471400(-) GGACAATAGAA >hg38_chr8:126492576-126492586(+) GAACAATAGGA >hg38_chr8:126498041-126498051(+) TGACAATGACT >hg38_chr8:126498059-126498069(+) TCACAAAGGAA >hg38_chr8:126547373-126547383(+) GAACAATAGAA >hg38_chr8:126572020-126572030(-) GGATAATGGAA >hg38_chr8:126580323-126580333(-) TAACAGTGGCG >hg38_chr8:126631069-126631079(+) AAACAATAACA >hg38_chr8:126681908-126681918(+) TAACAATAACA >hg38_chr8:126681914-126681924(+) TAACAATAAAA >hg38_chr8:126765128-126765138(-) aaacaaaggcc >hg38_chr8:126786425-126786435(-) tcacaatgaaa >hg38_chr8:126788638-126788648(-) agacaatgaag >hg38_chr8:126795898-126795908(-) acacaatggag >hg38_chr8:126797830-126797840(-) TTACAATagca >hg38_chr8:126853874-126853884(+) GCACAATGCTT >hg38_chr8:126882486-126882496(-) tcacaatggca >hg38_chr8:126887639-126887649(+) ttacaataacc >hg38_chr8:126896776-126896786(+) ctacaatgtaa >hg38_chr8:126903790-126903800(+) ATACAAAGCGA >hg38_chr8:126911566-126911576(-) ATACAATAGGC >hg38_chr8:126951275-126951285(-) agacaatgaaa >hg38_chr8:126951309-126951319(-) ctacaatgagt >hg38_chr8:126961011-126961021(+) ACACAATGCCC >hg38_chr8:126976621-126976631(-) ACACAATGAAG >hg38_chr8:126976668-126976678(-) ATACAATATAG >hg38_chr8:126978216-126978226(-) ATACAAAGGAG >hg38_chr8:126978426-126978436(-) GTACAATAGAA >hg38_chr8:126986436-126986446(-) ctacaatgata >hg38_chr8:126990545-126990555(-) TCACAATGGTA >hg38_chr8:127081307-127081317(+) atacaattgta >hg38_chr8:127215432-127215442(-) CGACAATAACT >hg38_chr8:127223149-127223159(+) CAACAATGAAA >hg38_chr8:127245208-127245218(+) GGACAATGTAA >hg38_chr8:127265781-127265791(-) GAACAATAATC >hg38_chr8:127277878-127277888(+) ttacaatggca >hg38_chr8:127277896-127277906(-) agacaatgact >hg38_chr8:127277907-127277917(-) agacaaaggaa >hg38_chr8:127277935-127277945(-) CAACAATGACT >hg38_chr8:127294082-127294092(-) AGACAATGAGG >hg38_chr8:127294917-127294927(+) GAACAAAGGAA >hg38_chr8:127330416-127330426(+) AAACAAAGGCA >hg38_chr8:127370754-127370764(-) TAACAATAAAC >hg38_chr8:127371339-127371349(+) AGACAAAGGAG >hg38_chr8:127387616-127387626(+) taataatagta >hg38_chr8:127459160-127459170(+) caacaatagtt >hg38_chr8:127459177-127459187(+) caacaatagta >hg38_chr8:127459215-127459225(+) gtacaatggaa >hg38_chr8:127478581-127478591(+) AGACAAAGGAG >hg38_chr8:127501140-127501150(+) CTACAATGCAC >hg38_chr8:127516736-127516746(-) tcacaatggtt >hg38_chr8:127548776-127548786(+) CAACAATGCAT >hg38_chr8:127553007-127553017(+) GGACAATGAGG >hg38_chr8:127585430-127585440(+) ggacaatggca >hg38_chr8:127661081-127661091(-) taacgatggtt >hg38_chr8:127661850-127661860(+) TAACAAtaacc >hg38_chr8:127707141-127707151(-) AAACAATGCCA >hg38_chr8:127744861-127744871(-) TGACAATGGAA >hg38_chr8:127781875-127781885(+) acacaatgtgg >hg38_chr8:127810406-127810416(-) TAATAATGGGA >hg38_chr8:127862931-127862941(-) TAACAAAGGGG >hg38_chr8:127878095-127878105(-) GAACAAAGGGG >hg38_chr8:127884633-127884643(-) acacaatgcca >hg38_chr8:127886895-127886905(-) tcacaatgtct >hg38_chr8:127889866-127889876(-) TAACAATAACT >hg38_chr8:127918468-127918478(+) CGACGATGGCT >hg38_chr8:127944456-127944466(+) gaacaataaga >hg38_chr8:128007564-128007574(+) gaacaatatag >hg38_chr8:128008744-128008754(+) GCACAATGCTA >hg38_chr8:128031313-128031323(-) CTACAATGCCC >hg38_chr8:128033719-128033729(+) TAACAATGGCC >hg38_chr8:128033776-128033786(-) GAACAAAGGAG >hg38_chr8:128059287-128059297(-) CAACAATGGCt >hg38_chr8:128075776-128075786(-) gaacaatgtag >hg38_chr8:128075784-128075794(-) aaacaatagaa >hg38_chr8:128087551-128087561(-) CAATAATGGGA >hg38_chr8:128150105-128150115(+) CAACAATGAAT >hg38_chr8:128171389-128171399(-) AGACAATGTAG >hg38_chr8:128171404-128171414(+) CTATAATGGCT >hg38_chr8:128171457-128171467(-) tcacaatgtaa >hg38_chr8:128196302-128196312(-) gtacaatgcca >hg38_chr8:128196326-128196336(-) TGACAATGTGG >hg38_chr8:128230308-128230318(-) atacaaaggta >hg38_chr8:128230347-128230357(-) aaacaatagca >hg38_chr8:128299293-128299303(+) agacaatatac >hg38_chr8:128299313-128299323(-) taacaaaggta >hg38_chr8:128299337-128299347(+) acacaatagtc >hg38_chr8:128320501-128320511(+) TAACAATGCAA >hg38_chr8:128330162-128330172(+) AAACAATAGAG >hg38_chr8:128342792-128342802(-) ATACAATACAT >hg38_chr8:128353161-128353171(+) AAACAAAGGTG >hg38_chr8:128359601-128359611(+) caacaatagta >hg38_chr8:128369986-128369996(-) GGACAATAGGG >hg38_chr8:128432366-128432376(-) AGACAATGTTG >hg38_chr8:128463701-128463711(-) GAACAATGAAG >hg38_chr8:128466312-128466322(-) ggacaatcgga >hg38_chr8:128486401-128486411(+) TTACAATAGAC >hg38_chr8:128487825-128487835(+) ggacaatgggg >hg38_chr8:128487856-128487866(-) taacaatgaca >hg38_chr8:128506369-128506379(+) TAATAatggtc >hg38_chr8:128509387-128509397(-) tcacaatgaga >hg38_chr8:128509611-128509621(-) GAACAATGCCC >hg38_chr8:128509650-128509660(+) CAACAATGGCA >hg38_chr8:128524761-128524771(-) ACACAAAGGGA >hg38_chr8:128527728-128527738(-) CAACAATGAGC >hg38_chr8:128538687-128538697(+) AAACAATGACC >hg38_chr8:128935945-128935955(-) GTACAAAGGGA >hg38_chr8:128982774-128982784(-) AAACAATAGCC >hg38_chr8:128986140-128986150(-) GAACAATGGAA >hg38_chr8:129039901-129039911(-) agacaatgtta >hg38_chr8:129085003-129085013(+) TGACAATGAAA >hg38_chr8:129087617-129087627(-) CAATAAtggga >hg38_chr8:129095224-129095234(-) GAACAATGACA >hg38_chr8:129145781-129145791(-) atacaatgcaa >hg38_chr8:129173162-129173172(+) aaacagtggta >hg38_chr8:129179258-129179268(-) ttacaatgaga >hg38_chr8:129179314-129179324(+) aaacaatacta >hg38_chr8:129199350-129199360(-) ggacaatgtgt >hg38_chr8:129202694-129202704(+) AGACAATAGCC >hg38_chr8:129237327-129237337(-) gtacaataaac >hg38_chr8:129241679-129241689(-) AAACTATGGCA >hg38_chr8:129250464-129250474(+) GAACAATAGCC >hg38_chr8:129276521-129276531(-) AGACAATGGAA >hg38_chr8:129295953-129295963(+) CAACAATGATT >hg38_chr8:129303588-129303598(+) agacaatgcga >hg38_chr8:129341120-129341130(+) caacaatagca >hg38_chr8:129341163-129341173(-) CAACAATGGTA >hg38_chr8:129353113-129353123(+) AGACAATGCTG >hg38_chr8:129356372-129356382(-) CTACAGTGGTA >hg38_chr8:129362833-129362843(+) AAACAAAGGAA >hg38_chr8:129409038-129409048(+) gtacaatgtct >hg38_chr8:129409058-129409068(+) tgacaatataa >hg38_chr8:129420166-129420176(+) atacaatacat >hg38_chr8:129420179-129420189(+) atacagtggaa >hg38_chr8:129421623-129421633(-) caacaatggac >hg38_chr8:129425160-129425170(+) acacactggta >hg38_chr8:129425199-129425209(-) ttacaatgtta >hg38_chr8:129433069-129433079(+) ctacaatgcac >hg38_chr8:129433113-129433123(+) caacaatggaa >hg38_chr8:129460042-129460052(+) GGACAATGGGA >hg38_chr8:129460852-129460862(+) CTACAATGCCA >hg38_chr8:129460894-129460904(+) TGACAAAGGGA >hg38_chr8:129477516-129477526(-) GCACAATGttt >hg38_chr8:129484914-129484924(-) gaacaatgggc >hg38_chr8:129484931-129484941(-) gaacaataaaa >hg38_chr8:129485915-129485925(-) CAACAATGGAA >hg38_chr8:129505732-129505742(+) aaacaatgcaa >hg38_chr8:129515187-129515197(-) agacaatagat >hg38_chr8:129551842-129551852(+) taacaatgtag >hg38_chr8:129552290-129552300(+) CTACAATGGAG >hg38_chr8:129563882-129563892(-) taacaatatga >hg38_chr8:129564214-129564224(-) taacaatatgt >hg38_chr8:129585248-129585258(-) aaacaatgaat >hg38_chr8:129587152-129587162(+) GGACAATAGAG >hg38_chr8:129628502-129628512(+) AGACAATGGCG >hg38_chr8:129707609-129707619(+) gaacaatggaa >hg38_chr8:129707643-129707653(+) gaacaatggaa >hg38_chr8:129775851-129775861(-) atacaatgaaa >hg38_chr8:129818399-129818409(-) gcacaaaggac >hg38_chr8:129818434-129818444(+) gaacaaaggtt >hg38_chr8:129856889-129856899(-) GTACAATGTTG >hg38_chr8:129865743-129865753(+) TTACACTGGCG >hg38_chr8:129865751-129865761(-) TCACAATACGC >hg38_chr8:129866225-129866235(+) CCACAATGCAT >hg38_chr8:129866706-129866716(+) TCACAATATAA >hg38_chr8:129872898-129872908(-) TTACAATGTTC >hg38_chr8:129873276-129873286(+) CTACAAAGGTA >hg38_chr8:129874879-129874889(+) ACACAAAGGAC >hg38_chr8:129918685-129918695(-) taacaatgaac >hg38_chr8:129918717-129918727(+) gcacaatgcct >hg38_chr8:129919297-129919307(+) GAACAATAGAT >hg38_chr8:129929097-129929107(+) caacaatagaa >hg38_chr8:129934303-129934313(+) taacaatgcgg >hg38_chr8:129937285-129937295(+) AAACAATGTAA >hg38_chr8:129992684-129992694(-) GAACAATGGGT >hg38_chr8:129995306-129995316(+) GAACAAAGGAA >hg38_chr8:130029009-130029019(-) agacaatggct >hg38_chr8:130046037-130046047(-) TGACAATGGAC >hg38_chr8:130126108-130126118(+) AGACAATGAAA >hg38_chr8:130143110-130143120(-) GAACAATGTGT >hg38_chr8:130188159-130188169(-) CCACAATGTGA >hg38_chr8:130188234-130188244(+) GAACaataaaa >hg38_chr8:130194848-130194858(+) agacaatgagt >hg38_chr8:130208282-130208292(-) aaacaatgaat >hg38_chr8:130260062-130260072(-) GGACAATGTAT >hg38_chr8:130275372-130275382(+) taataatggct >hg38_chr8:130277008-130277018(+) TCACAATAGGT >hg38_chr8:130287349-130287359(+) GAACAAAGGGA >hg38_chr8:130287357-130287367(+) GGACAATGCAG >hg38_chr8:130310995-130311005(-) TCACAATAGAG >hg38_chr8:130337043-130337053(+) TTACAATAGGG >hg38_chr8:130359137-130359147(+) CTACAATGCAG >hg38_chr8:130367879-130367889(+) TAACAATGAGC >hg38_chr8:130410014-130410024(+) acacaatgtca >hg38_chr8:130448678-130448688(+) GGACAATGGTG >hg38_chr8:130457720-130457730(-) AAACAATGGAA >hg38_chr8:130528033-130528043(-) caacaatgccc >hg38_chr8:130528552-130528562(-) TCACAAAGGAA >hg38_chr8:130528573-130528583(+) GGACAATGGTG >hg38_chr8:130585255-130585265(-) atacAATGAAG >hg38_chr8:130585266-130585276(-) acacaatgagg >hg38_chr8:130604545-130604555(+) AAACAATGAGA >hg38_chr8:130612118-130612128(-) aaacaatgtcc >hg38_chr8:130614558-130614568(-) GAACAAAGGCC >hg38_chr8:130634641-130634651(+) gcacaatgctt >hg38_chr8:130636226-130636236(-) taacaatagaa >hg38_chr8:130636232-130636242(-) agacaataaca >hg38_chr8:130641942-130641952(+) GTACAATGAAT >hg38_chr8:130649750-130649760(+) aaacaatagag >hg38_chr8:130675614-130675624(-) ACACAATATTA >hg38_chr8:130675630-130675640(-) CAATAATGGAA >hg38_chr8:130680352-130680362(+) GGACAgtggta >hg38_chr8:130680382-130680392(-) ggacaatggct >hg38_chr8:130728738-130728748(-) ACACAAAGGAA >hg38_chr8:130734766-130734776(+) GAACAAAGGGT >hg38_chr8:130734782-130734792(+) GAACAAAGGAT >hg38_chr8:130762418-130762428(-) aaacaatgaat >hg38_chr8:130764560-130764570(+) TAACAAAGGGA >hg38_chr8:130854538-130854548(+) gtacaatagtg >hg38_chr8:130861257-130861267(+) tgacaatgcat >hg38_chr8:130863778-130863788(-) gaacaatagca >hg38_chr8:130863821-130863831(-) taacaatgtat >hg38_chr8:130974136-130974146(-) GGATAATGGGC >hg38_chr8:130974849-130974859(+) agataatggat >hg38_chr8:130982869-130982879(-) gtacaatacac >hg38_chr8:131014947-131014957(+) TGACAATGTCA >hg38_chr8:131014957-131014967(-) GTACAATAAAT >hg38_chr8:131018495-131018505(-) gaacaatgcct >hg38_chr8:131032615-131032625(-) TAACTATGGAG >hg38_chr8:131078026-131078036(-) GAACAAAGGCC >hg38_chr8:131078035-131078045(-) GAACAATAAGA >hg38_chr8:131111396-131111406(-) CCACAATGGAT >hg38_chr8:131126419-131126429(+) TTACAATAGCA >hg38_chr8:131128634-131128644(+) GGACAATGGAT >hg38_chr8:131300849-131300859(-) caataatggaa >hg38_chr8:131308169-131308179(-) aaacaatgcaa >hg38_chr8:131328335-131328345(+) AGACAATGAGG >hg38_chr8:131351335-131351345(-) aaacactggat >hg38_chr8:131380345-131380355(+) AAATAATGGCT >hg38_chr8:131392664-131392674(+) GCACAATAGGC >hg38_chr8:131433614-131433624(+) gaacaaaggtg >hg38_chr8:131445402-131445412(-) ctacaatggat >hg38_chr8:131445427-131445437(-) aaacaatgaga >hg38_chr8:131445436-131445446(-) atacaatgaaa >hg38_chr8:131446011-131446021(-) ctacaatgtga >hg38_chr8:131446028-131446038(-) atacaattacg >hg38_chr8:131503845-131503855(+) aaacaatggag >hg38_chr8:131503862-131503872(+) aaacaatagaa >hg38_chr8:131533718-131533728(+) AGACAATGAAG >hg38_chr8:131534138-131534148(+) ACACAATGGTG >hg38_chr8:131584817-131584827(-) TTACAATGAGT >hg38_chr8:131587405-131587415(-) GGACAATGACC >hg38_chr8:131587443-131587453(-) TTACTATGGAG >hg38_chr8:131625484-131625494(-) GAACAATGATG >hg38_chr8:131662040-131662050(-) TAACCAtggat >hg38_chr8:131662079-131662089(-) gaacAATGCAT >hg38_chr8:131671106-131671116(+) aaacaatataa >hg38_chr8:131722310-131722320(+) CGATAATGGCT >hg38_chr8:131722346-131722356(+) TGATAATGGAG >hg38_chr8:131778140-131778150(-) gcataatggtt >hg38_chr8:131890673-131890683(+) AAACAAAGGGG >hg38_chr8:131890698-131890708(-) TAACAATAACA >hg38_chr8:131892254-131892264(-) ACACAATGCTA >hg38_chr8:131905682-131905692(-) TAACAATGAAG >hg38_chr8:131912186-131912196(+) agataatggtg >hg38_chr8:131922996-131923006(+) CAACAATGTTC >hg38_chr8:131931225-131931235(-) GTAcaatgctt >hg38_chr8:131931232-131931242(+) gTACTATGGAA >hg38_chr8:131952782-131952792(-) GGACAATGGGA >hg38_chr8:131967696-131967706(-) TAACAAAGGCA >hg38_chr8:131989679-131989689(+) ctacaatgtaa >hg38_chr8:132005318-132005328(+) AGACAGTGGAA >hg38_chr8:132005362-132005372(+) ACACAATATTA >hg38_chr8:132005381-132005391(-) GAACAGTGGGA >hg38_chr8:132005785-132005795(+) acacaatgcta >hg38_chr8:132040284-132040294(+) AAACAATGGCC >hg38_chr8:132044695-132044705(+) gcacaatggta >hg38_chr8:132057151-132057161(+) TTACAATGATG >hg38_chr8:132057202-132057212(+) CTACAATGAAG >hg38_chr8:132075287-132075297(+) GTACAAAGGTA >hg38_chr8:132124387-132124397(-) GTACAATGACT >hg38_chr8:132145064-132145074(+) taataatgggg >hg38_chr8:132167739-132167749(+) GCACAATGTAT >hg38_chr8:132167772-132167782(+) GGACAATGCCT >hg38_chr8:132481129-132481139(-) GGACAATGGGG >hg38_chr8:132517996-132518006(+) TTACAATAATC >hg38_chr8:132585681-132585691(+) aaacaatgttt >hg38_chr8:132625484-132625494(+) CAATAATGGAT >hg38_chr8:132639144-132639154(+) GGACAATGTAC >hg38_chr8:132654441-132654451(+) gaacaataaac >hg38_chr8:132686472-132686482(+) ACACAATGCAG >hg38_chr8:132687628-132687638(-) acacgatgggt >hg38_chr8:132687642-132687652(-) caacaatgcca >hg38_chr8:132703500-132703510(-) ACACAATGCAG >hg38_chr8:132704261-132704271(-) AGACAATGATG >hg38_chr8:132712386-132712396(+) GAACAATGGGA >hg38_chr8:132773390-132773400(-) TGACAATGGGT >hg38_chr8:132775772-132775782(-) AGACAATAGGG >hg38_chr8:132783598-132783608(-) CTACAATGCTA >hg38_chr8:132793925-132793935(-) ttacaatgtgg >hg38_chr8:132801560-132801570(+) TAACAATGAAG >hg38_chr8:132802292-132802302(-) aaacaaaggcc >hg38_chr8:132803440-132803450(-) ATACAAAGGAC >hg38_chr8:132811509-132811519(-) TTACAATATAA >hg38_chr8:132812024-132812034(+) TAACAATGCGT >hg38_chr8:132814061-132814071(-) GCACAATGCAT >hg38_chr8:132841270-132841280(-) CAACAATGAAA >hg38_chr8:132899574-132899584(-) AAACaataata >hg38_chr8:133021207-133021217(-) ttacaatgggg >hg38_chr8:133035813-133035823(+) ATACAATGCTG >hg38_chr8:133036088-133036098(-) gaataatgggg >hg38_chr8:133063152-133063162(+) CAACAATGCCT >hg38_chr8:133117404-133117414(+) GAACAATGCAA >hg38_chr8:133144966-133144976(+) gaataatggcc >hg38_chr8:133196792-133196802(-) GAACAATAGAA >hg38_chr8:133236321-133236331(+) TTACAATGGTT >hg38_chr8:133257928-133257938(+) ATACAAAGGAA >hg38_chr8:133257968-133257978(+) TAACAATGACA >hg38_chr8:133273760-133273770(+) AGACAATGAGT >hg38_chr8:133298387-133298397(-) GGACAATGACG >hg38_chr8:133366149-133366159(-) gaacaatggct >hg38_chr8:133438112-133438122(+) GGACAATGGAA >hg38_chr8:133533643-133533653(-) GTACAAAGGTC >hg38_chr8:133548507-133548517(-) gcacagtggta >hg38_chr8:133573031-133573041(-) AAACAAAGGCT >hg38_chr8:133618245-133618255(+) GCACaatggaa >hg38_chr8:133674366-133674376(+) AAACAATAGTC >hg38_chr8:133674405-133674415(+) GCACAATCGGA >hg38_chr8:133831374-133831384(-) GCACAATAGTG >hg38_chr8:133836641-133836651(+) GAACCATGGTA >hg38_chr8:133874576-133874586(+) CAACAATAGAA >hg38_chr8:133874600-133874610(+) gcacaatgcct >hg38_chr8:133881610-133881620(-) acacaatagca >hg38_chr8:133897622-133897632(+) TGACAATGCCT >hg38_chr8:133907674-133907684(+) TAACAATGCAC >hg38_chr8:133931424-133931434(-) aaacaatgcat >hg38_chr8:133952739-133952749(+) TTACAAAGGCC >hg38_chr8:134007705-134007715(+) GCACAATGGCA >hg38_chr8:134010176-134010186(+) ACACAAAGGGA >hg38_chr8:134030509-134030519(-) AGACAATGATG >hg38_chr8:134037573-134037583(+) GTACAATGATA >hg38_chr8:134059673-134059683(+) ggacaaaggat >hg38_chr8:134060216-134060226(+) caacaatgaat >hg38_chr8:134069217-134069227(+) caacaatagcc >hg38_chr8:134069228-134069238(-) agacaatgtaa >hg38_chr8:134156164-134156174(-) GAACAATGGAC >hg38_chr8:134290471-134290481(+) AAACAATAGGG >hg38_chr8:134344103-134344113(+) agacaatgttc >hg38_chr8:134482419-134482429(+) TTACAAAGGAA >hg38_chr8:134521151-134521161(+) ACACAATAGCA >hg38_chr8:134521374-134521384(+) GAACAATGGAA >hg38_chr8:134521408-134521418(-) GGACAAAGGAG >hg38_chr8:134644766-134644776(+) tcacaatgtgc >hg38_chr8:134648112-134648122(+) tcacaatatat >hg38_chr8:134754085-134754095(+) GAACAATGGTG >hg38_chr8:134791712-134791722(+) GTACAATAATC >hg38_chr8:134807316-134807326(-) AGATAATGGCT >hg38_chr8:134807681-134807691(+) CGACCATGGAG >hg38_chr8:134811467-134811477(-) GAATAATGGTA >hg38_chr8:134811500-134811510(-) TCACTATGGAA >hg38_chr8:134811525-134811535(+) AAACAATGTAT >hg38_chr8:134818849-134818859(-) atacaatatgc >hg38_chr8:134819955-134819965(+) TGACAATGGGA >hg38_chr8:134825261-134825271(+) ATACAATGATT >hg38_chr8:134871069-134871079(+) gcacaataggt >hg38_chr8:134892990-134893000(-) aaacaataggc >hg38_chr8:134897732-134897742(-) gaacaatggat >hg38_chr8:134898662-134898672(-) gtacaatgata >hg38_chr8:134898679-134898689(-) agacagtggat >hg38_chr8:134925979-134925989(-) aaacaaaggga >hg38_chr8:134929892-134929902(-) GAACAATAACA >hg38_chr8:134929917-134929927(-) GAACAATGAGT >hg38_chr8:134943573-134943583(-) taacaataacc >hg38_chr8:134943585-134943595(-) taacaataaTa >hg38_chr8:134949582-134949592(+) GAACAAAGGGG >hg38_chr8:134949611-134949621(+) GGATAATGGCA >hg38_chr8:135004841-135004851(-) TAACAATGCTG >hg38_chr8:135050877-135050887(+) CAACAATAGTT >hg38_chr8:135373199-135373209(-) CAACAATGGCT >hg38_chr8:135417611-135417621(+) TAACAATGCAG >hg38_chr8:135418273-135418283(-) TTACAAAGGAG >hg38_chr8:135420760-135420770(+) TTATAATGGAG >hg38_chr8:135420780-135420790(+) TAACAAAGGCA >hg38_chr8:135445090-135445100(+) gaataatggag >hg38_chr8:135462795-135462805(-) gaacaatggac >hg38_chr8:135479662-135479672(-) gaataatggga >hg38_chr8:135480176-135480186(+) gtacaatatat >hg38_chr8:135480200-135480210(-) atataatggta >hg38_chr8:135491338-135491348(-) TAACAGTGGTT >hg38_chr8:135499175-135499185(-) TCACAATGACA >hg38_chr8:135499242-135499252(-) aaaCAATGCCT >hg38_chr8:135508374-135508384(+) ACACAAAGGAA >hg38_chr8:135519227-135519237(-) atacaATAGGG >hg38_chr8:135521707-135521717(-) AAACAATGATA >hg38_chr8:135561006-135561016(+) GTACAATGTTT >hg38_chr8:135561066-135561076(-) GTACAAAGGAT >hg38_chr8:135562312-135562322(-) acacaatgata >hg38_chr8:135562344-135562354(+) gtacaataaca >hg38_chr8:135596135-135596145(+) taagaatggta >hg38_chr8:135596166-135596176(+) atacaaaggaa >hg38_chr8:135596196-135596206(+) acacagtggat >hg38_chr8:135600183-135600193(-) GAACAATAGGG >hg38_chr8:135601319-135601329(-) ATACAATATGC >hg38_chr8:135601360-135601370(-) CAACAATGGAC >hg38_chr8:135601374-135601384(+) TAACAAAGGTG >hg38_chr8:135653966-135653976(+) acacaatggtg >hg38_chr8:135654710-135654720(-) TAACAATACAC >hg38_chr8:135656859-135656869(-) TCACAATGCAC >hg38_chr8:135717515-135717525(-) TAACAATAGCA >hg38_chr8:135764650-135764660(-) AGACAATGAGT >hg38_chr8:135764675-135764685(+) TGACAATGGAT >hg38_chr8:135793677-135793687(+) taacaatggaa >hg38_chr8:135906068-135906078(+) GAACAAAGGGA >hg38_chr8:135993566-135993576(+) tcacaatagcc >hg38_chr8:136348939-136348949(-) CGACACTGGCA >hg38_chr8:136348950-136348960(+) TAACAATGGTG >hg38_chr8:136367696-136367706(+) GAACAATAGTA >hg38_chr8:136400867-136400877(+) gaacaaaggat >hg38_chr8:136445669-136445679(-) GAATAATGTAC >hg38_chr8:136471140-136471150(-) ATACAATGGCT >hg38_chr8:136481481-136481491(-) gaacactggat >hg38_chr8:136489348-136489358(+) acacaatgggc >hg38_chr8:136492455-136492465(-) AGATAATGGAA >hg38_chr8:136525751-136525761(+) AAACAATGTCT >hg38_chr8:136531859-136531869(+) atacactggac >hg38_chr8:136595234-136595244(+) GAACAAAGACG >hg38_chr8:136608888-136608898(+) GGACAATGGCC >hg38_chr8:136615503-136615513(-) agacaatgaga >hg38_chr8:136615528-136615538(-) TAACAATAGAA >hg38_chr8:136629593-136629603(+) tgacaatgtgg >hg38_chr8:136703756-136703766(-) AGACAATGCTA >hg38_chr8:136705389-136705399(-) TTACAATGAAG >hg38_chr8:136715819-136715829(-) TGACAATGAAA >hg38_chr8:136731854-136731864(+) AAACAATGTCC >hg38_chr8:136733773-136733783(+) gtacaatgggt >hg38_chr8:136733802-136733812(-) ttacaatagtc >hg38_chr8:136786318-136786328(+) aaacaatgcat >hg38_chr8:136789544-136789554(+) AGACAATGACG >hg38_chr8:136798352-136798362(-) ATACAATGTGA >hg38_chr8:136825459-136825469(-) ttacaatagcc >hg38_chr8:136871880-136871890(-) TAACAAAGGCA >hg38_chr8:136872299-136872309(-) CAACAATGCAT >hg38_chr8:136872308-136872318(-) GAACAATGGCA >hg38_chr8:136926337-136926347(-) GAACAATAGCC >hg38_chr8:136944450-136944460(-) ttacaataaca >hg38_chr8:137058093-137058103(-) AGACAATAAGA >hg38_chr8:137123702-137123712(-) TGACAATGATG >hg38_chr8:137203405-137203415(+) ATATAATGGTC >hg38_chr8:137302521-137302531(-) AAACAAAGGGT >hg38_chr8:137302537-137302547(-) GCACAATGGGG >hg38_chr8:137302578-137302588(-) GAACAATAGAT >hg38_chr8:137328928-137328938(-) acacaataaac >hg38_chr8:137329792-137329802(+) TAACAATACAA >hg38_chr8:137353315-137353325(-) aaacaatgaga >hg38_chr8:137353360-137353370(-) atacaatgcaa >hg38_chr8:137373670-137373680(+) tgacaatggct >hg38_chr8:137481339-137481349(+) gtacaataact >hg38_chr8:137492964-137492974(+) TAACAATAGTG >hg38_chr8:137636677-137636687(-) CTACAATGCCT >hg38_chr8:137636692-137636702(+) GTACAATAAAG >hg38_chr8:137636708-137636718(-) AAACAATGCAA >hg38_chr8:137636734-137636744(+) AGACAATGGAG >hg38_chr8:137639758-137639768(-) acacaatgcaa >hg38_chr8:137639776-137639786(-) agacaatgtgg >hg38_chr8:137671601-137671611(-) acacaatgcga >hg38_chr8:137760406-137760416(-) aaacaatgcct >hg38_chr8:137789000-137789010(-) AGACAATGGCT >hg38_chr8:137893557-137893567(+) ctacaataggg >hg38_chr8:137945773-137945783(-) AAACAATGGGA >hg38_chr8:137966148-137966158(+) AAACTATGGGA >hg38_chr8:137968994-137969004(+) ttacaaaggtt >hg38_chr8:137969067-137969077(-) TAACAATATAT >hg38_chr8:138080719-138080729(-) ACACAATGAGT >hg38_chr8:138080746-138080756(+) ACACAATAGAA >hg38_chr8:138087292-138087302(-) CTATAATGGGA >hg38_chr8:138087342-138087352(+) GAACAATGCAT >hg38_chr8:138109574-138109584(+) acacaatcgtc >hg38_chr8:138133417-138133427(+) GAACAATAGAT >hg38_chr8:138176275-138176285(-) CAACAATAGGT >hg38_chr8:138224321-138224331(+) GAACAATGCAG >hg38_chr8:138267983-138267993(+) TGACAATGTGG >hg38_chr8:138301814-138301824(-) GCACAATGAAA >hg38_chr8:138341204-138341214(+) atacaatggaa >hg38_chr8:138388185-138388195(+) caacaatgaga >hg38_chr8:138429401-138429411(-) CAACAATGGTG >hg38_chr8:138520820-138520830(-) AGACAATGGGC >hg38_chr8:138582811-138582821(+) ACACAAAGGAT >hg38_chr8:138613640-138613650(-) ttacaaaggtg >hg38_chr8:138664611-138664621(-) TAACAATGCTG >hg38_chr8:138673046-138673056(+) AAACAATGGCC >hg38_chr8:138880778-138880788(+) GGACAATAACG >hg38_chr8:138931426-138931436(-) ACACAATGCAA >hg38_chr8:138972035-138972045(-) GTACTATGGCA >hg38_chr8:139221325-139221335(+) gaacaatcgga >hg38_chr8:139321942-139321952(+) AGACAATAAAT >hg38_chr8:139479384-139479394(+) atacaatgaga >hg38_chr8:139479461-139479471(-) gtacaaaggag >hg38_chr8:139510426-139510436(-) ACACAATGAGA >hg38_chr8:139510439-139510449(+) GGACAATGAGA >hg38_chr8:139510461-139510471(+) AGACAAAGGAG >hg38_chr8:139570999-139571009(+) gaacaatggtc >hg38_chr8:139571014-139571024(+) gaacaatcgat >hg38_chr8:139600202-139600212(-) TAACTATGGCA >hg38_chr8:139856522-139856532(+) GGACAATGTCC >hg38_chr8:139965566-139965576(+) GAACAAAGGTT >hg38_chr8:139985740-139985750(+) TAACAATAATC >hg38_chr8:139985826-139985836(+) acacaatgaaa >hg38_chr8:139991299-139991309(+) ACACAATGCAT >hg38_chr8:139997181-139997191(+) AGACAATGCAT >hg38_chr8:139997250-139997260(+) AGACAATGCAA >hg38_chr8:139997410-139997420(+) AGACAATGCAT >hg38_chr8:139997432-139997442(+) GAACAATGCAT >hg38_chr8:139997455-139997465(+) AGACAATATAT >hg38_chr8:139997478-139997488(+) AGACAATGCAT >hg38_chr8:139999093-139999103(+) atacaatggta >hg38_chr8:140058758-140058768(+) AAACAAAGGAT >hg38_chr8:140097030-140097040(+) GAACAATGGCA >hg38_chr8:140106132-140106142(+) GAACAAAGGCA >hg38_chr8:140172108-140172118(+) ACACAAAGGAA >hg38_chr8:140197765-140197775(+) aaacaaCGCGA >hg38_chr8:140238590-140238600(+) GAACAAAGGCG >hg38_chr8:140321448-140321458(+) taacaatgaga >hg38_chr8:140405868-140405878(-) ATACAATGCTT >hg38_chr8:140437794-140437804(+) ACACAATGGAC >hg38_chr8:140511523-140511533(+) AGACAAGGGCG >hg38_chr8:140511914-140511924(-) CGACAAAGGGC >hg38_chr8:140523166-140523176(-) GTACAGTGGAA >hg38_chr8:140526103-140526113(-) AGACAATGCAG >hg38_chr8:140537006-140537016(-) acacaatcgag >hg38_chr8:140588509-140588519(+) gaagaatggcg >hg38_chr8:140615882-140615892(+) AAACAATGGGA >hg38_chr8:140621450-140621460(-) ggacaatggtg >hg38_chr8:140637508-140637518(-) ACACAATGAAG >hg38_chr8:140663127-140663137(+) ggacaatggcc >hg38_chr8:140677907-140677917(+) taacaatgcag >hg38_chr8:140677936-140677946(+) aaacaatgaac >hg38_chr8:140704576-140704586(+) AAACAATGCTG >hg38_chr8:140709568-140709578(-) tgacaataggg >hg38_chr8:140709591-140709601(+) gtacaataacc >hg38_chr8:140726930-140726940(+) gcataatggac >hg38_chr8:140765913-140765923(-) AAACTATGGAA >hg38_chr8:140773798-140773808(-) aaacaaTGTAG >hg38_chr8:140773829-140773839(+) agataatgggt >hg38_chr8:140780178-140780188(+) aaacaaaggga >hg38_chr8:140800605-140800615(-) GAACAATGAAG >hg38_chr8:140816178-140816188(+) tcacaatataa >hg38_chr8:140841908-140841918(-) TAACAATGTAA >hg38_chr8:140856173-140856183(+) aaacaatgaca >hg38_chr8:140859253-140859263(+) ggataatggta >hg38_chr8:140869716-140869726(+) AGACAATAAAT >hg38_chr8:140870570-140870580(+) AGACAATGGAC >hg38_chr8:140880984-140880994(+) GGACAAAGGAA >hg38_chr8:140888205-140888215(-) AGACAAAGGAA >hg38_chr8:140891269-140891279(-) taacaatgaat >hg38_chr8:140891495-140891505(+) agacaatgagt >hg38_chr8:140894504-140894514(+) aaactatggta >hg38_chr8:140894520-140894530(+) ctataatggag >hg38_chr8:140897691-140897701(+) CTACAATGACT >hg38_chr8:140906156-140906166(+) ccacaatgaga >hg38_chr8:140906442-140906452(+) ggacaatgtgg >hg38_chr8:140906460-140906470(+) acacaatggaa >hg38_chr8:140909694-140909704(+) agacaataacA >hg38_chr8:140944319-140944329(+) GTACAATACTA >hg38_chr8:140954649-140954659(-) GTACAATAGAA >hg38_chr8:140959000-140959010(+) ctacaatgtca >hg38_chr8:140962987-140962997(+) agacaatatat >hg38_chr8:140966184-140966194(-) ATACAATGGTG >hg38_chr8:140968610-140968620(-) TTACAATGcag >hg38_chr8:141002841-141002851(-) gaacaataggt >hg38_chr8:141038378-141038388(-) GGACAATGATG >hg38_chr8:141041716-141041726(-) TAACAATGAGG >hg38_chr8:141079664-141079674(-) acacaatggaa >hg38_chr8:141079730-141079740(+) gaacaatgctg >hg38_chr8:141207564-141207574(+) TCACAATGGAA >hg38_chr8:141279719-141279729(+) GGACAAAGGAG >hg38_chr8:141526496-141526506(-) GAATAATGGCT >hg38_chr8:141596497-141596507(-) GGACAATGGTA >hg38_chr8:141616750-141616760(-) GAACAATGAGA >hg38_chr8:141666565-141666575(-) GGACAAAGGGC >hg38_chr8:141666583-141666593(-) TAACAAAGGGG >hg38_chr8:141682022-141682032(-) AAACAAAGGCC >hg38_chr8:141759131-141759141(+) AGACAAAGGAG >hg38_chr8:141818542-141818552(+) atacaatgggA >hg38_chr8:141989030-141989040(-) CAACAATGGCA >hg38_chr8:142025124-142025134(+) GCACAATGGTG >hg38_chr8:142025138-142025148(+) CCACAATGGCA >hg38_chr8:142050840-142050850(-) gcacaatgtgg >hg38_chr8:142075875-142075885(+) GAACAAAGGTT >hg38_chr8:142093230-142093240(+) TGACAATGCCA >hg38_chr8:142171931-142171941(+) GAACAATGCAT >hg38_chr8:142174366-142174376(+) CCACAATGACT >hg38_chr8:142305797-142305807(+) AGACAAAGGCG >hg38_chr8:142360518-142360528(+) GGACAATGCCC >hg38_chr8:142399793-142399803(+) ACACAATGAAT >hg38_chr8:142403947-142403957(+) GGACAATGGGT >hg38_chr8:142545803-142545813(+) GGACAATAGCG >hg38_chr8:142896721-142896731(-) aaacaatgtaa >hg38_chr8:142897812-142897822(-) aaacaaaggca >hg38_chr8:142943810-142943820(+) CCACAATGTCT >hg38_chr8:142991703-142991713(+) gaacaaaggcc >hg38_chr8:143074990-143075000(-) CAACAATGAGA >hg38_chr8:143311352-143311362(-) GAACAAAGGGT >hg38_chr8:143368397-143368407(-) TCACAATGGGG >hg38_chr8:143964740-143964750(+) TGACAGTGGCG >hg38_chr8:144002259-144002269(+) tcacaataGgc >hg38_chr8:144002611-144002621(+) taacaatagaa >hg38_chr8:144002672-144002682(-) CAACAATGGTG >hg38_chr8:144079243-144079253(-) aaacaaagggt >hg38_chr8:144189712-144189722(-) GAACAATGGCA >hg38_chr8:144227024-144227034(-) ttacaatggca >hg38_chr8:144326819-144326829(+) GGACAACGGCT >hg38_chr8:144337814-144337824(+) GCACAATGGCC >hg38_chr8:144337863-144337873(-) GGACAATGGCG >hg38_chr8:144354647-144354657(-) GAACAATAGCT >hg38_chr8:144410747-144410757(-) ttacaatgaga >hg38_chr8:144486521-144486531(-) ggacaaaggaa >hg38_chr8:144581102-144581112(-) CTACAATGCCA >hg38_chr8:144613529-144613539(-) agacaatggtg >hg38_chr8:144613546-144613556(-) aaacaatgcaa >hg38_chr8:144770091-144770101(-) taacaatatga >hg38_chr8:144770516-144770526(+) atacaatagag >hg38_chr8:144783599-144783609(+) tcacaatagct >hg38_chr8:144815884-144815894(+) tcacaatagcc >hg38_chr8:144901450-144901460(+) AGACAAAGGGC >hg38_chr8:144967966-144967976(-) aaactatgggg >hg38_chr8:144967976-144967986(+) taacaatgtaa >hg38_chr8:145008023-145008033(-) taacAATAGAT >hg38_chr9:210617-210627(-) AGACAATGACA >hg38_chr9:223915-223925(+) aaacaatatat >hg38_chr9:243326-243336(-) TCACAATGTCA >hg38_chr9:392242-392252(+) Gaacaatagga >hg38_chr9:392259-392269(+) gaacaaagggc >hg38_chr9:477442-477452(+) gaacaatgaac >hg38_chr9:519778-519788(-) GAACAATAGTA >hg38_chr9:534261-534271(+) GAACAATGGAA >hg38_chr9:558028-558038(+) GAACAATGGTA >hg38_chr9:573785-573795(-) GCACAATGAAG >hg38_chr9:574055-574065(-) GTACAATAAAG >hg38_chr9:574123-574133(-) GAACAAAGGCC >hg38_chr9:588420-588430(+) gaacaatgata >hg38_chr9:600393-600403(-) Ctacaatggaa >hg38_chr9:674319-674329(+) ACACAATGCTA >hg38_chr9:674485-674495(+) AAACAATGAGG >hg38_chr9:693979-693989(-) CAACAATGACA >hg38_chr9:694598-694608(-) ATACAGTGGCC >hg38_chr9:694647-694657(+) GCACAATGTGC >hg38_chr9:716524-716534(+) TAACAATGGGA >hg38_chr9:745317-745327(-) GCACAATACGT >hg38_chr9:751699-751709(+) gaacaatgaca >hg38_chr9:840453-840463(+) AAACAATAGCA >hg38_chr9:843943-843953(+) ATACACTGGGT >hg38_chr9:898521-898531(+) GGACAATGCCT >hg38_chr9:975450-975460(-) GCACAATAGCT >hg38_chr9:1013024-1013034(+) ATACAACGGCA >hg38_chr9:1101894-1101904(-) GAACACTGGAA >hg38_chr9:1101929-1101939(-) GCACAATGTGC >hg38_chr9:1102834-1102844(+) GAACAATAAGT >hg38_chr9:1223016-1223026(+) AAACAATGGAT >hg38_chr9:1274061-1274071(+) TTACTATGGTT >hg38_chr9:1295661-1295671(+) ggacaatgtga >hg38_chr9:1315137-1315147(+) taacaatagct >hg38_chr9:1320288-1320298(-) TCACAATGACA >hg38_chr9:1324658-1324668(+) AGACAATAGAT >hg38_chr9:1324671-1324681(-) ATACAATAAAG >hg38_chr9:1458711-1458721(-) GAACAATGACA >hg38_chr9:1577284-1577294(+) GGACAATGGAG >hg38_chr9:1654211-1654221(+) AGACAAAGGAG >hg38_chr9:1666323-1666333(+) aaacagtggtc >hg38_chr9:1708243-1708253(-) tcacaatgcct >hg38_chr9:1807112-1807122(-) gaacaatgtaa >hg38_chr9:1835064-1835074(-) GGACAATGTTT >hg38_chr9:1896946-1896956(-) GTACAATAGTT >hg38_chr9:1929342-1929352(+) GGACAATGGGC >hg38_chr9:1930688-1930698(-) GAACAATAGAA >hg38_chr9:1946705-1946715(-) GCACAATGTGT >hg38_chr9:1953365-1953375(-) gaacaatgctt >hg38_chr9:1960184-1960194(-) tgacaatgcca >hg38_chr9:2018954-2018964(-) TAACAATGCAG >hg38_chr9:2022491-2022501(-) CAACAATGAAC >hg38_chr9:2031169-2031179(+) taacaatgtat >hg38_chr9:2055475-2055485(-) TAACAATGAAA >hg38_chr9:2084602-2084612(-) AAACAAAGGCA >hg38_chr9:2140955-2140965(+) TCACACTGGCG >hg38_chr9:2146957-2146967(+) tgacaatgcct >hg38_chr9:2167303-2167313(-) gaacaatgctc >hg38_chr9:2180939-2180949(+) ACACAATGGCA >hg38_chr9:2182046-2182056(+) AGACAAAGGGA >hg38_chr9:2200654-2200664(-) agacaatggag >hg38_chr9:2202535-2202545(+) atacaatggaa >hg38_chr9:2228386-2228396(+) AAACAAAGGAA >hg38_chr9:2255421-2255431(+) CTACAATGGCT >hg38_chr9:2263523-2263533(-) GCACAATGAAG >hg38_chr9:2281223-2281233(+) tgacaatggcc >hg38_chr9:2340260-2340270(-) tcacaatgagt >hg38_chr9:2340270-2340280(-) ggacaatagtt >hg38_chr9:2356301-2356311(-) ATACAATGGCA >hg38_chr9:2412117-2412127(+) AGACAAAGGGC >hg38_chr9:2419562-2419572(-) AAACACTGGAA >hg38_chr9:2470072-2470082(-) TCACAAAGGAC >hg38_chr9:2581925-2581935(+) AAACAAAGGGG >hg38_chr9:2615581-2615591(+) TCACAATGCAG >hg38_chr9:2618334-2618344(-) TAACAATGTGA >hg38_chr9:2671570-2671580(+) taacaatacat >hg38_chr9:2738841-2738851(-) aAACAATGGGC >hg38_chr9:2755459-2755469(-) acacaatgaga >hg38_chr9:2759459-2759469(-) taacaatgtat >hg38_chr9:2787166-2787176(-) ATACAATAGGA >hg38_chr9:2817295-2817305(+) aaactatggcc >hg38_chr9:2817353-2817363(+) taataatggtt >hg38_chr9:2833258-2833268(+) AAACAATGATA >hg38_chr9:2838788-2838798(+) CCACAATAGTA >hg38_chr9:2851759-2851769(-) acacaatggca >hg38_chr9:2954568-2954578(-) tgacaatgtcc >hg38_chr9:2967543-2967553(+) GAACAATAGTT >hg38_chr9:2979251-2979261(-) taacaatatag >hg38_chr9:2979290-2979300(+) taacaatattc >hg38_chr9:2979298-2979308(-) aaacaatggaa >hg38_chr9:3007557-3007567(-) gcacaatggtt >hg38_chr9:3018441-3018451(+) aaataatggct >hg38_chr9:3027443-3027453(+) GAACAATCGGG >hg38_chr9:3068554-3068564(-) TAACAATGTAT >hg38_chr9:3118228-3118238(-) ccacaatggaa >hg38_chr9:3242524-3242534(+) GCACAATGCTT >hg38_chr9:3308699-3308709(+) TTACAATGAAA >hg38_chr9:3335696-3335706(-) GAACAATGGAA >hg38_chr9:3336183-3336193(-) AGATAATGGTC >hg38_chr9:3360923-3360933(+) GAACAATACTA >hg38_chr9:3368936-3368946(+) gaacaatgtct >hg38_chr9:3370915-3370925(+) CCACAATAGAA >hg38_chr9:3401727-3401737(+) TGACAAAGGAC >hg38_chr9:3404094-3404104(+) AAACAATATAC >hg38_chr9:3404117-3404127(+) GTACAATATCT >hg38_chr9:3416590-3416600(-) GGACAATGGGA >hg38_chr9:3417173-3417183(-) tgacaataggt >hg38_chr9:3467781-3467791(-) GTACACTGGCA >hg38_chr9:3468628-3468638(-) TAATAATGTAT >hg38_chr9:3471240-3471250(-) tgacaaaggta >hg38_chr9:3478872-3478882(-) aaacaatagca >hg38_chr9:3479061-3479071(-) agacaatggag >hg38_chr9:3481126-3481136(+) ttacaataact >hg38_chr9:3496026-3496036(-) TAACAGTGGAG >hg38_chr9:3519097-3519107(+) GGACAATGAAC >hg38_chr9:3519109-3519119(+) GGACAATGGGA >hg38_chr9:3522154-3522164(+) ACACAATGCAG >hg38_chr9:3524666-3524676(+) TCACAATGAAA >hg38_chr9:3527346-3527356(+) GGACAATGaca >hg38_chr9:3553459-3553469(-) AGACAAAGGAA >hg38_chr9:3554132-3554142(+) ggacaatgagg >hg38_chr9:3554166-3554176(-) aaacaatagct >hg38_chr9:3599729-3599739(-) TTACAATAGCT >hg38_chr9:3606919-3606929(-) agacagtggta >hg38_chr9:3652788-3652798(-) caacaatggac >hg38_chr9:3653039-3653049(-) caacaatggtg >hg38_chr9:3653429-3653439(-) aaacaataaga >hg38_chr9:3662190-3662200(+) taataatggta >hg38_chr9:3662202-3662212(-) ttacaatatag >hg38_chr9:3665022-3665032(+) taacaaaggaa >hg38_chr9:3667432-3667442(+) taacaaagtcg >hg38_chr9:3698327-3698337(-) GAACAATCGAG >hg38_chr9:3698928-3698938(+) TCACAATAACG >hg38_chr9:3699143-3699153(-) GAACAATACGA >hg38_chr9:3709018-3709028(+) gaacaatggtc >hg38_chr9:3740799-3740809(-) CAACAATGAAA >hg38_chr9:3751337-3751347(+) GAACAATGAAG >hg38_chr9:3752032-3752042(-) GCACAATGGGA >hg38_chr9:3760196-3760206(+) AAACAAAGGGT >hg38_chr9:3794207-3794217(-) ACACAATGTGT >hg38_chr9:3794818-3794828(+) CAACAATACCG >hg38_chr9:3830478-3830488(-) acacaatgaca >hg38_chr9:3835559-3835569(-) AAACAATAGAT >hg38_chr9:3848805-3848815(-) AAACAAAGGGT >hg38_chr9:3848880-3848890(-) AGACAATGGTG >hg38_chr9:3874932-3874942(+) CGACAAAGCGC >hg38_chr9:3876148-3876158(+) ttacaatgaat >hg38_chr9:3903465-3903475(-) GAACAAAGGTC >hg38_chr9:3903474-3903484(-) TTACAATGTGA >hg38_chr9:3931257-3931267(-) GCACAATGCCA >hg38_chr9:3953534-3953544(+) AAACAATGACA >hg38_chr9:3954929-3954939(+) AGACAATGGTG >hg38_chr9:3957717-3957727(-) TGACAATGAAA >hg38_chr9:3957747-3957757(+) GCACAAAGGAA >hg38_chr9:4026388-4026398(+) CAACAATGCCT >hg38_chr9:4026397-4026407(+) CTACAATGAGT >hg38_chr9:4072381-4072391(+) ATACAATGTTC >hg38_chr9:4084868-4084878(-) ACACAATGCCA >hg38_chr9:4095788-4095798(-) ctacaatggca >hg38_chr9:4096374-4096384(-) TAACAATACAA >hg38_chr9:4106661-4106671(-) ACACAATGTGG >hg38_chr9:4109226-4109236(-) AAACAAAGGTT >hg38_chr9:4121733-4121743(-) AAACAATAGAG >hg38_chr9:4121747-4121757(-) ATACAATGTAA >hg38_chr9:4130343-4130353(+) agacaatgggg >hg38_chr9:4147654-4147664(+) AAACAATGACT >hg38_chr9:4151711-4151721(+) AAACAATGAAA >hg38_chr9:4152446-4152456(-) AAACAATAGCC >hg38_chr9:4164422-4164432(+) GAACAATGCAA >hg38_chr9:4236042-4236052(-) GAACAATGACT >hg38_chr9:4238211-4238221(+) AAACAATGTGG >hg38_chr9:4246906-4246916(-) AAACAATGTGA >hg38_chr9:4277545-4277555(-) CAACAAAGGAC >hg38_chr9:4292388-4292398(-) ctacaatatat >hg38_chr9:4346323-4346333(+) atacaatacat >hg38_chr9:4356490-4356500(+) GGACAATGGGA >hg38_chr9:4366867-4366877(+) AGACAATGGGC >hg38_chr9:4475522-4475532(+) aaactatggat >hg38_chr9:4497450-4497460(-) gtactatgggc >hg38_chr9:4603579-4603589(-) ATACAATGGAA >hg38_chr9:4621415-4621425(+) gcacaatggag >hg38_chr9:4621437-4621447(+) ctacaatgatg >hg38_chr9:4640251-4640261(-) TTACAAAGGTG >hg38_chr9:4680229-4680239(-) GGACAAAGGGT >hg38_chr9:4733569-4733579(-) GAACAATGGTC >hg38_chr9:4740259-4740269(-) atacaatgggt >hg38_chr9:4743740-4743750(-) ATACAAAGGCC >hg38_chr9:4815685-4815695(-) gaactatggct >hg38_chr9:4833410-4833420(+) TAACaatagta >hg38_chr9:4837212-4837222(-) atacaatgcat >hg38_chr9:4866194-4866204(-) gcacaatgcta >hg38_chr9:4872453-4872463(-) GGACAATGTTC >hg38_chr9:4925868-4925878(+) aaactatggaa >hg38_chr9:4935157-4935167(+) GCACAATGACC >hg38_chr9:4988019-4988029(-) ATACAAAGGCT >hg38_chr9:4988062-4988072(-) ACACAATGGGG >hg38_chr9:4994633-4994643(+) agacaatacgt >hg38_chr9:5001457-5001467(-) gaataatggag >hg38_chr9:5002611-5002621(-) acacaatgccc >hg38_chr9:5059823-5059833(-) gaacaataagt >hg38_chr9:5073989-5073999(+) TTACAATGCCC >hg38_chr9:5074000-5074010(+) AAACAATAGAG >hg38_chr9:5083119-5083129(-) TAACAATGAAC >hg38_chr9:5083652-5083662(-) TGACAATATAT >hg38_chr9:5083663-5083673(+) AAACAATGGAA >hg38_chr9:5165805-5165815(-) GTACAATAAGT >hg38_chr9:5184177-5184187(+) ctacaatgtgc >hg38_chr9:5184184-5184194(-) AAACAATgcac >hg38_chr9:5203865-5203875(+) CCACAATGGTC >hg38_chr9:5208332-5208342(-) taacaatatta >hg38_chr9:5245717-5245727(+) aaacaaaggca >hg38_chr9:5245748-5245758(+) aaacaatgctg >hg38_chr9:5284222-5284232(+) atacaatatac >hg38_chr9:5325451-5325461(+) ACACAATAGAA >hg38_chr9:5345809-5345819(-) gtacaatgttg >hg38_chr9:5360039-5360049(+) gtataatggat >hg38_chr9:5388151-5388161(+) agacaatggca >hg38_chr9:5459302-5459312(+) ATACAGTGGTT >hg38_chr9:5465717-5465727(-) GAACAGTGGAA >hg38_chr9:5470835-5470845(+) AAACAAAGGGA >hg38_chr9:5523071-5523081(+) CAACAATAGCA >hg38_chr9:5534712-5534722(+) AGACAATGACA >hg38_chr9:5545816-5545826(-) aaacaatgtgt >hg38_chr9:5558397-5558407(-) GAACAATGAAG >hg38_chr9:5569637-5569647(+) acacaATAGGG >hg38_chr9:5583331-5583341(+) GCACAATGGAA >hg38_chr9:5590225-5590235(+) GCACAATGACA >hg38_chr9:5602121-5602131(-) gaacaatgctg >hg38_chr9:5615219-5615229(+) TTACAATGGGC >hg38_chr9:5631131-5631141(-) TCACAATGTCC >hg38_chr9:5631156-5631166(-) AAACAATAGAC >hg38_chr9:5644673-5644683(-) tcacaatgact >hg38_chr9:5665251-5665261(-) tgacaatgtga >hg38_chr9:5665284-5665294(-) acacaataata >hg38_chr9:5688478-5688488(-) TAACAAAGGTT >hg38_chr9:5697790-5697800(-) ATACAATAAAT >hg38_chr9:5708018-5708028(-) gtacaatgcaa >hg38_chr9:5714578-5714588(-) ACACAATGGAA >hg38_chr9:5731732-5731742(-) ggacaatgcct >hg38_chr9:5738294-5738304(-) gaacaaaggca >hg38_chr9:5776050-5776060(-) TTATAATGGTA >hg38_chr9:5792671-5792681(-) AAACAATAGGT >hg38_chr9:5793025-5793035(+) caacaatgtat >hg38_chr9:5793058-5793068(-) acacaatgcac >hg38_chr9:5803664-5803674(-) AGACAGTGGAC >hg38_chr9:5809932-5809942(+) ATACAATGAAT >hg38_chr9:5866353-5866363(+) CAACAATAGTG >hg38_chr9:5886390-5886400(-) CAACAATAGTG >hg38_chr9:5923005-5923015(+) AGACACTGGTA >hg38_chr9:5932771-5932781(+) ATACAATGCTG >hg38_chr9:5935283-5935293(-) ATATAATGGAA >hg38_chr9:5935341-5935351(+) TAACAATAATG >hg38_chr9:5948706-5948716(+) aaacaatgtag >hg38_chr9:5967147-5967157(+) AAACAATGATG >hg38_chr9:5997072-5997082(+) AGACAATGGCA >hg38_chr9:6029343-6029353(+) ggacaaaggtg >hg38_chr9:6029952-6029962(-) agacaatgtcc >hg38_chr9:6134815-6134825(-) GGACAATGCAA >hg38_chr9:6134858-6134868(-) AGACAATGCTC >hg38_chr9:6168776-6168786(+) CCACAATAGGT >hg38_chr9:6171950-6171960(+) aaacaatgcag >hg38_chr9:6214471-6214481(-) TTACAAAGGAT >hg38_chr9:6270738-6270748(+) TGACAATGGGA >hg38_chr9:6334773-6334783(+) gaacaatggtt >hg38_chr9:6346830-6346840(+) acacaatgaga >hg38_chr9:6354340-6354350(-) TCACAATGAAG >hg38_chr9:6368080-6368090(-) ttacaatggtc >hg38_chr9:6368098-6368108(-) tgacaatgggt >hg38_chr9:6409707-6409717(+) TAACAATATAG >hg38_chr9:6417618-6417628(-) CTACAATAGTG >hg38_chr9:6464773-6464783(-) atacaaagata >hg38_chr9:6467459-6467469(-) gaacaatgggc >hg38_chr9:6506787-6506797(-) CAACAATGCAT >hg38_chr9:6526286-6526296(-) AGATAATGGCT >hg38_chr9:6552800-6552810(+) AGACAAAGGAA >hg38_chr9:6597017-6597027(+) aaacaatggga >hg38_chr9:6649965-6649975(+) AAACAAAGGCT >hg38_chr9:6649974-6649984(+) CTATAATGGAA >hg38_chr9:6653839-6653849(+) tcataatgggc >hg38_chr9:6764649-6764659(+) CTACTATGGTA >hg38_chr9:6769723-6769733(+) GGACAATGCCT >hg38_chr9:6777476-6777486(+) TGACAATGTGA >hg38_chr9:6781696-6781706(-) aaacaaaggct >hg38_chr9:6788215-6788225(-) agacaataaaa >hg38_chr9:6788286-6788296(+) ATACAATAACT >hg38_chr9:6819773-6819783(-) TTACAATGAAT >hg38_chr9:6823005-6823015(-) ACACAATAGGT >hg38_chr9:6881962-6881972(-) AGATAATGGAA >hg38_chr9:6884647-6884657(-) AAACAAAGGAG >hg38_chr9:6897330-6897340(+) TGATAATGGCC >hg38_chr9:6908008-6908018(-) TTACAAAGGAC >hg38_chr9:6912588-6912598(-) GAACAATGAAC >hg38_chr9:6925255-6925265(-) CTACAATGCTG >hg38_chr9:6925273-6925283(+) TTACTATGGGA >hg38_chr9:6925289-6925299(+) TAATAATGGAG >hg38_chr9:6937516-6937526(-) TTACAATAAAA >hg38_chr9:6947473-6947483(-) AGACAATAAGA >hg38_chr9:6954749-6954759(-) TGACAATAGTT >hg38_chr9:6955396-6955406(-) AAACAAAGGGT >hg38_chr9:7014455-7014465(-) ATACAATAGAG >hg38_chr9:7014971-7014981(+) ATACAATAGGT >hg38_chr9:7035769-7035779(-) ggacaaaggtg >hg38_chr9:7038733-7038743(+) ggacaatgtta >hg38_chr9:7053538-7053548(-) GAACAAAGGCC >hg38_chr9:7053567-7053577(+) CAACAATGATG >hg38_chr9:7057046-7057056(+) TAACAATGAAT >hg38_chr9:7070753-7070763(+) acataatggtg >hg38_chr9:7076416-7076426(-) ACACAATGCAA >hg38_chr9:7093265-7093275(-) TCACAATGAAT >hg38_chr9:7093491-7093501(-) TAACAATAACC >hg38_chr9:7097898-7097908(-) GTATAATGTAT >hg38_chr9:7103606-7103616(-) GAACAATAGCT >hg38_chr9:7108777-7108787(+) CAACAATGTGG >hg38_chr9:7108848-7108858(-) ATACAATACAA >hg38_chr9:7136515-7136525(-) ctacaatggtt >hg38_chr9:7136547-7136557(-) ttacaataccg >hg38_chr9:7172332-7172342(-) AGACAATAAAA >hg38_chr9:7212479-7212489(-) GGATAATGGAG >hg38_chr9:7235612-7235622(+) TAACAATGCAG >hg38_chr9:7251079-7251089(+) gcataatgggc >hg38_chr9:7343015-7343025(-) CAACAATGGAG >hg38_chr9:7360630-7360640(+) AAACAATGGGT >hg38_chr9:7370558-7370568(+) GGACAATGCCT >hg38_chr9:7370597-7370607(-) ATACAGTGGCT >hg38_chr9:7370610-7370620(-) GGACAATGGAA >hg38_chr9:7385850-7385860(+) ACACAATGTAT >hg38_chr9:7403143-7403153(+) TAACAATAGAT >hg38_chr9:7427039-7427049(-) acacaaaggag >hg38_chr9:7601473-7601483(-) GGACTATGGTA >hg38_chr9:7653465-7653475(-) GAACAATAGAC >hg38_chr9:7653495-7653505(-) TAACAATAGGT >hg38_chr9:7656859-7656869(-) aaacaaaggga >hg38_chr9:7656921-7656931(-) aaacaatggct >hg38_chr9:7665929-7665939(-) TAACAAAGGAA >hg38_chr9:7708134-7708144(-) AGACAATGCAT >hg38_chr9:7735638-7735648(+) ATACAATGAGG >hg38_chr9:7804756-7804766(-) TTACAATGCTT >hg38_chr9:7816244-7816254(+) GAACAATAAAA >hg38_chr9:7826816-7826826(+) taacaatgtac >hg38_chr9:7844435-7844445(+) TAACACTGGAG >hg38_chr9:7860261-7860271(-) TAACAATATAT >hg38_chr9:7947722-7947732(-) tcacaatggct >hg38_chr9:7960633-7960643(-) GAACAATGCGC >hg38_chr9:7983802-7983812(-) agacaaaggat >hg38_chr9:7983868-7983878(-) tgacaatgttg >hg38_chr9:8085814-8085824(-) ACACAATAGGC >hg38_chr9:8095905-8095915(+) TAACAATGATT >hg38_chr9:8156549-8156559(-) AAACAATAGAG >hg38_chr9:8169404-8169414(+) AAACAAAGGGA >hg38_chr9:8170003-8170013(+) AAATAATGGAT >hg38_chr9:8189059-8189069(-) gtacaatagca >hg38_chr9:8189076-8189086(+) ctacaatgcat >hg38_chr9:8189081-8189091(-) ccacaatgcat >hg38_chr9:8246722-8246732(+) TCACAATGTTC >hg38_chr9:8252977-8252987(+) GAACAATGGCT >hg38_chr9:8269981-8269991(+) TAACAATGGTC >hg38_chr9:8282776-8282786(+) gaacaatgcag >hg38_chr9:8438189-8438199(-) TAACAATGGTA >hg38_chr9:8468345-8468355(+) GTACAATGCAG >hg38_chr9:8503578-8503588(-) TCACAATGGGG >hg38_chr9:8534265-8534275(+) ACACAATGACA >hg38_chr9:8534285-8534295(+) ACACAATAGAA >hg38_chr9:8534333-8534343(+) TAACAATGGAA >hg38_chr9:8550965-8550975(+) CAACAATAGCA >hg38_chr9:8652397-8652407(-) GAACAATAGCC >hg38_chr9:8678983-8678993(+) TCACAATGCAA >hg38_chr9:8685272-8685282(-) ATATAATGTAC >hg38_chr9:8693754-8693764(-) TTACTATGGGA >hg38_chr9:8703682-8703692(-) taacaatgccg >hg38_chr9:8754959-8754969(+) gaacaatgtta >hg38_chr9:8779237-8779247(+) ATACAATAGGA >hg38_chr9:8781637-8781647(+) CAATAATGGAC >hg38_chr9:8816004-8816014(+) TAACAAAGGAA >hg38_chr9:8855919-8855929(+) GTACAATAATA >hg38_chr9:8864705-8864715(-) ATACAATGACC >hg38_chr9:8949761-8949771(-) CCACAATGTTT >hg38_chr9:8980912-8980922(-) AAACAATGGAA >hg38_chr9:8980935-8980945(+) AGATAATGGGT >hg38_chr9:8982133-8982143(-) TAACAATGTGA >hg38_chr9:9011617-9011627(+) TTACAATAGGA >hg38_chr9:9011631-9011641(-) GTACAATGGGC >hg38_chr9:9086514-9086524(-) TTATAATGGTT >hg38_chr9:9124906-9124916(+) AAACAATGAAA >hg38_chr9:9251296-9251306(-) TCATAATGGAG >hg38_chr9:9270509-9270519(+) aaacaatggaa >hg38_chr9:9341326-9341336(-) TAACAAAGGAT >hg38_chr9:9348402-9348412(-) ggataatggtc >hg38_chr9:9348415-9348425(+) tcacaatgctc >hg38_chr9:9406226-9406236(-) AAACAATGATT >hg38_chr9:9488998-9489008(-) taacaatagtg >hg38_chr9:9489314-9489324(-) taactatggaa >hg38_chr9:9489389-9489399(+) gaacaaaggga >hg38_chr9:9605942-9605952(+) CTACAATGGTT >hg38_chr9:9606805-9606815(+) AGACAATAAAT >hg38_chr9:9747040-9747050(+) AAACAATAGCA >hg38_chr9:9753054-9753064(-) TCACAATGCAT >hg38_chr9:9795755-9795765(-) atacaatagga >hg38_chr9:9795765-9795775(-) atacaatagga >hg38_chr9:10011917-10011927(-) ACACAATGGAA >hg38_chr9:10011948-10011958(-) ATACAAAGGCC >hg38_chr9:10026628-10026638(-) AAACAATGCCC >hg38_chr9:10032426-10032436(-) AAACAATAGGG >hg38_chr9:10061192-10061202(+) TAACAATGAAA >hg38_chr9:10061236-10061246(+) AGACAATGCAT >hg38_chr9:10069080-10069090(-) ACACAATAAAA >hg38_chr9:10069141-10069151(-) GCACAATGCCA >hg38_chr9:10134483-10134493(-) gaacaatggcc >hg38_chr9:10144498-10144508(-) AGACAATGTGG >hg38_chr9:10144578-10144588(+) AAACAATGGAG >hg38_chr9:10168409-10168419(+) GCACAATGATG >hg38_chr9:10168454-10168464(-) TCACAAAGGAC >hg38_chr9:10175968-10175978(+) TAACAATAGAC >hg38_chr9:10176002-10176012(-) GAACAATAACA >hg38_chr9:10232683-10232693(-) TGATAATGGAG >hg38_chr9:10296675-10296685(+) taacaatgtca >hg38_chr9:10325255-10325265(-) taacaatagta >hg38_chr9:10372031-10372041(+) AGACAAAGGAG >hg38_chr9:10453969-10453979(+) AAACAATAGGC >hg38_chr9:10455692-10455702(+) ATACAATATGT >hg38_chr9:10455731-10455741(-) GAACAAAGGTT >hg38_chr9:10479358-10479368(+) AAACAAAGGGA >hg38_chr9:10611312-10611322(+) TAACAATGCAA >hg38_chr9:10895063-10895073(+) TTACAATAGAA >hg38_chr9:10905909-10905919(-) taataatgtaa >hg38_chr9:10905919-10905929(-) acacaatgcct >hg38_chr9:10907292-10907302(-) TAACAATGGAC >hg38_chr9:10921918-10921928(+) gaacaatgaga >hg38_chr9:10928520-10928530(-) GCACAATGGGC >hg38_chr9:11006005-11006015(-) AAACAGTGGAA >hg38_chr9:11077689-11077699(+) ttacaatgcaa >hg38_chr9:11093451-11093461(-) AAACAATGCTT >hg38_chr9:11348823-11348833(-) TGACAATAGAA >hg38_chr9:11915897-11915907(-) acacaatggag >hg38_chr9:12162362-12162372(-) GAACAAAGGCC >hg38_chr9:12213096-12213106(-) TGACAAAGGCG >hg38_chr9:12223512-12223522(-) TAACAATAGCT >hg38_chr9:12241863-12241873(+) TTACAAAGGGT >hg38_chr9:12271885-12271895(-) ACACAATGCTG >hg38_chr9:12289826-12289836(+) gaacaaaggct >hg38_chr9:12289871-12289881(+) taacaaaggat >hg38_chr9:12351606-12351616(-) TCACAATGAAA >hg38_chr9:12351639-12351649(-) AAACAATAAGT >hg38_chr9:12365377-12365387(+) TCATAATGGTA >hg38_chr9:12405816-12405826(-) GAATAATGGTC >hg38_chr9:12435122-12435132(-) aaacaataaga >hg38_chr9:12435132-12435142(-) acacaatgata >hg38_chr9:12461973-12461983(-) ggacaatgagg >hg38_chr9:12462306-12462316(+) agacaatggag >hg38_chr9:12583433-12583443(-) TAACAATGGCT >hg38_chr9:12583475-12583485(-) CTACAATGGAT >hg38_chr9:12627321-12627331(-) gaacaatggac >hg38_chr9:12629344-12629354(+) TTACTATGGAT >hg38_chr9:12629635-12629645(-) agacaataggg >hg38_chr9:12629676-12629686(+) gaacaatgagt >hg38_chr9:12639346-12639356(+) GTACAATGGTA >hg38_chr9:12639354-12639364(+) GTACAATAATA >hg38_chr9:12648504-12648514(+) ATACAATATAC >hg38_chr9:12652353-12652363(-) GAATAATGGCT >hg38_chr9:12680644-12680654(-) CAACAATGCTT >hg38_chr9:12708334-12708344(-) gtacaataaga >hg38_chr9:12736036-12736046(-) GAACAATGGTC >hg38_chr9:12748181-12748191(+) CTATAATGGAC >hg38_chr9:12748188-12748198(+) GGACAAAGGAT >hg38_chr9:12759884-12759894(+) AAACAATGACT >hg38_chr9:12776583-12776593(-) CGACAGTGGCC >hg38_chr9:12776620-12776630(+) AAACAATGGCC >hg38_chr9:12797336-12797346(+) tgacaatagga >hg38_chr9:12814831-12814841(-) GAACAATAACT >hg38_chr9:12860176-12860186(-) AGACAATGGAA >hg38_chr9:12872446-12872456(+) GAACAATGGTA >hg38_chr9:12877997-12878007(+) acacaatggtg >hg38_chr9:12878059-12878069(-) ACACAATGTAc >hg38_chr9:12878625-12878635(-) TTACAATAGGT >hg38_chr9:12884974-12884984(-) ctataatggaa >hg38_chr9:12916290-12916300(-) GAACAATGTGA >hg38_chr9:12972643-12972653(+) CCACAATACGA >hg38_chr9:12984453-12984463(+) tcacaatggta >hg38_chr9:12984494-12984504(+) gaacaataaga >hg38_chr9:12987467-12987477(-) ATACAATATCA >hg38_chr9:12989838-12989848(+) atacaaaggag >hg38_chr9:12997181-12997191(+) CAACAATGCTT >hg38_chr9:13011572-13011582(+) aaacaatgtcc >hg38_chr9:13084414-13084424(-) AGACAATGCTG >hg38_chr9:13089633-13089643(+) ATACAATGTAC >hg38_chr9:13124569-13124579(+) GAATAATGTAC >hg38_chr9:13131197-13131207(+) TAATAATGGGG >hg38_chr9:13143445-13143455(+) AGACAATGGGC >hg38_chr9:13144757-13144767(+) GCACAATGACA >hg38_chr9:13164621-13164631(+) AAACAATGTTA >hg38_chr9:13175168-13175178(-) TTACAATAGCT >hg38_chr9:13183832-13183842(+) TAACAAAGGGC >hg38_chr9:13222400-13222410(-) TATCAATGGAC >hg38_chr9:13247302-13247312(+) GAACAATGAGC >hg38_chr9:13254935-13254945(+) caacaatgagc >hg38_chr9:13261338-13261348(+) TTACAATGAAC >hg38_chr9:13281532-13281542(+) ATACAAAGGAA >hg38_chr9:13325288-13325298(-) atacaatacaa >hg38_chr9:13325293-13325303(-) atacaatacaa >hg38_chr9:13325298-13325308(-) atacaatacaa >hg38_chr9:13325303-13325313(-) atacaatacaa >hg38_chr9:13325308-13325318(-) atacaatacaa >hg38_chr9:13325313-13325323(-) atacaatacaa >hg38_chr9:13325318-13325328(-) atacaatacaa >hg38_chr9:13325323-13325333(-) atacaatacaa >hg38_chr9:13325328-13325338(-) atacaatacaa >hg38_chr9:13325337-13325347(-) atacaataaat >hg38_chr9:13325342-13325352(-) atacaatacaa >hg38_chr9:13325347-13325357(-) atacaatacaa >hg38_chr9:13325352-13325362(-) atacaatacaa >hg38_chr9:13348794-13348804(-) gcacaATGTGG >hg38_chr9:13353363-13353373(+) TTACAATGGAG >hg38_chr9:13353422-13353432(-) ATACAATGATC >hg38_chr9:13369631-13369641(+) GCACAATAGAA >hg38_chr9:13422478-13422488(-) agacaatgtga >hg38_chr9:13432044-13432054(+) GCACAATAGCT >hg38_chr9:13443574-13443584(-) TGACAATGCGC >hg38_chr9:13444044-13444054(+) AAACAAAGGAG >hg38_chr9:13445772-13445782(-) CAACAATGCTA >hg38_chr9:13450918-13450928(+) AAACAATGGCT >hg38_chr9:13491644-13491654(+) CTACAATGTGC >hg38_chr9:13492094-13492104(+) TGACAATGAAG >hg38_chr9:13512313-13512323(-) gtacaatggac >hg38_chr9:13521621-13521631(-) GAACAATGATT >hg38_chr9:13545240-13545250(+) CCACAATGGAG >hg38_chr9:13549747-13549757(+) AAACAAAGGCA >hg38_chr9:13554068-13554078(-) aaacaatgctg >hg38_chr9:13568790-13568800(-) AAACAATGGGA >hg38_chr9:13569263-13569273(-) AAACAATGCCT >hg38_chr9:13575590-13575600(+) gaacaATGTCT >hg38_chr9:13589531-13589541(-) TGACAATGGCA >hg38_chr9:13589541-13589551(+) ATACAATGAGG >hg38_chr9:13600673-13600683(+) atacaatatat >hg38_chr9:13633810-13633820(+) acacaatggat >hg38_chr9:13750392-13750402(+) GCACAATAGAA >hg38_chr9:13759691-13759701(+) GAACAATGCAA >hg38_chr9:13778811-13778821(-) ATACAAAGGTT >hg38_chr9:13814913-13814923(+) gaacaatgatg >hg38_chr9:13827666-13827676(+) ctacaatgttc >hg38_chr9:13859355-13859365(-) taacaatggtg >hg38_chr9:13878669-13878679(-) GAACAATAGGA >hg38_chr9:13897328-13897338(-) TGACAATGAAA >hg38_chr9:13897342-13897352(+) GGACAAAGGAA >hg38_chr9:13900681-13900691(+) AAACAATGGAA >hg38_chr9:13910482-13910492(-) TAACAATGGAT >hg38_chr9:13921284-13921294(-) GAACAATAAAA >hg38_chr9:13921299-13921309(+) CAACAATGTTA >hg38_chr9:13944322-13944332(+) acacaatgaat >hg38_chr9:13944336-13944346(-) TAACAATGGAT >hg38_chr9:13944342-13944352(-) TAACAATAACA >hg38_chr9:13967114-13967124(+) ACATAATGGCA >hg38_chr9:13992763-13992773(-) GTACAATGCCC >hg38_chr9:14019395-14019405(-) gaacaatagat >hg38_chr9:14031445-14031455(+) AAACAATGCAT >hg38_chr9:14052207-14052217(-) GTACTATGGCG >hg38_chr9:14083266-14083276(-) GCACAATGACC >hg38_chr9:14085206-14085216(-) GAACAATGCTT >hg38_chr9:14095506-14095516(-) TAACAATAGCA >hg38_chr9:14096599-14096609(-) GAACAATGGAC >hg38_chr9:14098354-14098364(+) AAACAATAAAT >hg38_chr9:14099728-14099738(-) TGATAATGGCA >hg38_chr9:14133978-14133988(-) GTACAAAGGAT >hg38_chr9:14137117-14137127(+) ggataatggtc >hg38_chr9:14143907-14143917(-) CTACAATGAAG >hg38_chr9:14144145-14144155(+) ATACAATGTTT >hg38_chr9:14144404-14144414(+) TGACAATGTCA >hg38_chr9:14159179-14159189(+) ATACAATGACT >hg38_chr9:14160938-14160948(-) GAACAATGCCA >hg38_chr9:14179664-14179674(+) ATACAGTGGTA >hg38_chr9:14186348-14186358(-) TGACAATGGGC >hg38_chr9:14211828-14211838(-) GTACAATATTC >hg38_chr9:14221746-14221756(-) ATACTATGGAT >hg38_chr9:14238666-14238676(+) AAACAAAGGTA >hg38_chr9:14238698-14238708(-) CCACAATGAAA >hg38_chr9:14258278-14258288(+) GAACAATGCTT >hg38_chr9:14277514-14277524(+) CGACAATGGTC >hg38_chr9:14298737-14298747(+) ACACAATAGAA >hg38_chr9:14308538-14308548(+) GAACAATGAAA >hg38_chr9:14312193-14312203(-) AAACAATGATA >hg38_chr9:14312246-14312256(+) TCACAATGGCT >hg38_chr9:14315820-14315830(+) AGACAATGGAG >hg38_chr9:14316946-14316956(-) AAATAATGGCT >hg38_chr9:14319798-14319808(+) TAACAATGCAT >hg38_chr9:14321359-14321369(+) TAACAATAGGA >hg38_chr9:14322891-14322901(-) GCACAAAGGCG >hg38_chr9:14344393-14344403(-) CAACAATAGAC >hg38_chr9:14362258-14362268(+) GGACAATGACT >hg38_chr9:14362277-14362287(+) CTACAATGGTG >hg38_chr9:14363438-14363448(-) GAACAATGATA >hg38_chr9:14373089-14373099(+) GGACAATGACA >hg38_chr9:14373904-14373914(+) AAACAAAGGGA >hg38_chr9:14396388-14396398(-) GGACAATGAGT >hg38_chr9:14397392-14397402(+) TGACAATGGAA >hg38_chr9:14418399-14418409(-) TAACAGTGGTA >hg38_chr9:14454219-14454229(+) ATACAATAATG >hg38_chr9:14462907-14462917(+) AGACAATGTCA >hg38_chr9:14462982-14462992(+) TTACAATGTTA >hg38_chr9:14466734-14466744(-) TAACAAAGGCA >hg38_chr9:14478942-14478952(+) TCACAAAGGAT >hg38_chr9:14494375-14494385(-) GTACAATAGAG >hg38_chr9:14531508-14531518(+) ATACAATACAC >hg38_chr9:14531753-14531763(+) CCACAATAGCA >hg38_chr9:14542128-14542138(+) ACACAATAGCA >hg38_chr9:14547448-14547458(-) aaacaatgggg >hg38_chr9:14551415-14551425(-) AGACAATATAT >hg38_chr9:14614403-14614413(+) GCACAATGATA >hg38_chr9:14617457-14617467(+) TGACAATGGTA >hg38_chr9:14627685-14627695(+) ACACAATAGCT >hg38_chr9:14637888-14637898(+) aaacaaaggaa >hg38_chr9:14640592-14640602(-) acacaatgctt >hg38_chr9:14657403-14657413(+) aaacaatgtgt >hg38_chr9:14662549-14662559(-) TAACAATAGTG >hg38_chr9:14750387-14750397(-) GTACAATAGCT >hg38_chr9:14768688-14768698(+) TGACAATGACT >hg38_chr9:14790260-14790270(-) GCACAATGGCA >hg38_chr9:14808036-14808046(-) CTACAATGGAC >hg38_chr9:14814872-14814882(+) AAACAATAAAC >hg38_chr9:14814906-14814916(-) TGACAATAGGC >hg38_chr9:14854784-14854794(+) atataatggga >hg38_chr9:14855262-14855272(+) atacaatagaa >hg38_chr9:14888982-14888992(-) ttacaatagaa >hg38_chr9:14889368-14889378(-) aaacaaaggaa >hg38_chr9:14889476-14889486(-) cgaccatgggt >hg38_chr9:14896837-14896847(-) tcacaatgaag >hg38_chr9:14902320-14902330(-) AGATAATGGAG >hg38_chr9:14909878-14909888(+) TCACAATGAAA >hg38_chr9:14993701-14993711(-) gcacaatgtct >hg38_chr9:14995267-14995277(-) GAACAATGGTA >hg38_chr9:15086324-15086334(-) taacaatagct >hg38_chr9:15138532-15138542(+) ttacaaaggca >hg38_chr9:15162648-15162658(+) GAACAAAGGAA >hg38_chr9:15166337-15166347(-) TTACAATGTAC >hg38_chr9:15209799-15209809(-) CTACAATGTGA >hg38_chr9:15232327-15232337(-) taactatagcg >hg38_chr9:15249308-15249318(+) ATACAAAGGTC >hg38_chr9:15310741-15310751(+) caacaatggca >hg38_chr9:15326221-15326231(-) atacaataggt >hg38_chr9:15358937-15358947(+) ttacaATAACA >hg38_chr9:15403284-15403294(-) GGACAATagaa >hg38_chr9:15422673-15422683(+) GAACAATGCTG >hg38_chr9:15431551-15431561(+) gaacaaaggaa >hg38_chr9:15464949-15464959(-) GAACAATGTGT >hg38_chr9:15468999-15469009(-) TCACAATGCAA >hg38_chr9:15486208-15486218(-) ACACAATGTTT >hg38_chr9:15496389-15496399(-) ATACAATGTCA >hg38_chr9:15496867-15496877(+) tcacaatatac >hg38_chr9:15532455-15532465(+) TTACAGTGGTC >hg38_chr9:15552131-15552141(-) GAACAATGCAA >hg38_chr9:15552477-15552487(+) ATACAATGGGG >hg38_chr9:15579901-15579911(+) taacaataatg >hg38_chr9:15593214-15593224(-) ACACAATGGTA >hg38_chr9:15622571-15622581(+) CAACAATGAAT >hg38_chr9:15784457-15784467(+) CAACAATGCAG >hg38_chr9:15815122-15815132(-) AAACAATGATG >hg38_chr9:15839066-15839076(+) CTACAATGGAG >hg38_chr9:15839681-15839691(+) TTataatggcc >hg38_chr9:15843013-15843023(-) ATACAAAGGAA >hg38_chr9:15849640-15849650(-) AAACAATGTTT >hg38_chr9:15851938-15851948(-) atacaatggca >hg38_chr9:15855866-15855876(-) acacaatatat >hg38_chr9:15870303-15870313(+) GGACAAAGGAG >hg38_chr9:15891444-15891454(-) TGACAATGGAC >hg38_chr9:16057501-16057511(-) TTACAATAGCT >hg38_chr9:16063910-16063920(-) GAACAATAAGA >hg38_chr9:16063933-16063943(+) CGACAATGCCC >hg38_chr9:16109298-16109308(-) ACACAATGGAG >hg38_chr9:16131536-16131546(-) ccacaatggga >hg38_chr9:16131546-16131556(+) gcacaatgtcC >hg38_chr9:16154356-16154366(+) GGACAATGTCC >hg38_chr9:16160144-16160154(+) ctacaatagtg >hg38_chr9:16243970-16243980(-) GAACAAAGGTG >hg38_chr9:16250664-16250674(+) aaacaatagat >hg38_chr9:16329881-16329891(+) AGACAAAGGAA >hg38_chr9:16345335-16345345(+) GCACAATGTTC >hg38_chr9:16364479-16364489(-) GAACAATGGGC >hg38_chr9:16421805-16421815(-) GAATAATAGCG >hg38_chr9:16422824-16422834(-) CAACAATAGGT >hg38_chr9:16458899-16458909(+) GAACAATGAGC >hg38_chr9:16501378-16501388(+) TAATAATGATA >hg38_chr9:16522105-16522115(-) GCACAATGGAG >hg38_chr9:16532460-16532470(+) CTACAATAGAC >hg38_chr9:16572477-16572487(-) GAACAAAGGTT >hg38_chr9:16580682-16580692(-) CTACTATGGTA >hg38_chr9:16604168-16604178(+) GTACAATACAA >hg38_chr9:16611143-16611153(+) GTACAATATGT >hg38_chr9:16620147-16620157(-) TATCAATGGCG >hg38_chr9:16644132-16644142(+) CTACAATGATA >hg38_chr9:16652434-16652444(+) AAATAATGGGG >hg38_chr9:16654346-16654356(+) AAACAATGCTC >hg38_chr9:16683569-16683579(+) GAACAATAAGA >hg38_chr9:16705453-16705463(-) TCACAATGCAA >hg38_chr9:16708801-16708811(+) AAACAGTGGAT >hg38_chr9:16708870-16708880(+) GCACAATGTGA >hg38_chr9:16719683-16719693(+) AGACAATGGGT >hg38_chr9:16727549-16727559(+) CAACAATGTCT >hg38_chr9:16730392-16730402(+) AAACAAAGGGC >hg38_chr9:16769031-16769041(+) GAACAATGGAA >hg38_chr9:16793195-16793205(+) ATACAATGTTT >hg38_chr9:16796043-16796053(+) agacaatggag >hg38_chr9:16804002-16804012(+) TAACAATGAGC >hg38_chr9:16810340-16810350(-) AGACAAAGGCA >hg38_chr9:16824069-16824079(+) CTACAAAGGAA >hg38_chr9:16824155-16824165(-) TGATAATGGTA >hg38_chr9:16864719-16864729(+) CGACAATAGTC >hg38_chr9:16922011-16922021(-) agacaatgcca >hg38_chr9:16986711-16986721(-) TAACAATGCTT >hg38_chr9:17046770-17046780(+) caacaatagca >hg38_chr9:17068909-17068919(-) CTACAATGCCT >hg38_chr9:17070494-17070504(-) ttacaataaac >hg38_chr9:17078200-17078210(+) AAACAATGTGT >hg38_chr9:17131902-17131912(-) tgacaatgagc >hg38_chr9:17245143-17245153(-) atacaaaggaa >hg38_chr9:17279475-17279485(-) AAACAACGGCT >hg38_chr9:17314975-17314985(-) taacaataatt >hg38_chr9:17314981-17314991(-) agacaataaca >hg38_chr9:17332299-17332309(-) TTACAAAGGAG >hg38_chr9:17337793-17337803(-) TCACAATGATT >hg38_chr9:17361813-17361823(-) ggacaataggc >hg38_chr9:17364597-17364607(-) AAACAATAAAA >hg38_chr9:17389492-17389502(+) CTACAATAGTA >hg38_chr9:17389548-17389558(-) GTACAATGAAA >hg38_chr9:17421173-17421183(-) atacaataata >hg38_chr9:17429338-17429348(-) CTACAATAGCT >hg38_chr9:17441923-17441933(-) ttataatggtt >hg38_chr9:17463033-17463043(-) ATACAATGGAT >hg38_chr9:17490188-17490198(-) CTACAATGTTG >hg38_chr9:17492807-17492817(+) tgacaatagcc >hg38_chr9:17527285-17527295(-) GAACAATGGGA >hg38_chr9:17533653-17533663(-) CAACAATAGTT >hg38_chr9:17536532-17536542(-) ACACTatggta >hg38_chr9:17559523-17559533(+) atacaaagggg >hg38_chr9:17560145-17560155(+) aaacaataata >hg38_chr9:17572030-17572040(-) TAACAAAGGAA >hg38_chr9:17613431-17613441(+) CAACAATGGCA >hg38_chr9:17647321-17647331(-) aaacaatgtga >hg38_chr9:17648480-17648490(-) GAACAATGGCT >hg38_chr9:17715096-17715106(-) atacaaaggat >hg38_chr9:17748816-17748826(-) tgacaatgcag >hg38_chr9:17767613-17767623(-) aaacaatgtac >hg38_chr9:17814238-17814248(+) ggacaatatac >hg38_chr9:17824458-17824468(-) ATACAATGGAA >hg38_chr9:17825603-17825613(-) GTACACTGGAA >hg38_chr9:17826128-17826138(+) aaacaagggcg >hg38_chr9:17854245-17854255(+) gaacaatagtt >hg38_chr9:17892687-17892697(+) ACACAATAGCA >hg38_chr9:17918699-17918709(-) gaacaatggta >hg38_chr9:17948795-17948805(+) caacaatagga >hg38_chr9:17953135-17953145(-) ATACAATGTGT >hg38_chr9:17960558-17960568(-) atacaatgaat >hg38_chr9:17960597-17960607(-) gtacagtggtg >hg38_chr9:17967136-17967146(-) agacaatgatc >hg38_chr9:17991637-17991647(-) ATACAAAGGGT >hg38_chr9:17991647-17991657(+) TAACAATGTGA >hg38_chr9:17996588-17996598(-) GTACAATAAGA >hg38_chr9:17998886-17998896(+) ATACAAAGGCC >hg38_chr9:17999218-17999228(-) CTACAAAGGAA >hg38_chr9:18088137-18088147(+) acacaatgggg >hg38_chr9:18094475-18094485(-) ACACAATGGAT >hg38_chr9:18098808-18098818(-) ttacaatgcat >hg38_chr9:18098864-18098874(+) ctacaatagag >hg38_chr9:18134073-18134083(-) AAATAATGGCT >hg38_chr9:18142344-18142354(+) TAACAAAGGAC >hg38_chr9:18142378-18142388(+) GAACAATGAAA >hg38_chr9:18156775-18156785(-) AGACAATGAAA >hg38_chr9:18167313-18167323(-) GTACAATAGTG >hg38_chr9:18194484-18194494(+) TAACAATGTTA >hg38_chr9:18214850-18214860(+) ctataatggga >hg38_chr9:18238313-18238323(-) AAACAATGGAT >hg38_chr9:18238397-18238407(-) GCACAAAGGGA >hg38_chr9:18246915-18246925(+) AGACAATGTAT >hg38_chr9:18256758-18256768(-) TTACGATGGTT >hg38_chr9:18268552-18268562(+) TGACAATGCCT >hg38_chr9:18268961-18268971(-) TTACAATAGCG >hg38_chr9:18269356-18269366(-) CTACAATGTTA >hg38_chr9:18277960-18277970(-) cgataatgaca >hg38_chr9:18285857-18285867(+) gtacaataaaa >hg38_chr9:18332985-18332995(-) CAACAATGGTT >hg38_chr9:18346987-18346997(-) taacaatagct >hg38_chr9:18347768-18347778(-) GCACAATGGTG >hg38_chr9:18358767-18358777(-) aaacaatgaat >hg38_chr9:18359469-18359479(+) GGACAATGTTT >hg38_chr9:18379406-18379416(-) gaacaataggg >hg38_chr9:18379442-18379452(-) aaacagtggat >hg38_chr9:18398121-18398131(+) ccacaatagac >hg38_chr9:18448383-18448393(+) GGACAATGAGA >hg38_chr9:18459132-18459142(+) AGACAATAGTC >hg38_chr9:18459158-18459168(-) AAATAATGGAA >hg38_chr9:18460111-18460121(-) AAACAATGCAC >hg38_chr9:18473738-18473748(+) GAACAATACAC >hg38_chr9:18479564-18479574(-) TTACAATGAAT >hg38_chr9:18492048-18492058(+) AGACAATAGTA >hg38_chr9:18512798-18512808(-) ATACAATTGAA >hg38_chr9:18543222-18543232(-) CCACAATGTCC >hg38_chr9:18565143-18565153(-) ATATAATGAAT >hg38_chr9:18579721-18579731(-) GTACAATGTGG >hg38_chr9:18580181-18580191(-) AAACAATATTA >hg38_chr9:18589863-18589873(-) taataatgata >hg38_chr9:18600220-18600230(-) TAACAATAGTT >hg38_chr9:18608574-18608584(-) TGACAATGGAA >hg38_chr9:18611085-18611095(+) CAACAAAGGAC >hg38_chr9:18629250-18629260(-) gtacaataaga >hg38_chr9:18629275-18629285(+) atacaatagtg >hg38_chr9:18629297-18629307(+) tgacaatggag >hg38_chr9:18659026-18659036(-) AAACAATAATC >hg38_chr9:18659241-18659251(-) ATACAATGTTT >hg38_chr9:18659287-18659297(+) ACACAATGTAA >hg38_chr9:18669144-18669154(+) TAACAATGCCA >hg38_chr9:18676202-18676212(-) GAACAAAGGGA >hg38_chr9:18704563-18704573(-) ACACAAAGGAA >hg38_chr9:18704913-18704923(-) GAACAAAGGTC >hg38_chr9:18738404-18738414(+) AGACAATGAGA >hg38_chr9:18746363-18746373(-) TGACAATAATA >hg38_chr9:18779300-18779310(-) TTACAAAGGCA >hg38_chr9:18779363-18779373(+) TAACaatacta >hg38_chr9:18796330-18796340(-) gaacaatgagc >hg38_chr9:18798529-18798539(+) ttacagtggga >hg38_chr9:18799981-18799991(+) TAACAAAGGAG >hg38_chr9:18800038-18800048(+) ATACAAAGGCT >hg38_chr9:18800048-18800058(+) TAACAAAGGAA >hg38_chr9:18805443-18805453(-) ACACAATGGCA >hg38_chr9:18805511-18805521(-) gaacaacggct >hg38_chr9:18854289-18854299(-) TAACAATATCA >hg38_chr9:18887724-18887734(-) ACACAATGTGG >hg38_chr9:18902237-18902247(+) gaacaatacta >hg38_chr9:18912193-18912203(+) ATACAATGTAT >hg38_chr9:18982460-18982470(+) GTACAATGAAA >hg38_chr9:18986199-18986209(+) TCACAATGTAT >hg38_chr9:18986231-18986241(+) AAATAATGGCA >hg38_chr9:19048613-19048623(-) taacaataggc >hg38_chr9:19075032-19075042(+) atacaatggca >hg38_chr9:19084235-19084245(+) aaacaatgttg >hg38_chr9:19138450-19138460(+) ATACTatggtg >hg38_chr9:19138482-19138492(-) ccacaatgatc >hg38_chr9:19139030-19139040(+) tgacaatggcc >hg38_chr9:19200071-19200081(+) atacaatgagt >hg38_chr9:19242376-19242386(-) acacaatgatt >hg38_chr9:19284431-19284441(-) taacaataaat >hg38_chr9:19285361-19285371(-) aaacaatacta >hg38_chr9:19326726-19326736(+) TAACAATtgta >hg38_chr9:19371295-19371305(-) AAACAATGTAA >hg38_chr9:19372294-19372304(+) GGACAATATAT >hg38_chr9:19372301-19372311(+) ATATAATGAAT >hg38_chr9:19421187-19421197(-) ttataatggag >hg38_chr9:19421222-19421232(-) caacaatgagc >hg38_chr9:19446673-19446683(-) TAATAATGGAG >hg38_chr9:19455144-19455154(-) TAACAATGGTA >hg38_chr9:19479525-19479535(-) ccacaatggct >hg38_chr9:19479552-19479562(-) ccacaatgaaa >hg38_chr9:19509407-19509417(+) ttacaataaac >hg38_chr9:19510886-19510896(-) CTACAAAGGAC >hg38_chr9:19593605-19593615(-) CAACAATGAGC >hg38_chr9:19626457-19626467(+) GAACAATAAAC >hg38_chr9:19702274-19702284(-) AAACAATAAAC >hg38_chr9:19785303-19785313(-) TCACAATGACC >hg38_chr9:19785351-19785361(+) TTACAATGGAT >hg38_chr9:19792983-19792993(+) TGACAATGACC >hg38_chr9:19799961-19799971(-) agacaatgaag >hg38_chr9:19804607-19804617(-) ttacaaaggaa >hg38_chr9:19848956-19848966(+) atacaaagata >hg38_chr9:19849494-19849504(-) GTACAATGTCT >hg38_chr9:19869458-19869468(+) CTACAATAGtt >hg38_chr9:19904680-19904690(-) ATACAATGTAT >hg38_chr9:19918297-19918307(-) taacaatgaga >hg38_chr9:19926263-19926273(-) AAACAAAGGGG >hg38_chr9:19940758-19940768(+) CTACAATGCCT >hg38_chr9:19944720-19944730(+) GTATAATGCGC >hg38_chr9:19946867-19946877(-) CAACAATGAGA >hg38_chr9:19968214-19968224(-) GAACAATGGAA >hg38_chr9:19982721-19982731(+) agacaataaaa >hg38_chr9:19988355-19988365(+) CCACAATGACC >hg38_chr9:20001166-20001176(-) GGACAATGCAG >hg38_chr9:20012756-20012766(+) aaacaataaat >hg38_chr9:20200726-20200736(-) ggacaatggca >hg38_chr9:20302446-20302456(-) aaacaatgaga >hg38_chr9:20307905-20307915(-) gaacAAAGGCA >hg38_chr9:20319867-20319877(-) TCACAATAGCT >hg38_chr9:20356420-20356430(-) CAACAATGGAG >hg38_chr9:20379045-20379055(-) CAACAATAGAT >hg38_chr9:20383153-20383163(-) ACACAATAGTG >hg38_chr9:20416903-20416913(-) TGACAATATAT >hg38_chr9:20434634-20434644(+) GAACAAAGGCT >hg38_chr9:20479849-20479859(+) TCACAATGGCT >hg38_chr9:20479876-20479886(+) TAACAATGCCA >hg38_chr9:20526811-20526821(-) agacaatgaag >hg38_chr9:20545346-20545356(+) ttacagtggtc >hg38_chr9:20545418-20545428(-) taacaatggat >hg38_chr9:20563660-20563670(-) AAACAATGGGC >hg38_chr9:20606832-20606842(+) CCACAATGTCA >hg38_chr9:20613357-20613367(-) TAATAATGTAT >hg38_chr9:20630603-20630613(-) AGACAATGCTG >hg38_chr9:20635131-20635141(+) taacaatggct >hg38_chr9:20664762-20664772(-) gcacaatggct >hg38_chr9:20664792-20664802(+) taataatggtg >hg38_chr9:20703827-20703837(-) TAACAATATAA >hg38_chr9:20725613-20725623(-) TTACAAAGGAT >hg38_chr9:20726283-20726293(-) TAACAATGGTT >hg38_chr9:20727410-20727420(+) TTATAATGGAA >hg38_chr9:20749687-20749697(-) AAACAATGCCT >hg38_chr9:20785304-20785314(-) atacaatgctg >hg38_chr9:20842143-20842153(+) ttacaatacta >hg38_chr9:20852409-20852419(-) AAACAATAGGG >hg38_chr9:20853888-20853898(-) AAACAATGAGA >hg38_chr9:20853939-20853949(-) ACACAATAACG >hg38_chr9:20868151-20868161(-) CAACAATGGGT >hg38_chr9:20905012-20905022(+) ACACAATGGGA >hg38_chr9:20908907-20908917(+) tcacaatgagc >hg38_chr9:20916584-20916594(+) ATATAATGGAC >hg38_chr9:20924479-20924489(+) taacaatgctc >hg38_chr9:20928228-20928238(-) TCACAAAGGTA >hg38_chr9:20941280-20941290(-) GCACAATGAGC >hg38_chr9:20941288-20941298(-) TCACAATGGCA >hg38_chr9:20945483-20945493(+) ACATAATGGCT >hg38_chr9:20955595-20955605(-) GAACAATATAT >hg38_chr9:20955619-20955629(-) TAATAATGACG >hg38_chr9:20962662-20962672(+) CGATAATGGGT >hg38_chr9:20971674-20971684(-) taacaatatga >hg38_chr9:21014971-21014981(-) AGACAATGGAA >hg38_chr9:21015548-21015558(+) ATACAATAAAA >hg38_chr9:21015607-21015617(-) TAACAAAGGCA >hg38_chr9:21018366-21018376(+) AGACAATACGA >hg38_chr9:21039676-21039686(+) AGACAAAGGAA >hg38_chr9:21039693-21039703(+) TCACAATGAAG >hg38_chr9:21056208-21056218(+) TAACAATGGGC >hg38_chr9:21056217-21056227(+) GCACAATGACC >hg38_chr9:21125733-21125743(+) AAATAATGGCT >hg38_chr9:21242979-21242989(-) gtacaattgac >hg38_chr9:21242989-21242999(-) atacaatggag >hg38_chr9:21251166-21251176(-) AAACAATGCCT >hg38_chr9:21253528-21253538(-) TCACAATAGCT >hg38_chr9:21266601-21266611(+) AAATAATGGAA >hg38_chr9:21312068-21312078(+) ACACAATGCAG >hg38_chr9:21315264-21315274(+) GAACAAAGGCA >hg38_chr9:21318748-21318758(+) TTACAATGGAA >hg38_chr9:21327168-21327178(+) tgacaatgcac >hg38_chr9:21338881-21338891(-) GAACAATAAAA >hg38_chr9:21359048-21359058(+) TGACAAAGGAT >hg38_chr9:21395097-21395107(+) ACACAAAGGCA >hg38_chr9:21395112-21395122(-) AAATAATGGCT >hg38_chr9:21451116-21451126(-) tcacaatgctc >hg38_chr9:21453640-21453650(-) AAACAAAGGAA >hg38_chr9:21454267-21454277(+) GGACAAAGGTC >hg38_chr9:21454276-21454286(+) TCACAAAGGAT >hg38_chr9:21454285-21454295(-) AAACAATAAAT >hg38_chr9:21461236-21461246(-) caacaatagaa >hg38_chr9:21471487-21471497(+) TCACAATGGGC >hg38_chr9:21472490-21472500(+) atacaaaggga >hg38_chr9:21473201-21473211(-) gaacaatgcct >hg38_chr9:21498683-21498693(-) ATACAATGGAA >hg38_chr9:21510707-21510717(+) TGACAATCGAG >hg38_chr9:21515557-21515567(-) GTACAATGAAT >hg38_chr9:21534401-21534411(+) ACACAATGGGA >hg38_chr9:21551823-21551833(+) AGACAATGGCT >hg38_chr9:21552264-21552274(-) ACACAATAAAA >hg38_chr9:21558912-21558922(-) AGACAATGGCA >hg38_chr9:21578043-21578053(-) TAACAATGGTT >hg38_chr9:21578514-21578524(+) ttacaatgttt >hg38_chr9:21578543-21578553(-) taacaataaag >hg38_chr9:21615320-21615330(+) gaacaatggag >hg38_chr9:21701823-21701833(-) AAACAATGTTA >hg38_chr9:21708385-21708395(-) CTACAATAGAG >hg38_chr9:21711685-21711695(+) TCACAATAGCC >hg38_chr9:21711716-21711726(-) AGACAAAGGCA >hg38_chr9:21746262-21746272(-) caacaatgagg >hg38_chr9:21746324-21746334(-) gtacaatggag >hg38_chr9:21747368-21747378(-) GGACAATgtga >hg38_chr9:21771099-21771109(+) ATACAATGAAG >hg38_chr9:21803541-21803551(-) GCACAATGACC >hg38_chr9:21830444-21830454(-) TAACAATGGGG >hg38_chr9:21883743-21883753(+) aaacaataggg >hg38_chr9:21891892-21891902(+) tgacaaaggga >hg38_chr9:21920558-21920568(-) GGATAATGGGT >hg38_chr9:21921604-21921614(+) GAACAATGGAA >hg38_chr9:21933715-21933725(-) gtacaataata >hg38_chr9:21935837-21935847(+) ACACAAAGGGA >hg38_chr9:21943039-21943049(+) agacaatggga >hg38_chr9:21988574-21988584(+) gaacaatagac >hg38_chr9:21991854-21991864(+) GAATAATGGTT >hg38_chr9:21997081-21997091(-) caacaatgaaa >hg38_chr9:21998194-21998204(+) atacaatggtg >hg38_chr9:21998224-21998234(+) TAACAATGGGT >hg38_chr9:22011719-22011729(+) ATACAATAGCA >hg38_chr9:22011740-22011750(+) GGACAATAGAG >hg38_chr9:22032557-22032567(-) CAACAATGAAT >hg38_chr9:22037504-22037514(-) GAACAATGACA >hg38_chr9:22038771-22038781(-) AAATAATGGAT >hg38_chr9:22062217-22062227(+) GGACAATAAAA >hg38_chr9:22062236-22062246(-) TAACAATAGCA >hg38_chr9:22119558-22119568(+) GAACAATGACC >hg38_chr9:22123839-22123849(+) ctacaatgagc >hg38_chr9:22123852-22123862(+) aaacaatgaca >hg38_chr9:22123858-22123868(+) tgacaacggaa >hg38_chr9:22130250-22130260(-) GGACAATGCAG >hg38_chr9:22137136-22137146(-) GAACAATGTTC >hg38_chr9:22242461-22242471(-) ACACAATAGAA >hg38_chr9:22307815-22307825(+) AAACAATGGCA >hg38_chr9:22308419-22308429(+) ACACAAAGGAG >hg38_chr9:22346967-22346977(-) GTACAATGTTG >hg38_chr9:22379923-22379933(-) TTACAATGCAG >hg38_chr9:22383827-22383837(+) ACACAATGAAG >hg38_chr9:22431050-22431060(+) CCACAATGGCA >hg38_chr9:22451950-22451960(+) ATACAATACAT >hg38_chr9:22481320-22481330(+) taacaatagtg >hg38_chr9:22556080-22556090(+) atacaatgaga >hg38_chr9:22593949-22593959(-) gaacaatgaat >hg38_chr9:22598601-22598611(-) GGATAATGGAA >hg38_chr9:22624765-22624775(+) gaacaataaaa >hg38_chr9:22652811-22652821(+) acataatggat >hg38_chr9:22655004-22655014(-) TAACAATAATC >hg38_chr9:22666687-22666697(-) ttacaatgtag >hg38_chr9:22666735-22666745(-) gcacaataacg >hg38_chr9:22672581-22672591(-) taacaatgatg >hg38_chr9:22682673-22682683(+) GAACAATGGCA >hg38_chr9:22694187-22694197(+) taacaatggca >hg38_chr9:22697655-22697665(-) TTACAATATac >hg38_chr9:22722721-22722731(-) TAACAATGACA >hg38_chr9:22723783-22723793(+) TGACAATGTAG >hg38_chr9:22755115-22755125(+) CTACAATAGAG >hg38_chr9:22755124-22755134(+) AGACAATAGGA >hg38_chr9:22758160-22758170(-) tcataatggaa >hg38_chr9:22840783-22840793(-) TTACAATGGCA >hg38_chr9:22863955-22863965(-) tgacaatgaaa >hg38_chr9:22863967-22863977(+) gaacaatgggt >hg38_chr9:22899655-22899665(-) TGACAATGGTA >hg38_chr9:22916196-22916206(-) atataatggtt >hg38_chr9:22963553-22963563(+) GAACAATAACA >hg38_chr9:22975753-22975763(+) GTACAGTGGAT >hg38_chr9:23049904-23049914(+) tcacaatgagc >hg38_chr9:23050321-23050331(-) ctataatggat >hg38_chr9:23254568-23254578(+) AAACAATAGGA >hg38_chr9:23254601-23254611(+) AAACAATGGAA >hg38_chr9:23321162-23321172(-) ATACAATGATA >hg38_chr9:23322163-23322173(+) GGACAATGTAT >hg38_chr9:23404118-23404128(-) TAACAATGCTC >hg38_chr9:23404131-23404141(-) GAACAAAGGAA >hg38_chr9:23537359-23537369(+) GAACAAAGGTC >hg38_chr9:23537939-23537949(-) CAACAATGGGG >hg38_chr9:23543763-23543773(-) TTACAATGTCC >hg38_chr9:23550302-23550312(-) GAACAATGAAG >hg38_chr9:23551686-23551696(+) AGACAAAGGCA >hg38_chr9:23555588-23555598(-) TGACAAAGGAT >hg38_chr9:23717723-23717733(+) AAATAATGGTC >hg38_chr9:23717762-23717772(+) acacaatgaca >hg38_chr9:23747238-23747248(+) ggacaaaggga >hg38_chr9:23769494-23769504(+) TAACAATGTCA >hg38_chr9:23769703-23769713(+) TAACAACGGCC >hg38_chr9:23769727-23769737(+) AGACAATGCAC >hg38_chr9:23777508-23777518(-) TGACAAAGGAT >hg38_chr9:23779321-23779331(+) GAACAAAGGCA >hg38_chr9:23785121-23785131(+) CCACAATGTAC >hg38_chr9:23785141-23785151(-) GTACAATAAGA >hg38_chr9:23785186-23785196(+) TCACAATGAGG >hg38_chr9:23797092-23797102(+) gtacaatagCT >hg38_chr9:23827853-23827863(+) TAACAATATAC >hg38_chr9:23850503-23850513(+) GCACAATAGCC >hg38_chr9:23896229-23896239(-) TAACAATAGCT >hg38_chr9:23934766-23934776(+) CAACAATGAGG >hg38_chr9:23940755-23940765(-) AAACAATGGGT >hg38_chr9:24012081-24012091(+) AGATAATGGAA >hg38_chr9:24041505-24041515(+) GAACAATGCTC >hg38_chr9:24109004-24109014(+) GAACAATGAAA >hg38_chr9:24139039-24139049(+) GTACAATAATC >hg38_chr9:24216724-24216734(+) TGACAATGTGG >hg38_chr9:24216770-24216780(+) GAACCATGGTA >hg38_chr9:24218869-24218879(-) GAACAATGCCT >hg38_chr9:24327277-24327287(+) TGACAATAGCA >hg38_chr9:24331015-24331025(-) TTACAATGGAG >hg38_chr9:24352093-24352103(+) AAACAATGGTG >hg38_chr9:24479994-24480004(-) AAACAATAGGA >hg38_chr9:24510040-24510050(+) agacaatgggg >hg38_chr9:24559803-24559813(-) GTACAATGAGA >hg38_chr9:24616975-24616985(-) GCACAATGGCT >hg38_chr9:24659561-24659571(-) gtacaatgaga >hg38_chr9:24881621-24881631(-) CAACAATAGAC >hg38_chr9:24939176-24939186(-) gtacaaaggag >hg38_chr9:24939189-24939199(-) aaacaatggct >hg38_chr9:25122053-25122063(-) agacaatgact >hg38_chr9:25122087-25122097(+) ttacaatgtgt >hg38_chr9:25177977-25177987(-) GCACAATGTGC >hg38_chr9:25178721-25178731(-) gaacaatgacc >hg38_chr9:25178753-25178763(+) aaacaatgcaa >hg38_chr9:25205022-25205032(+) agacaatggct >hg38_chr9:25227422-25227432(+) ACACTATGGAG >hg38_chr9:25227438-25227448(-) GAACAATGCCC >hg38_chr9:25324530-25324540(-) gaacaatggtt >hg38_chr9:25396984-25396994(+) aaacaaaggcc >hg38_chr9:25397948-25397958(+) TGACAATGGCA >hg38_chr9:25414522-25414532(-) TGACAATAGAA >hg38_chr9:25414542-25414552(-) AGACAATGACA >hg38_chr9:25537815-25537825(-) GAACAAAGGCT >hg38_chr9:25538532-25538542(-) CTACAATAGTT >hg38_chr9:25570900-25570910(+) GAACAAAGGAT >hg38_chr9:25571193-25571203(-) CAACAATGAGC >hg38_chr9:25590314-25590324(-) TTACAATGCTG >hg38_chr9:25638651-25638661(+) GAACAACGGCG >hg38_chr9:25710019-25710029(+) AGACAATGAGC >hg38_chr9:25710036-25710046(-) TCACAATGTTC >hg38_chr9:25710496-25710506(-) GAACAAAGGCT >hg38_chr9:25720777-25720787(-) TAACAATATTC >hg38_chr9:25742413-25742423(-) AAACAATGGGG >hg38_chr9:25782863-25782873(-) gaacaaaggct >hg38_chr9:25782914-25782924(+) tcacaatgtgg >hg38_chr9:25915402-25915412(+) GAACAATGTAT >hg38_chr9:25975609-25975619(-) AAACAAAGGTC >hg38_chr9:26021010-26021020(-) TAACAATGAAA >hg38_chr9:26062465-26062475(-) GAACAAAGGAA >hg38_chr9:26116186-26116196(-) gaataatggct >hg38_chr9:26201120-26201130(+) gaacaatgtgg >hg38_chr9:26201166-26201176(+) gaacaatgata >hg38_chr9:26266234-26266244(+) CAACAATGCTA >hg38_chr9:26266254-26266264(+) TTACAATGACT >hg38_chr9:26293216-26293226(-) TCACAATGGCA >hg38_chr9:26297202-26297212(-) AAACAATAGGC >hg38_chr9:26309992-26310002(-) TGACAATGTTT >hg38_chr9:26309998-26310008(-) AGACAATGACA >hg38_chr9:26333679-26333689(-) TGACAATGAGG >hg38_chr9:26418754-26418764(-) gtataatgggt >hg38_chr9:26437521-26437531(+) ACACAAAGGAG >hg38_chr9:26464024-26464034(-) ACACAATGGCA >hg38_chr9:26486213-26486223(+) taacaatggtg >hg38_chr9:26517091-26517101(+) TGACAATATAT >hg38_chr9:26582527-26582537(+) agacaatgtga >hg38_chr9:26582601-26582611(-) caacaatgatg >hg38_chr9:26637337-26637347(-) TTACAATGCAC >hg38_chr9:26670220-26670230(+) ATACAATAAGA >hg38_chr9:26670228-26670238(-) ATACAATGTCT >hg38_chr9:26736667-26736677(+) acacaatgaca >hg38_chr9:26750297-26750307(-) atagaatggcg >hg38_chr9:26754176-26754186(-) gtacaataaca >hg38_chr9:26767346-26767356(+) GTACAATGCAC >hg38_chr9:26785397-26785407(-) TGACAATAGCT >hg38_chr9:26808981-26808991(-) GTACAATAATG >hg38_chr9:26810993-26811003(-) atataatggaa >hg38_chr9:26814953-26814963(+) atacaaaggaa >hg38_chr9:26815013-26815023(+) agacagtggaa >hg38_chr9:26849140-26849150(+) CAACAAAGGTA >hg38_chr9:26862605-26862615(-) taacaatgaca >hg38_chr9:26862620-26862630(+) atacaaaggct >hg38_chr9:26862862-26862872(+) acacaatgtaa >hg38_chr9:26863034-26863044(-) ttacaatgttg >hg38_chr9:26869457-26869467(-) caacaatggtt >hg38_chr9:26885415-26885425(+) caacaatgtgt >hg38_chr9:26891670-26891680(+) CAACAATGCAG >hg38_chr9:26892984-26892994(+) CTACAAAGGAT >hg38_chr9:26896703-26896713(+) ACACAAaggca >hg38_chr9:26896709-26896719(-) gaacaatgcct >hg38_chr9:26903224-26903234(+) AAACAATGTAC >hg38_chr9:26969578-26969588(+) taataatgata >hg38_chr9:26976316-26976326(+) aaacaaaggat >hg38_chr9:27025915-27025925(+) acacaatggaa >hg38_chr9:27025957-27025967(+) gcacaatgaat >hg38_chr9:27037775-27037785(+) acacaatagga >hg38_chr9:27048435-27048445(-) TGACAATATAC >hg38_chr9:27048500-27048510(-) taacaATATCC >hg38_chr9:27082388-27082398(-) atacaatacac >hg38_chr9:27082398-27082408(-) acacagtggaa >hg38_chr9:27101688-27101698(+) gaacaatgggc >hg38_chr9:27102222-27102232(+) atacaatggca >hg38_chr9:27109173-27109183(-) AAACAATAGGG >hg38_chr9:27165267-27165277(+) ACACAAAGGAG >hg38_chr9:27179332-27179342(-) caacaatgaga >hg38_chr9:27201947-27201957(-) ttacaatgtgc >hg38_chr9:27207698-27207708(-) GCACAATGATA >hg38_chr9:27269520-27269530(+) gaacaaaggaa >hg38_chr9:27269538-27269548(-) taacaataagc >hg38_chr9:27269735-27269745(-) GAATAATGGCA >hg38_chr9:27270203-27270213(+) aaacaatagca >hg38_chr9:27270262-27270272(+) aaacaatagtt >hg38_chr9:27271455-27271465(+) acacaatgagg >hg38_chr9:27271527-27271537(-) taactatgggg >hg38_chr9:27271536-27271546(-) gtacaatgata >hg38_chr9:27329013-27329023(-) GTACAGTGGCA >hg38_chr9:27333502-27333512(+) TTACAATGAAT >hg38_chr9:27341412-27341422(-) ACACAATAGAG >hg38_chr9:27348968-27348978(+) atacaatggcc >hg38_chr9:27349844-27349854(+) AAACAATGAAC >hg38_chr9:27349880-27349890(+) AGACAATGTGA >hg38_chr9:27351637-27351647(+) CCACAATGGCT >hg38_chr9:27353559-27353569(-) taacaatgaaa >hg38_chr9:27376164-27376174(+) GAACAATGAAT >hg38_chr9:27394671-27394681(+) CTACAATAGAG >hg38_chr9:27395024-27395034(+) AGACAATAACA >hg38_chr9:27395843-27395853(+) acacaatggag >hg38_chr9:27395855-27395865(+) taacaatagga >hg38_chr9:27426525-27426535(+) ACACAATGCTA >hg38_chr9:27429563-27429573(+) AGACAATGGCT >hg38_chr9:27429571-27429581(-) AGACAATGAGC >hg38_chr9:27437714-27437724(+) ATACAATAGAA >hg38_chr9:27450691-27450701(+) ggacaaaggca >hg38_chr9:27461797-27461807(+) CTACAATAGAT >hg38_chr9:27478454-27478464(+) gaacaaagggc >hg38_chr9:27481157-27481167(+) agacaatgaac >hg38_chr9:27499778-27499788(+) AAACAATGTAT >hg38_chr9:27523089-27523099(+) TTACAATGACT >hg38_chr9:27531047-27531057(-) AAACAATGATA >hg38_chr9:27531447-27531457(-) TTACAATGTTG >hg38_chr9:27531466-27531476(+) TGACAATAGAG >hg38_chr9:27532325-27532335(+) TCATAATGGAC >hg38_chr9:27535310-27535320(+) taacaatggaa >hg38_chr9:27535320-27535330(+) acacaatgcag >hg38_chr9:27535794-27535804(-) GAACAATGGCT >hg38_chr9:27542590-27542600(+) AAACAATGGTG >hg38_chr9:27542606-27542616(+) AAACAATGAAT >hg38_chr9:27547558-27547568(+) Caacaatagca >hg38_chr9:27547570-27547580(+) gaacaataaaa >hg38_chr9:27584212-27584222(-) AAACAATAACA >hg38_chr9:27602713-27602723(-) GAACAATGGAG >hg38_chr9:27619752-27619762(+) TAACAATATGT >hg38_chr9:27663134-27663144(-) agacaataaaa >hg38_chr9:27673285-27673295(+) AGATAATGGCT >hg38_chr9:27673542-27673552(-) GAATAATGGGC >hg38_chr9:27679446-27679456(-) GCACAAAGGAA >hg38_chr9:27721111-27721121(+) ATACAATGACA >hg38_chr9:27765769-27765779(+) ATACAATGACA >hg38_chr9:28011956-28011966(-) ACACAATGTGA >hg38_chr9:28020261-28020271(+) atacaataaac >hg38_chr9:28098849-28098859(+) TGATAATGGAT >hg38_chr9:28120291-28120301(+) TCACAATAGAT >hg38_chr9:28121627-28121637(+) AGACAATAGGA >hg38_chr9:28158660-28158670(+) TAACAATGAAG >hg38_chr9:28193691-28193701(+) caacagtggcg >hg38_chr9:28229071-28229081(+) GAACAAAGGTC >hg38_chr9:28249492-28249502(-) GTACAATGCTT >hg38_chr9:28294683-28294693(-) ATACAATGACA >hg38_chr9:28305784-28305794(+) caataatgggt >hg38_chr9:28323981-28323991(-) AAACAAAGGAA >hg38_chr9:28373534-28373544(-) GAACAATGACT >hg38_chr9:28427273-28427283(+) GGATAATGGGG >hg38_chr9:28563920-28563930(+) TAACAATGAGC >hg38_chr9:28600372-28600382(-) TAACAATGAAA >hg38_chr9:28616472-28616482(+) TTACAAAGGCC >hg38_chr9:28707750-28707760(-) CGACAATAAAT >hg38_chr9:28719414-28719424(-) agacaatgtga >hg38_chr9:28737287-28737297(+) CCACAATGAAG >hg38_chr9:28782740-28782750(+) TAACAATAGTC >hg38_chr9:28782795-28782805(+) aaacaaaggaa >hg38_chr9:28842994-28843004(+) ATACAATGGGT >hg38_chr9:28843741-28843751(-) TAACAAAGGCA >hg38_chr9:28843750-28843760(-) ATACAATGATA >hg38_chr9:28843809-28843819(-) AAACAATAGGA >hg38_chr9:28844926-28844936(-) gaacaataaaa >hg38_chr9:28844952-28844962(-) atacaatgGAT >hg38_chr9:28846868-28846878(-) caacaatgaat >hg38_chr9:28956205-28956215(+) AGACAAAGGCA >hg38_chr9:29043865-29043875(+) GCACAATGCAA >hg38_chr9:29043877-29043887(+) GAACAATGCAC >hg38_chr9:29045127-29045137(+) atacactggac >hg38_chr9:29198793-29198803(+) gaacaatgcat >hg38_chr9:29214212-29214222(-) TTACAATATAA >hg38_chr9:29220443-29220453(+) TGATAATGGGC >hg38_chr9:29363447-29363457(-) AAACAATAGTA >hg38_chr9:29511924-29511934(+) agacaatgctg >hg38_chr9:29617026-29617036(-) ttacaatataa >hg38_chr9:29715125-29715135(+) GCACAATGAAA >hg38_chr9:29799461-29799471(+) TGACAATGCAT >hg38_chr9:29807189-29807199(+) AGACAATGGAT >hg38_chr9:29807362-29807372(-) ATACAATGTCC >hg38_chr9:29905140-29905150(+) TTACAAAGGGC >hg38_chr9:29917672-29917682(+) ATACAATACAA >hg38_chr9:29957423-29957433(+) GAACAATGGAG >hg38_chr9:30117045-30117055(+) agacaatagac >hg38_chr9:30158840-30158850(-) tcataatggac >hg38_chr9:30175876-30175886(+) gaacaatacgg >hg38_chr9:30179579-30179589(+) atacaatgaaa >hg38_chr9:30295443-30295453(+) tgacaataggc >hg38_chr9:30295490-30295500(-) ttacaatggaa >hg38_chr9:30410448-30410458(+) taacaatggtg >hg38_chr9:30761953-30761963(+) ATATAATGGCA >hg38_chr9:30792028-30792038(+) AAATAATGGCT >hg38_chr9:30847071-30847081(-) TAATAATGATA >hg38_chr9:30901396-30901406(+) ggacaatgaga >hg38_chr9:30916805-30916815(+) GCACAATAGTA >hg38_chr9:30917234-30917244(+) ACACAATAAAA >hg38_chr9:30924487-30924497(-) GCACAATAGCA >hg38_chr9:30970366-30970376(+) ATATAATGGAA >hg38_chr9:31120143-31120153(-) gaacaatgatg >hg38_chr9:31162885-31162895(-) gaacaatgcaa >hg38_chr9:31177730-31177740(+) AGACAATGAAT >hg38_chr9:31178885-31178895(+) CTATAATGGTA >hg38_chr9:31178895-31178905(+) AGACAATGGCA >hg38_chr9:31248373-31248383(-) GAACAATAAGT >hg38_chr9:31271248-31271258(-) tgacaatgaca >hg38_chr9:31275542-31275552(-) taacaaagacg >hg38_chr9:31356161-31356171(-) GTATAATGGCA >hg38_chr9:31412257-31412267(+) CCACAATGGCA >hg38_chr9:31412273-31412283(-) TAACAATAAGA >hg38_chr9:31613013-31613023(+) CAACAATGAAA >hg38_chr9:31616573-31616583(+) taacaataaag >hg38_chr9:31623673-31623683(+) TGACAATGCAT >hg38_chr9:31727744-31727754(-) AAACAAAGGTA >hg38_chr9:31733269-31733279(-) aaacaatagac >hg38_chr9:31758352-31758362(-) acacaatggaa >hg38_chr9:31758423-31758433(-) tcacaatagca >hg38_chr9:31759324-31759334(-) agacaatgtaa >hg38_chr9:31759341-31759351(-) agacaatatag >hg38_chr9:31825063-31825073(+) CAACAATGATT >hg38_chr9:31825696-31825706(-) CAACAATAGAC >hg38_chr9:31851396-31851406(+) TAACAATAGGC >hg38_chr9:31969567-31969577(-) CGACACTGGGG >hg38_chr9:32056384-32056394(+) GGACAATGAAA >hg38_chr9:32056415-32056425(-) GAATAATGGGC >hg38_chr9:32147596-32147606(+) GAACAATAACC >hg38_chr9:32174266-32174276(+) gaacaatgacg >hg38_chr9:32193562-32193572(-) AGACAATGATC >hg38_chr9:32193870-32193880(+) AGATAATGGGT >hg38_chr9:32220900-32220910(+) gaacaatagac >hg38_chr9:32238421-32238431(-) atacaatagtt >hg38_chr9:32238458-32238468(+) ggataatgggt >hg38_chr9:32249400-32249410(-) TGACAATGGCC >hg38_chr9:32259489-32259499(+) ACACAATGAGA >hg38_chr9:32267378-32267388(+) aaacaatagct >hg38_chr9:32268210-32268220(-) GGACAATGCAG >hg38_chr9:32270130-32270140(+) taacaatgcat >hg38_chr9:32275748-32275758(+) TCACAATGCAA >hg38_chr9:32275805-32275815(-) TAACAAAGGAT >hg38_chr9:32298695-32298705(+) acacaatagaa >hg38_chr9:32302695-32302705(+) agacaatatat >hg38_chr9:32302702-32302712(+) atataatgata >hg38_chr9:32303425-32303435(+) gtataatggga >hg38_chr9:32306542-32306552(+) aaacagtggga >hg38_chr9:32344672-32344682(+) TGACAAAGGAT >hg38_chr9:32349277-32349287(+) GAACAAAGGGA >hg38_chr9:32361290-32361300(-) ATATAATGGCA >hg38_chr9:32361324-32361334(-) TTATAATAGCG >hg38_chr9:32379138-32379148(+) AAACAATAAGT >hg38_chr9:32379421-32379431(+) TGACAATGTGT >hg38_chr9:32388225-32388235(+) AGACAAAGGAA >hg38_chr9:32400834-32400844(+) ATACAATGTGT >hg38_chr9:32456777-32456787(+) agacaaaggga >hg38_chr9:32464092-32464102(+) AGACAATGGAC >hg38_chr9:32490757-32490767(-) acacaaaggca >hg38_chr9:32571131-32571141(+) TAACAATGCCA >hg38_chr9:32573251-32573261(+) GCACAAAGGTC >hg38_chr9:32583605-32583615(+) ACACAATGAAG >hg38_chr9:32624131-32624141(-) agacaatggcc >hg38_chr9:32627598-32627608(+) ggacaaagggc >hg38_chr9:32724085-32724095(-) GGACAATGTAA >hg38_chr9:32747302-32747312(-) ttacaatgtgt >hg38_chr9:32762422-32762432(+) CCACAATGTTT >hg38_chr9:32765835-32765845(+) tcacaatgccc >hg38_chr9:32828996-32829006(-) taacaaagggc >hg38_chr9:32841389-32841399(+) ACACAATAGTT >hg38_chr9:32904837-32904847(+) CCACAATAGGA >hg38_chr9:32954571-32954581(-) GAACAATGCAG >hg38_chr9:32974637-32974647(-) ATACAATGTAC >hg38_chr9:33012404-33012414(-) ggactatggaa >hg38_chr9:33012474-33012484(+) gtacaatacaa >hg38_chr9:33013012-33013022(-) aaacaatgttg >hg38_chr9:33013252-33013262(-) taataatagta >hg38_chr9:33025816-33025826(-) AAACAAAGGGA >hg38_chr9:33034458-33034468(-) GAACAATGGTT >hg38_chr9:33042004-33042014(+) atacaatagtg >hg38_chr9:33056032-33056042(-) TGATAATGGGA >hg38_chr9:33057119-33057129(+) GTACAATGGTA >hg38_chr9:33071377-33071387(-) TAACAATTGTA >hg38_chr9:33110420-33110430(-) aaacaatgact >hg38_chr9:33126594-33126604(-) taacaatgttt >hg38_chr9:33126604-33126614(-) taacaatagtt >hg38_chr9:33126614-33126624(-) taactatggtt >hg38_chr9:33126624-33126634(-) taacaatggtt >hg38_chr9:33126633-33126643(-) taacaatgtta >hg38_chr9:33126643-33126653(-) taacaatagtt >hg38_chr9:33136748-33136758(+) GAACAAAGGAA >hg38_chr9:33270068-33270078(+) taacaatgttt >hg38_chr9:33270381-33270391(-) taacaatgggg >hg38_chr9:33381482-33381492(+) CAACAATGAGA >hg38_chr9:33452927-33452937(-) CTACAAAGGGA >hg38_chr9:33471493-33471503(-) gtacaaaggca >hg38_chr9:33478376-33478386(-) ctacaatgtgc >hg38_chr9:33478417-33478427(-) TGACTATGGTC >hg38_chr9:33511160-33511170(+) AAACAATGGCG >hg38_chr9:33512780-33512790(+) GTACTATGGCA >hg38_chr9:33680391-33680401(+) aaacaatggag >hg38_chr9:33696823-33696833(-) ttacaatggaa >hg38_chr9:33813897-33813907(-) TCATAATGGCA >hg38_chr9:33818216-33818226(-) AAACAAAGGCG >hg38_chr9:33818651-33818661(-) GGACAAAGGGC >hg38_chr9:33820531-33820541(-) AAACAATGGTT >hg38_chr9:33842729-33842739(-) ctacaatggca >hg38_chr9:33851113-33851123(-) CTACAATGTTT >hg38_chr9:33954774-33954784(+) AAACAATATAC >hg38_chr9:33954818-33954828(+) CTACAATGAAA >hg38_chr9:33972782-33972792(+) AGACAATGGCT >hg38_chr9:34001366-34001376(-) aaacaataggt >hg38_chr9:34187443-34187453(-) GGACAATGTAT >hg38_chr9:34209516-34209526(-) TAACAATAAAG >hg38_chr9:34227125-34227135(+) agacaatgtat >hg38_chr9:34243019-34243029(-) TGACAATAGAA >hg38_chr9:34252351-34252361(+) ACACAATGAAT >hg38_chr9:34329149-34329159(+) AGACAATGCCG >hg38_chr9:34334551-34334561(+) CAACAATAGTT >hg38_chr9:34338840-34338850(+) ACACAAAGGTC >hg38_chr9:34364102-34364112(+) GAACAATGGCA >hg38_chr9:34393162-34393172(+) gaacaatggga >hg38_chr9:34404027-34404037(+) GGACAATGCTG >hg38_chr9:34413446-34413456(-) AGACAATGGCA >hg38_chr9:34419866-34419876(+) GGACAATGGAC >hg38_chr9:34436671-34436681(-) gaacaataact >hg38_chr9:34436693-34436703(+) tgacaatgtat >hg38_chr9:34437516-34437526(+) TGACAATGCTC >hg38_chr9:34469229-34469239(+) aaacaatgtag >hg38_chr9:34469246-34469256(+) agacaatggaa >hg38_chr9:34469987-34469997(+) caacaataggg >hg38_chr9:34494106-34494116(+) GGACAATGTCC >hg38_chr9:34567034-34567044(+) CAACAATGGCA >hg38_chr9:34580556-34580566(+) GGACAATAGGC >hg38_chr9:34652364-34652374(-) ACACAATGATA >hg38_chr9:35051636-35051646(+) AGACAATGCAA >hg38_chr9:35069908-35069918(-) gaacaatgcct >hg38_chr9:35161766-35161776(-) CGAGAATGGAA >hg38_chr9:35173062-35173072(-) TTACAATGAAA >hg38_chr9:35205755-35205765(-) atataatggaa >hg38_chr9:35205809-35205819(-) aaacaatgcaa >hg38_chr9:35232547-35232557(+) GGACAATAGCA >hg38_chr9:35277390-35277400(-) TTACAATATAG >hg38_chr9:35277566-35277576(-) CTATAATGGAT >hg38_chr9:35279349-35279359(-) ccacaatggtg >hg38_chr9:35283238-35283248(-) GAACAATGGGT >hg38_chr9:35312840-35312850(-) GAACAATACAC >hg38_chr9:35345800-35345810(-) TCATAATGGAG >hg38_chr9:35355195-35355205(+) atacaatacac >hg38_chr9:35428429-35428439(+) tcacaaaggaa >hg38_chr9:35428453-35428463(+) gaacaaaggaa >hg38_chr9:35429080-35429090(+) aaacagtggac >hg38_chr9:35489339-35489349(+) caacaatgcgg >hg38_chr9:35504982-35504992(+) gtacaatgcta >hg38_chr9:35505007-35505017(+) taacaatatgt >hg38_chr9:35517366-35517376(-) GAACAAAGGCG >hg38_chr9:35538950-35538960(-) ATACAATGGCA >hg38_chr9:35604382-35604392(+) TCACAATGACT >hg38_chr9:35763301-35763311(-) GAACAATGAGG >hg38_chr9:35790434-35790444(-) GGACAATGCCA >hg38_chr9:35872804-35872814(-) gtacaatgcaa >hg38_chr9:35875748-35875758(-) atataatagta >hg38_chr9:35878728-35878738(+) caacaatgccc >hg38_chr9:35882314-35882324(-) atacaaagata >hg38_chr9:35883690-35883700(+) gaacaataaca >hg38_chr9:35903197-35903207(+) AAACAATGTGG >hg38_chr9:35914529-35914539(-) GGACAATAGGA >hg38_chr9:35924439-35924449(+) TGATAATGGAT >hg38_chr9:36029008-36029018(+) GAATAATGGAA >hg38_chr9:36029041-36029051(+) TCACAATGTCA >hg38_chr9:36029367-36029377(+) ACACAATGACA >hg38_chr9:36039268-36039278(+) atataatggac >hg38_chr9:36053311-36053321(+) AAACAATAGTC >hg38_chr9:36074368-36074378(-) gaacaatgcct >hg38_chr9:36079517-36079527(+) ctacaatggaa >hg38_chr9:36093887-36093897(+) taacaataaaa >hg38_chr9:36100179-36100189(+) ATACAATCGAA >hg38_chr9:36103224-36103234(+) CTACAAAGGTA >hg38_chr9:36103247-36103257(-) AAACAAAGGCT >hg38_chr9:36112839-36112849(-) TAACAATGTTA >hg38_chr9:36113245-36113255(+) GAACAATACAC >hg38_chr9:36113578-36113588(+) aaacaataaaa >hg38_chr9:36124029-36124039(+) GCACAATGTAG >hg38_chr9:36310823-36310833(-) ccacaatggaa >hg38_chr9:36310848-36310858(-) aaacaatgtaa >hg38_chr9:36357090-36357100(-) CAACAATGTCT >hg38_chr9:36372375-36372385(-) TAACAATAAAC >hg38_chr9:36382310-36382320(+) TCATAATGGGA >hg38_chr9:36393080-36393090(-) CTACAAAGGAT >hg38_chr9:36401171-36401181(-) AAACAAAGGGG >hg38_chr9:36424866-36424876(+) acacaaaggac >hg38_chr9:36424908-36424918(-) gaataatggag >hg38_chr9:36431150-36431160(+) ctacaatggct >hg38_chr9:36438714-36438724(+) TGACAATGGTA >hg38_chr9:36440708-36440718(+) ggacaatggaa >hg38_chr9:36479284-36479294(+) GTATAATGGTG >hg38_chr9:36485761-36485771(+) GGACAAAGGGC >hg38_chr9:36486118-36486128(+) CCACAATGACT >hg38_chr9:36494818-36494828(-) atagaatggta >hg38_chr9:36494845-36494855(+) agacaatgctg >hg38_chr9:36494872-36494882(+) atacaatggtc >hg38_chr9:36555982-36555992(+) TAACAATGGAA >hg38_chr9:36793428-36793438(+) aaacaatggta >hg38_chr9:36827783-36827793(+) GGACAAAGGTG >hg38_chr9:36827829-36827839(-) AAACAATGGCA >hg38_chr9:36867997-36868007(+) GGACAATGAGA >hg38_chr9:36891619-36891629(+) agacaatggat >hg38_chr9:36907262-36907272(-) taaCAATGATA >hg38_chr9:36970725-36970735(-) GAACAATGCCC >hg38_chr9:36986179-36986189(-) TAACAACGGAT >hg38_chr9:36987397-36987407(+) CTACAATGATA >hg38_chr9:37035443-37035453(+) GGACAATGGCA >hg38_chr9:37145048-37145058(-) ACACAATGGCT >hg38_chr9:37157031-37157041(-) aaacaatgata >hg38_chr9:37157077-37157087(+) aaacaatgaaa >hg38_chr9:37157077-37157087(+) aaacaatgaaa >hg38_chr9:37157163-37157173(-) atacaatgact >hg38_chr9:37177539-37177549(+) caacaatgaag >hg38_chr9:37177562-37177572(+) tCATAATGGAG >hg38_chr9:37191900-37191910(-) AAACAATGCAA >hg38_chr9:37196229-37196239(+) CAACAATAGGC >hg38_chr9:37196258-37196268(+) GAACAATGAAA >hg38_chr9:37200060-37200070(-) TAATAATGGTG >hg38_chr9:37201222-37201232(-) agacaatggat >hg38_chr9:37221417-37221427(+) AAACAATGGCT >hg38_chr9:37225901-37225911(-) gtacaatgtag >hg38_chr9:37225931-37225941(-) gtacaatgact >hg38_chr9:37234282-37234292(-) gaacaatagat >hg38_chr9:37235141-37235151(+) atacaatgtag >hg38_chr9:37235152-37235162(-) taacaataata >hg38_chr9:37239362-37239372(+) TTACAATGCGT >hg38_chr9:37244063-37244073(-) AGACAATAAAC >hg38_chr9:37244081-37244091(-) TTACAAAGGAC >hg38_chr9:37256278-37256288(+) CTACAATGgta >hg38_chr9:37283419-37283429(-) AAACAATAGGA >hg38_chr9:37291014-37291024(-) gcacaataata >hg38_chr9:37293053-37293063(+) TAACAATAGGG >hg38_chr9:37294216-37294226(-) ACACAATGCCA >hg38_chr9:37295362-37295372(-) ATACAATGTGC >hg38_chr9:37295376-37295386(+) ATACAAAGGAA >hg38_chr9:37297504-37297514(-) ATACAATGAAC >hg38_chr9:37324391-37324401(-) ATACAATAACA >hg38_chr9:37324832-37324842(-) CAACAATGAAC >hg38_chr9:37331012-37331022(+) GGACAATGCAT >hg38_chr9:37331057-37331067(-) TAACAATGCAC >hg38_chr9:37364994-37365004(-) ATACAATGGGG >hg38_chr9:37405063-37405073(-) aaacaataggg >hg38_chr9:37430939-37430949(-) GGATAATGGGC >hg38_chr9:37433921-37433931(-) CAATAATGGGA >hg38_chr9:37452705-37452715(+) aaacaatgcct >hg38_chr9:37452904-37452914(+) acacaatagct >hg38_chr9:37466554-37466564(+) AGACAAAGGCA >hg38_chr9:37573544-37573554(+) tgacaatgtgt >hg38_chr9:37573566-37573576(+) agacaatgcag >hg38_chr9:37576778-37576788(+) AGACAATGTAC >hg38_chr9:37588263-37588273(+) ACACAATGACA >hg38_chr9:37590497-37590507(+) taacaatgaat >hg38_chr9:37624359-37624369(+) agacaatgggc >hg38_chr9:37641341-37641351(+) taacaatagct >hg38_chr9:37730936-37730946(+) GGACAAAGGCA >hg38_chr9:37780280-37780290(+) GAATAATGGGA >hg38_chr9:37821034-37821044(-) taacagtggtt >hg38_chr9:37876377-37876387(+) AAACAATGTAA >hg38_chr9:37904425-37904435(-) CGACAATGCCC >hg38_chr9:37938712-37938722(+) AAACAAAGGGC >hg38_chr9:37999638-37999648(+) AAACAAAGGCA >hg38_chr9:38038909-38038919(+) GAACAAAGGCA >hg38_chr9:38047956-38047966(+) TAACAAAGGGC >hg38_chr9:38084483-38084493(-) AAACTATGGAT >hg38_chr9:38084636-38084646(-) acacaatcggt >hg38_chr9:38154912-38154922(+) AGACAAtggca >hg38_chr9:38160760-38160770(-) ACACAATGAAG >hg38_chr9:38163125-38163135(-) acacaatagat >hg38_chr9:38172069-38172079(+) ttacaatgcag >hg38_chr9:38192167-38192177(-) GGACAATGGCA >hg38_chr9:38202761-38202771(+) ggacaaaggca >hg38_chr9:38212498-38212508(-) AGACAATGAAT >hg38_chr9:38309571-38309581(-) TAACAAAGGCA >hg38_chr9:38313642-38313652(+) GCACAAAGGAC >hg38_chr9:38328032-38328042(-) GGACAATAAAA >hg38_chr9:38334552-38334562(-) gaacaaaggcg >hg38_chr9:38346889-38346899(+) AGACAAAGGCA >hg38_chr9:38353130-38353140(+) AGACAATGCtt >hg38_chr9:38361300-38361310(-) GAACAATAGCT >hg38_chr9:38362143-38362153(-) TAATAATGTAC >hg38_chr9:38362198-38362208(+) GGACAATGAAG >hg38_chr9:38362216-38362226(-) AAACAATGGAA >hg38_chr9:38380878-38380888(+) TTACTATGGAT >hg38_chr9:38381168-38381178(-) AAACAATGTTT >hg38_chr9:38400924-38400934(-) caacaataggc >hg38_chr9:38416089-38416099(-) GGACAATGTGA >hg38_chr9:38445939-38445949(-) ATACAATGAGG >hg38_chr9:38445977-38445987(-) ttacaatgtgt >hg38_chr9:38446455-38446465(-) acacagtggaa >hg38_chr9:38447423-38447433(-) gaacaatggca >hg38_chr9:38464134-38464144(-) ggacaaaggac >hg38_chr9:38486326-38486336(-) tgacaatagtc >hg38_chr9:38535972-38535982(-) agactatggga >hg38_chr9:38632615-38632625(+) AGACAATGTGG >hg38_chr9:38635387-38635397(-) acagaatggcg >hg38_chr9:38635402-38635412(-) acacaaaggag >hg38_chr9:38677599-38677609(+) AAACAAAGGAG >hg38_chr9:38687936-38687946(+) CTACAATGATT >hg38_chr9:38689760-38689770(-) caacaatagcg >hg38_chr9:38760076-38760086(-) taacaatgctt >hg38_chr9:39016503-39016513(-) acacaatgttg >hg38_chr9:39016515-39016525(-) ctacaatagtc >hg38_chr9:42386784-42386794(+) TAACAGTGGAC >hg38_chr9:42386825-42386835(+) CCACAATAGGA >hg38_chr9:42388401-42388411(+) tcacaatggcc >hg38_chr9:68446318-68446328(+) TTACAATGTGC >hg38_chr9:68457394-68457404(-) ATACAATGGCA >hg38_chr9:68459563-68459573(-) acacaaaggag >hg38_chr9:68465594-68465604(-) aaacaatgagc >hg38_chr9:68468287-68468297(-) GAACAATAGTC >hg38_chr9:68468322-68468332(-) ATACAATATAT >hg38_chr9:68468331-68468341(+) ATACAATAATT >hg38_chr9:68468573-68468583(-) acacaatgcct >hg38_chr9:68523212-68523222(+) aaacaatgcag >hg38_chr9:68554148-68554158(+) tcacaatagcc >hg38_chr9:68554458-68554468(+) ccacaatgcaa >hg38_chr9:68555619-68555629(+) GAACAATGCTG >hg38_chr9:68560704-68560714(-) ACACAATGCCA >hg38_chr9:68560757-68560767(-) AAACAATGCGG >hg38_chr9:68562553-68562563(+) taagaatggta >hg38_chr9:68563418-68563428(+) GTACAAAGGTA >hg38_chr9:68570202-68570212(+) TAATAATGGCT >hg38_chr9:68573798-68573808(+) CCACAATAGTG >hg38_chr9:68619792-68619802(-) taacaatgcta >hg38_chr9:68619800-68619810(-) ttacaatataa >hg38_chr9:68670858-68670868(+) gcacaatgaga >hg38_chr9:68670901-68670911(+) ttacaatataa >hg38_chr9:68690737-68690747(+) caacaatggtt >hg38_chr9:68708438-68708448(-) CAACAATGGGC >hg38_chr9:68718660-68718670(-) AGACAATGCTG >hg38_chr9:68718884-68718894(-) CAACAATGAGT >hg38_chr9:68718905-68718915(-) TCACAATGGAC >hg38_chr9:68719512-68719522(-) ATACAATGCAG >hg38_chr9:68720116-68720126(-) caacaatgata >hg38_chr9:68731312-68731322(-) ACACAATGCAG >hg38_chr9:68731321-68731331(+) GTACACTGGAC >hg38_chr9:68731351-68731361(-) AGACAAAGGAA >hg38_chr9:68755885-68755895(+) AAACAATGCTT >hg38_chr9:68764231-68764241(-) ACACTATGGAG >hg38_chr9:68768438-68768448(+) TGACAAAGGAC >hg38_chr9:68769169-68769179(-) AGACAATGGCC >hg38_chr9:68777248-68777258(+) aCACAATGatt >hg38_chr9:68785898-68785908(-) aaacaatcgct >hg38_chr9:68845292-68845302(-) AGACAATGACT >hg38_chr9:68846270-68846280(-) GAACAATGCCA >hg38_chr9:68892115-68892125(-) gaacaatgcag >hg38_chr9:68916391-68916401(-) AGACAAAGGTC >hg38_chr9:68928206-68928216(-) taacaatacaa >hg38_chr9:68959275-68959285(-) ATATAATGAAT >hg38_chr9:69003208-69003218(+) GGACAATAGAA >hg38_chr9:69027738-69027748(+) tcacaatgtct >hg38_chr9:69082844-69082854(+) tcacaatagct >hg38_chr9:69120792-69120802(-) GGACAATAGGT >hg38_chr9:69121201-69121211(+) TGACAAAGGTC >hg38_chr9:69143424-69143434(+) GAACAATGACT >hg38_chr9:69283063-69283073(-) agacaatagta >hg38_chr9:69332949-69332959(+) acacgatggaa >hg38_chr9:69503175-69503185(+) TAACAATGAGA >hg38_chr9:69503624-69503634(+) aaataatggaa >hg38_chr9:69555850-69555860(-) ccacaatggat >hg38_chr9:69578871-69578881(-) gtacaatggtg >hg38_chr9:69590645-69590655(+) ACACAATGAAG >hg38_chr9:69593646-69593656(+) AAACAATGAAG >hg38_chr9:69610413-69610423(+) gaacaatgtcc >hg38_chr9:69622927-69622937(-) AGACAATGCAT >hg38_chr9:69637446-69637456(-) atacaatgagt >hg38_chr9:69637446-69637456(-) atacaatgagt >hg38_chr9:69672030-69672040(-) GAACAATAGTG >hg38_chr9:69705576-69705586(-) aaacaatagaa >hg38_chr9:69732300-69732310(+) TCACAAAGGAA >hg38_chr9:69752012-69752022(+) ACACAAAGGAT >hg38_chr9:69753976-69753986(+) TAATAATGGAA >hg38_chr9:69758966-69758976(-) CAACAATGTAC >hg38_chr9:69758988-69758998(-) ATACAAAGGTG >hg38_chr9:69762765-69762775(-) ATACAATGCAT >hg38_chr9:69767882-69767892(+) CAACAATGAAA >hg38_chr9:69794379-69794389(-) GAACAATGTTC >hg38_chr9:69861167-69861177(-) gcacaatgcat >hg38_chr9:69861185-69861195(+) ttacaaaggca >hg38_chr9:69861194-69861204(+) caacaatgtca >hg38_chr9:69861220-69861230(-) ttataatggaa >hg38_chr9:69874404-69874414(+) ttacaatgatt >hg38_chr9:69965261-69965271(-) gaacaatggtt >hg38_chr9:69970708-69970718(-) gaacaatagtg >hg38_chr9:70000535-70000545(-) TCACAATGAGA >hg38_chr9:70030358-70030368(-) gaacaatggga >hg38_chr9:70030376-70030386(+) tcacaatgata >hg38_chr9:70190265-70190275(-) caacaatgaca >hg38_chr9:70227535-70227545(-) AAACAATGGAC >hg38_chr9:70252808-70252818(-) TTACAATAGAG >hg38_chr9:70254145-70254155(-) CCACAATAGAG >hg38_chr9:70263501-70263511(+) ggacaaaggac >hg38_chr9:70305042-70305052(-) AAACAAAGGCC >hg38_chr9:70306586-70306596(-) TTACAATGTAT >hg38_chr9:70315759-70315769(-) TCACAATGTAT >hg38_chr9:70317198-70317208(+) AGATAATGGCA >hg38_chr9:70331632-70331642(+) aaacaatgttg >hg38_chr9:70347027-70347037(-) ATACAATGAAA >hg38_chr9:70347038-70347048(+) CTATAATGACG >hg38_chr9:70347305-70347315(+) GAACAATGTAC >hg38_chr9:70347500-70347510(+) AAACAATGCAG >hg38_chr9:70350363-70350373(-) AAACAATGGCA >hg38_chr9:70354790-70354800(-) ACACAATAGCA >hg38_chr9:70384579-70384589(+) ACATAATGGCT >hg38_chr9:70402184-70402194(+) TTACAATACGA >hg38_chr9:70407131-70407141(-) tgacaatggtt >hg38_chr9:70418773-70418783(+) CAACAATGGCG >hg38_chr9:70421547-70421557(+) GAACAAAGGAC >hg38_chr9:70441848-70441858(+) AGACAAAGGGA >hg38_chr9:70471223-70471233(-) aaacaatggca >hg38_chr9:70485727-70485737(-) AAATAATGGGA >hg38_chr9:70568171-70568181(+) CCACAATAGGA >hg38_chr9:70568603-70568613(-) taataatagta >hg38_chr9:70568663-70568673(+) gcacaatgaca >hg38_chr9:70623587-70623597(+) gaacaaaggca >hg38_chr9:70623629-70623639(-) taacactggag >hg38_chr9:70652116-70652126(+) ggacaaaggga >hg38_chr9:70697070-70697080(-) AGACAAAGGAC >hg38_chr9:70697097-70697107(+) ATACAAAGGGA >hg38_chr9:70791973-70791983(+) GGACAAAGGAC >hg38_chr9:70827012-70827022(+) ACACAATAAAT >hg38_chr9:70829985-70829995(-) GCACAATGCAG >hg38_chr9:70833833-70833843(-) GCACAATGCCA >hg38_chr9:70840539-70840549(+) AGACAATGTCA >hg38_chr9:70861608-70861618(-) ATACAATGTAG >hg38_chr9:70867682-70867692(+) ACACAATAGAA >hg38_chr9:70885406-70885416(-) GAAcaatggtt >hg38_chr9:70903221-70903231(+) AGACAATGTGC >hg38_chr9:70909283-70909293(-) ggacaatgaca >hg38_chr9:70921381-70921391(-) ATACAATGTGA >hg38_chr9:70932563-70932573(+) AAACAATGTAT >hg38_chr9:70968200-70968210(+) atacaatGTGC >hg38_chr9:70968657-70968667(-) CCACAATGTTC >hg38_chr9:70971833-70971843(+) aaacaatgctc >hg38_chr9:70972203-70972213(+) atacaatacaa >hg38_chr9:71001913-71001923(+) GAACAAAGGAA >hg38_chr9:71056002-71056012(-) agataatggct >hg38_chr9:71087986-71087996(-) TAACAATGTAA >hg38_chr9:71088028-71088038(-) GCACAATGGGG >hg38_chr9:71096360-71096370(-) TAACCATGGAC >hg38_chr9:71120729-71120739(-) GAACAAAGGAT >hg38_chr9:71124664-71124674(-) ATACAATGGCC >hg38_chr9:71209870-71209880(+) ttataatggca >hg38_chr9:71219014-71219024(+) ACACAATGAAT >hg38_chr9:71246154-71246164(+) CAATAATGGGT >hg38_chr9:71249864-71249874(+) AAACAACGGAG >hg38_chr9:71250833-71250843(+) gaacaaagggg >hg38_chr9:71266427-71266437(-) ATATAATGGAA >hg38_chr9:71266462-71266472(-) GGACAAAGGAT >hg38_chr9:71268975-71268985(+) atacaaaggag >hg38_chr9:71295255-71295265(+) TGATAATGGTC >hg38_chr9:71311500-71311510(+) atacaatgaag >hg38_chr9:71363451-71363461(-) taacactggaa >hg38_chr9:71384563-71384573(-) CAACAACGGAA >hg38_chr9:71433060-71433070(-) AGACAAAGGCA >hg38_chr9:71454908-71454918(+) ACACAATGTAT >hg38_chr9:71461266-71461276(-) TGACAATGTTG >hg38_chr9:71471297-71471307(-) caacaatgaaa >hg38_chr9:71471363-71471373(-) caacaatggta >hg38_chr9:71493903-71493913(+) acacaaaggat >hg38_chr9:71507071-71507081(+) CAACAATGGCT >hg38_chr9:71523508-71523518(-) CAATAATGGGT >hg38_chr9:71609300-71609310(+) gaacAATAAAC >hg38_chr9:71663467-71663477(+) AAACAAAGGCT >hg38_chr9:71722431-71722441(-) GGACAATAGTG >hg38_chr9:71723261-71723271(+) GGACAATAGGA >hg38_chr9:71723281-71723291(-) TTACAATGCGT >hg38_chr9:71746409-71746419(-) AAATAATGGTA >hg38_chr9:71747783-71747793(+) TCACAATGCCC >hg38_chr9:71758218-71758228(-) ACACAATCGAG >hg38_chr9:71761084-71761094(+) ACACAATGGCA >hg38_chr9:71765903-71765913(+) CCACAATAGGT >hg38_chr9:71768020-71768030(-) GAACAATGGAC >hg38_chr9:71830225-71830235(-) TAACAATAGCA >hg38_chr9:71840311-71840321(+) TAACAATGCTA >hg38_chr9:71840357-71840367(+) ATATAATGTAA >hg38_chr9:71843158-71843168(-) gtactatggac >hg38_chr9:71857226-71857236(+) ACACAAAGGCA >hg38_chr9:71857247-71857257(+) GGACAATAGAA >hg38_chr9:71858179-71858189(-) AAACAAAGGCC >hg38_chr9:71866855-71866865(-) TCACAATGATG >hg38_chr9:71866913-71866923(+) AAACAATGCGA >hg38_chr9:71924999-71925009(+) GAACAATGAGC >hg38_chr9:71929021-71929031(-) TAACAATGAAT >hg38_chr9:71935744-71935754(+) ggacaatgaga >hg38_chr9:71938482-71938492(+) CAACAATGTTT >hg38_chr9:71938529-71938539(+) ATACAGTGGCA >hg38_chr9:71939830-71939840(+) gtacaaaggca >hg38_chr9:71942140-71942150(-) gtacaatgtag >hg38_chr9:71945856-71945866(+) CAACAATAGGC >hg38_chr9:71985691-71985701(-) TAACAATGGTc >hg38_chr9:72019979-72019989(-) aaacaataata >hg38_chr9:72020014-72020024(-) ggacaatgaaa >hg38_chr9:72166024-72166034(+) GTACAATGAAG >hg38_chr9:72166079-72166089(-) ATACAAAGGTT >hg38_chr9:72204313-72204323(-) gaacaatgtga >hg38_chr9:72220862-72220872(-) CCACAATAGGG >hg38_chr9:72315887-72315897(+) AAACAAAGGGG >hg38_chr9:72333917-72333927(+) GAACAATGGCT >hg38_chr9:72341990-72342000(-) TGACAATGATG >hg38_chr9:72342028-72342038(+) TTATAATGGGG >hg38_chr9:72343017-72343027(+) tgacaatgggt >hg38_chr9:72354844-72354854(-) GTACAATAACA >hg38_chr9:72354851-72354861(+) GTACAATGCTG >hg38_chr9:72354915-72354925(+) GTACAATATGA >hg38_chr9:72363990-72364000(+) AAACAAAGGAG >hg38_chr9:72364445-72364455(-) AAACAATGCAC >hg38_chr9:72364862-72364872(-) GAACAAAGGAA >hg38_chr9:72380249-72380259(+) ATACAATACAT >hg38_chr9:72441464-72441474(+) ATACAATACAC >hg38_chr9:72441478-72441488(+) AGACAATGGGG >hg38_chr9:72464530-72464540(-) ATACAATGATT >hg38_chr9:72477649-72477659(+) ATACAAAGGCA >hg38_chr9:72510409-72510419(-) GGACAATAGCA >hg38_chr9:72518937-72518947(+) gaacaatagcc >hg38_chr9:72525116-72525126(+) TAACAATAGTA >hg38_chr9:72540389-72540399(+) GTACAATGGCA >hg38_chr9:72564711-72564721(-) TCACTATGGAA >hg38_chr9:72577667-72577677(+) GAACAATGACT >hg38_chr9:72644539-72644549(-) caacaaaggta >hg38_chr9:72648927-72648937(+) AGACAATGGAG >hg38_chr9:72649143-72649153(-) CAACAATAGGG >hg38_chr9:72650345-72650355(+) taacaatagct >hg38_chr9:72650354-72650364(-) caataatggag >hg38_chr9:72650389-72650399(+) gtacAATGTTC >hg38_chr9:72654326-72654336(-) ctacaatgaag >hg38_chr9:72654560-72654570(+) aaataatggta >hg38_chr9:72654597-72654607(+) ttacagtggta >hg38_chr9:72667724-72667734(-) TAACAATAGGT >hg38_chr9:72680813-72680823(+) CTACAAAGGAA >hg38_chr9:72686656-72686666(+) TCACAATGACT >hg38_chr9:72698694-72698704(+) gaacaatggaa >hg38_chr9:72699099-72699109(+) ggacaatagct >hg38_chr9:72727234-72727244(-) GGACAATGGAG >hg38_chr9:72741026-72741036(-) atacaatagac >hg38_chr9:72756098-72756108(+) GCACAATGATA >hg38_chr9:72769363-72769373(-) TAACAATAATA >hg38_chr9:72772943-72772953(-) GAACAATAGAT >hg38_chr9:72780855-72780865(+) acacaataata >hg38_chr9:72780922-72780932(+) taacaaaggta >hg38_chr9:72792253-72792263(+) CTACAATGCAT >hg38_chr9:72794888-72794898(+) cgacactggag >hg38_chr9:72811149-72811159(+) GGACAATGAAG >hg38_chr9:72828514-72828524(-) GAACAATGCCA >hg38_chr9:72877480-72877490(+) CTACAATAGTC >hg38_chr9:72884285-72884295(-) ACACAATGTAC >hg38_chr9:72919622-72919632(+) TAACAATAACG >hg38_chr9:72935762-72935772(-) TAACAATGGGC >hg38_chr9:72974836-72974846(+) CAACAATGACA >hg38_chr9:72979400-72979410(+) AAACAATGTAG >hg38_chr9:73048141-73048151(-) agacaaaggta >hg38_chr9:73049466-73049476(-) atacagtggaa >hg38_chr9:73050700-73050710(+) TCACAATGAGA >hg38_chr9:73051104-73051114(-) AAACAAAGGGC >hg38_chr9:73073648-73073658(-) caacaatgaga >hg38_chr9:73074600-73074610(-) ACACAAAGGCA >hg38_chr9:73075322-73075332(-) taactatggag >hg38_chr9:73084603-73084613(+) TAACAATGCCA >hg38_chr9:73086568-73086578(-) AAACAATGAAC >hg38_chr9:73104625-73104635(+) tgacaatgggg >hg38_chr9:73135475-73135485(+) ACACAATGCCT >hg38_chr9:73135511-73135521(+) AGACAATGAGT >hg38_chr9:73151684-73151694(-) TTACAAAGGAA >hg38_chr9:73159369-73159379(+) AAACAATGCAC >hg38_chr9:73166444-73166454(+) aaacaatgtac >hg38_chr9:73203816-73203826(-) atacaataaca >hg38_chr9:73245246-73245256(-) GGACAATAAGA >hg38_chr9:73269598-73269608(-) GCATAATGGTG >hg38_chr9:73296730-73296740(+) AGACAATAGAA >hg38_chr9:73296754-73296764(+) GAACAATGAAA >hg38_chr9:73332159-73332169(-) tcacaatgtac >hg38_chr9:73332234-73332244(+) atacaatataa >hg38_chr9:73356595-73356605(+) GGACAATGTGA >hg38_chr9:73359065-73359075(-) TAACAATAGGT >hg38_chr9:73359095-73359105(-) GAACAATGAAA >hg38_chr9:73362367-73362377(-) CAACAATGTTT >hg38_chr9:73362767-73362777(+) GAACAATGGTA >hg38_chr9:73375614-73375624(+) cgacaatagtc >hg38_chr9:73392419-73392429(-) acacaatgccc >hg38_chr9:73426398-73426408(+) AAACAATAGGC >hg38_chr9:73483566-73483576(+) atacaatagtc >hg38_chr9:73492766-73492776(+) gtacaatgagc >hg38_chr9:73530747-73530757(+) CAACAATGAAA >hg38_chr9:73540240-73540250(+) CAACAAAGGAC >hg38_chr9:73567730-73567740(+) GAACAATAAAG >hg38_chr9:73595207-73595217(-) GCACAACGGAA >hg38_chr9:73596265-73596275(+) AGATAATGGCA >hg38_chr9:73607709-73607719(-) gaacaaaggat >hg38_chr9:73615811-73615821(-) AAACAATGTTT >hg38_chr9:73792612-73792622(-) ACACAATGCCA >hg38_chr9:73831918-73831928(+) GAACAATATAA >hg38_chr9:73831943-73831953(-) TAATAATAGTA >hg38_chr9:73832449-73832459(+) aaacaaaggca >hg38_chr9:73832507-73832517(-) ttacaatatat >hg38_chr9:73844303-73844313(+) GAACAATGGGA >hg38_chr9:73869496-73869506(+) ACACAATGAAT >hg38_chr9:73875313-73875323(+) ATACAATATCA >hg38_chr9:73892640-73892650(+) TTACAATGGAA >hg38_chr9:73893035-73893045(+) GCACAATGAAT >hg38_chr9:74007132-74007142(-) tgactatggga >hg38_chr9:74009057-74009067(-) TAACAATGCAA >hg38_chr9:74022550-74022560(-) CAACAATAGAA >hg38_chr9:74304747-74304757(-) gcacaatagaa >hg38_chr9:74304796-74304806(+) ggacaatgaag >hg38_chr9:74355382-74355392(+) GGACAATGAGC >hg38_chr9:74363765-74363775(-) GCACAATGATT >hg38_chr9:74364198-74364208(+) AGACAATGTTA >hg38_chr9:74364210-74364220(+) TAACAAAGGGC >hg38_chr9:74384238-74384248(-) agataatggga >hg38_chr9:74404788-74404798(+) tgacaatgatt >hg38_chr9:74404805-74404815(-) tgacaatagta >hg38_chr9:74444438-74444448(+) ACACAATGTTG >hg38_chr9:74444647-74444657(+) AAATAATGGTT >hg38_chr9:74458687-74458697(+) tgacaatgcca >hg38_chr9:74687920-74687930(-) GAACAATGAAA >hg38_chr9:74702267-74702277(-) TTACAATAAGT >hg38_chr9:74721556-74721566(-) acacaaaggaa >hg38_chr9:74760602-74760612(+) tcactatggaa >hg38_chr9:74774150-74774160(+) CAACAATGTTC >hg38_chr9:74888256-74888266(+) ttacaatgaac >hg38_chr9:74928073-74928083(+) gaacaatcgtg >hg38_chr9:74993085-74993095(-) aaacaatgggt >hg38_chr9:74998222-74998232(-) GTACAAAGATA >hg38_chr9:75002245-75002255(-) TAACAAAGGAA >hg38_chr9:75069383-75069393(+) TAACAATGGAG >hg38_chr9:75069868-75069878(+) AGACAATATTA >hg38_chr9:75093865-75093875(+) TCACAATGATG >hg38_chr9:75117966-75117976(-) CAACAATGGAA >hg38_chr9:75133558-75133568(+) GAACAGTGGGT >hg38_chr9:75154127-75154137(-) GGACAATGCTG >hg38_chr9:75154392-75154402(+) ACACAATAAAA >hg38_chr9:75154474-75154484(+) TAACAATGTAC >hg38_chr9:75156888-75156898(+) atacaataagt >hg38_chr9:75167507-75167517(+) aaacaaaggga >hg38_chr9:75201581-75201591(-) AGACAATATAT >hg38_chr9:75201615-75201625(+) GCACAAAGGGA >hg38_chr9:75223055-75223065(-) gaacaatgtct >hg38_chr9:75232059-75232069(+) TGACAATACGT >hg38_chr9:75232477-75232487(-) AAACAAAGGCA >hg38_chr9:75382958-75382968(-) CCACAATAGAA >hg38_chr9:75386274-75386284(+) taacaatagta >hg38_chr9:75396532-75396542(-) CGACTATGGCT >hg38_chr9:75414596-75414606(-) GAACAAAGGTG >hg38_chr9:75421853-75421863(-) caacaatgtac >hg38_chr9:75493581-75493591(+) AAACAATGCTG >hg38_chr9:75493594-75493604(+) GAACAatgctg >hg38_chr9:75833988-75833998(-) gcacaatgggc >hg38_chr9:75835706-75835716(+) AGACAATGTGC >hg38_chr9:75835745-75835755(-) GAACAATGTTG >hg38_chr9:75879728-75879738(-) AAACAATAGCA >hg38_chr9:75898980-75898990(+) GCACAATGAAC >hg38_chr9:75898996-75899006(+) GCATAATGGCA >hg38_chr9:75941194-75941204(+) CGATAATGACT >hg38_chr9:75954254-75954264(-) GAACAATAGAG >hg38_chr9:75964715-75964725(-) TAACAAAGGCA >hg38_chr9:75975240-75975250(+) AAACAATAGCC >hg38_chr9:76022500-76022510(+) TTACTATGGAA >hg38_chr9:76022787-76022797(-) GGACAATGTGT >hg38_chr9:76038818-76038828(+) GGACAATAGGA >hg38_chr9:76045636-76045646(+) CAACAATGTCT >hg38_chr9:76060752-76060762(-) taacaatacaa >hg38_chr9:76066326-76066336(-) ACacaatgaaa >hg38_chr9:76090586-76090596(-) GAACAATGGCC >hg38_chr9:76122519-76122529(-) ACACAATGTAG >hg38_chr9:76123279-76123289(-) AAACAATATAA >hg38_chr9:76218337-76218347(-) GCACAATGACC >hg38_chr9:76249772-76249782(+) ccacaatgatg >hg38_chr9:76383843-76383853(+) agacaatagct >hg38_chr9:76385704-76385714(+) GGACAATAACA >hg38_chr9:76386694-76386704(+) ATACAATAATG >hg38_chr9:76410802-76410812(+) taacagtggat >hg38_chr9:76410822-76410832(-) atacaatgaga >hg38_chr9:76415835-76415845(-) TCACAATGGAA >hg38_chr9:76471012-76471022(-) agacaatggat >hg38_chr9:76501432-76501442(-) AAACAATAGTG >hg38_chr9:76645891-76645901(-) ACACAATAGTG >hg38_chr9:76645951-76645961(-) ATATAATGGTA >hg38_chr9:76654666-76654676(-) TCACAATGTAC >hg38_chr9:76658737-76658747(+) GTACTATGGCT >hg38_chr9:76700422-76700432(-) AAACAATGAGA >hg38_chr9:76701501-76701511(+) AAACAATAGCT >hg38_chr9:76729080-76729090(-) AAACAATGGCT >hg38_chr9:76761685-76761695(+) AAACACTGGAA >hg38_chr9:76810670-76810680(-) AAACAAAGGAA >hg38_chr9:76819676-76819686(-) GGACAATAGCC >hg38_chr9:76852901-76852911(-) CAACAATGTCT >hg38_chr9:76852928-76852938(-) TAACAATAATA >hg38_chr9:76873559-76873569(-) ACACAATAGAG >hg38_chr9:76883584-76883594(+) AAACAATGGTA >hg38_chr9:76883601-76883611(-) GTATAATGGAA >hg38_chr9:76888109-76888119(+) GAACAAAGGAA >hg38_chr9:76944246-76944256(+) caacaatgacc >hg38_chr9:77023379-77023389(+) TGACAATGGCA >hg38_chr9:77032488-77032498(+) taacaatagca >hg38_chr9:77038502-77038512(+) TAACAAAGGAG >hg38_chr9:77053621-77053631(-) tgataatggag >hg38_chr9:77127350-77127360(+) GGACAATGCTT >hg38_chr9:77128693-77128703(-) agacaatggga >hg38_chr9:77150848-77150858(-) AAACAATAAAA >hg38_chr9:77180743-77180753(-) acacaatatta >hg38_chr9:77187087-77187097(-) atacaatggga >hg38_chr9:77226346-77226356(-) AAACAATATAC >hg38_chr9:77229523-77229533(+) atacaatatct >hg38_chr9:77231560-77231570(-) atacaaagggc >hg38_chr9:77244566-77244576(-) acacaatgcat >hg38_chr9:77271261-77271271(+) acacaatggtt >hg38_chr9:77295813-77295823(+) TAACAATAAAG >hg38_chr9:77321189-77321199(-) CAACAATGGCC >hg38_chr9:77321921-77321931(-) TAACAATAGTA >hg38_chr9:77328384-77328394(+) tcataatggta >hg38_chr9:77361863-77361873(-) ccacaatgaca >hg38_chr9:77416810-77416820(+) TAACAATGCCT >hg38_chr9:77440709-77440719(-) aaacaaaggaa >hg38_chr9:77476413-77476423(-) CAACAATGAGC >hg38_chr9:77499056-77499066(+) GAACAATGGGA >hg38_chr9:77534605-77534615(+) AGACAATGTAA >hg38_chr9:77562090-77562100(+) TAACAATGGGA >hg38_chr9:77562122-77562132(-) GTACAAAGGCA >hg38_chr9:77599534-77599544(-) TCACAATGAGC >hg38_chr9:77602065-77602075(-) GGACAATGAGG >hg38_chr9:77603228-77603238(-) TCACAATGGCT >hg38_chr9:77611585-77611595(+) caacaATAGCC >hg38_chr9:77611620-77611630(+) AGACAATAGCT >hg38_chr9:77689575-77689585(-) gaacaataacc >hg38_chr9:77726281-77726291(+) GCACAAAGGAA >hg38_chr9:77726316-77726326(+) ACACAATGCTA >hg38_chr9:77799365-77799375(+) GAACAATAACA >hg38_chr9:77810545-77810555(-) AGACaataata >hg38_chr9:77828582-77828592(+) CAACAATGCAG >hg38_chr9:77834121-77834131(+) CAACAATGATT >hg38_chr9:77897090-77897100(+) TTACAAAGGAG >hg38_chr9:77977473-77977483(-) GAACAATGGTA >hg38_chr9:77980156-77980166(-) aaacaaaggtt >hg38_chr9:77980981-77980991(+) CTACAATGATT >hg38_chr9:78011766-78011776(+) aaataatggag >hg38_chr9:78023176-78023186(-) ACACAATGTAA >hg38_chr9:78051542-78051552(+) TGACAATGCCA >hg38_chr9:78051578-78051588(-) AAACAAAGGCA >hg38_chr9:78127504-78127514(-) AGACAATGCTG >hg38_chr9:78127526-78127536(-) AAACAATGGTT >hg38_chr9:78188823-78188833(-) ATACAAAGGTA >hg38_chr9:78192131-78192141(+) aaacaatgatc >hg38_chr9:78231068-78231078(+) gaacaaaggaa >hg38_chr9:78263293-78263303(+) ATACAATTGCG >hg38_chr9:78263366-78263376(+) AGATAATGGAA >hg38_chr9:78289657-78289667(+) GGACAATGCTG >hg38_chr9:78318844-78318854(+) caacaATAGGC >hg38_chr9:78397399-78397409(-) TTACAATGGAT >hg38_chr9:78406524-78406534(-) TTACAATAGAA >hg38_chr9:78420105-78420115(+) TAACAATATGT >hg38_chr9:78420155-78420165(+) ACACAATGGAC >hg38_chr9:78425997-78426007(-) atacactggga >hg38_chr9:78426016-78426026(-) ggacaaaggca >hg38_chr9:78426413-78426423(-) ctacaatgaaa >hg38_chr9:78439759-78439769(-) CAACAATGAGA >hg38_chr9:78440636-78440646(+) GAACAATAGGA >hg38_chr9:78476872-78476882(-) aaacaaaggaa >hg38_chr9:78477550-78477560(-) ATACAATAACC >hg38_chr9:78479387-78479397(+) tcacaatgcca >hg38_chr9:78487457-78487467(-) ACATAATGGTT >hg38_chr9:78543606-78543616(-) TTACAAAGGGA >hg38_chr9:78603311-78603321(-) AGACAATGCAC >hg38_chr9:78605677-78605687(-) GAACAATGGGA >hg38_chr9:78635741-78635751(-) GAATAATGGAA >hg38_chr9:78684781-78684791(-) GAACAAAGGAT >hg38_chr9:78739004-78739014(+) ttacaatatac >hg38_chr9:78759863-78759873(+) tgacaaaggac >hg38_chr9:78759928-78759938(+) ggacaaaggac >hg38_chr9:78821378-78821388(+) TAATAATGGAC >hg38_chr9:78821388-78821398(-) TGACAATGGCG >hg38_chr9:78829874-78829884(+) GAACAATAGGA >hg38_chr9:78829890-78829900(-) GTACAATAAAA >hg38_chr9:78829918-78829928(+) CAACAATGCCT >hg38_chr9:78849554-78849564(-) taacaatgcta >hg38_chr9:78865825-78865835(+) ACACAATGCAA >hg38_chr9:78867690-78867700(+) tcacaatgatt >hg38_chr9:78867719-78867729(-) taacaatgcct >hg38_chr9:78881764-78881774(+) GCACAATGATG >hg38_chr9:78932738-78932748(-) ACACAATGTGT >hg38_chr9:78953032-78953042(-) ttacaatgtga >hg38_chr9:78972803-78972813(-) TAACAATAGCA >hg38_chr9:78988461-78988471(-) ATACAATATAG >hg38_chr9:78988875-78988885(+) AAACAATGACT >hg38_chr9:78998416-78998426(-) atacaatggtc >hg38_chr9:79047791-79047801(-) TTACAAAGGGT >hg38_chr9:79059230-79059240(+) agACAATGTGA >hg38_chr9:79073236-79073246(-) ATACAATGCAT >hg38_chr9:79130594-79130604(+) CAACAATGATG >hg38_chr9:79172907-79172917(+) tcacaatgaag >hg38_chr9:79203576-79203586(-) TTACAATAGTT >hg38_chr9:79206191-79206201(+) GGACAATGATC >hg38_chr9:79251061-79251071(-) TTACTATGGAG >hg38_chr9:79256945-79256955(-) GCATAATGGTG >hg38_chr9:79298422-79298432(+) gaacaaaggca >hg38_chr9:79323468-79323478(-) taacaataaaa >hg38_chr9:79346186-79346196(+) aaacaataaaa >hg38_chr9:79347480-79347490(-) ggacaaaggaa >hg38_chr9:79361131-79361141(+) CTACAATGACA >hg38_chr9:79361152-79361162(-) ACATAATGGTC >hg38_chr9:79362292-79362302(+) GGACAAAGGAG >hg38_chr9:79378271-79378281(+) GAACAATGGCT >hg38_chr9:79388697-79388707(-) ccacaatgact >hg38_chr9:79392246-79392256(+) ggacaaaggat >hg38_chr9:79395350-79395360(-) TCACAATGACC >hg38_chr9:79396247-79396257(+) TCACAATGGTT >hg38_chr9:79447958-79447968(-) GGATAATGGGA >hg38_chr9:79478117-79478127(-) GTACAATAGTG >hg38_chr9:79480823-79480833(+) TTACAATGGAA >hg38_chr9:79489317-79489327(-) GGATAATGGCT >hg38_chr9:79493146-79493156(+) gcacaatgtcc >hg38_chr9:79524578-79524588(-) gtacaataggc >hg38_chr9:79555918-79555928(-) TCACAATGACA >hg38_chr9:79555928-79555938(+) ACACAATGGTC >hg38_chr9:79567056-79567066(+) ccacaatggct >hg38_chr9:79572545-79572555(+) GGACAATGCCC >hg38_chr9:79574001-79574011(+) GAACAATCGAA >hg38_chr9:79578995-79579005(-) AGACAATGGCA >hg38_chr9:79582474-79582484(-) GAACAATGGGC >hg38_chr9:79587125-79587135(+) aaacaatgcct >hg38_chr9:79592915-79592925(-) GAACAATGGGA >hg38_chr9:79600017-79600027(+) ctacaatagtc >hg38_chr9:79613935-79613945(+) AAACAAAGGGT >hg38_chr9:79624412-79624422(-) AAACAATGCCT >hg38_chr9:79634852-79634862(-) atacaatagaa >hg38_chr9:79637437-79637447(-) gcataatggtt >hg38_chr9:79655180-79655190(-) ATACAATGGTG >hg38_chr9:79655195-79655205(-) ATACAATTACG >hg38_chr9:79655212-79655222(-) ACACAATGGCA >hg38_chr9:79667900-79667910(-) GAACAAAGGCA >hg38_chr9:79668107-79668117(+) ATACAGTGGTG >hg38_chr9:79668160-79668170(-) CAACAATGTCA >hg38_chr9:79685218-79685228(-) TAACAATGCTT >hg38_chr9:79695432-79695442(+) acacaatagtg >hg38_chr9:79709878-79709888(-) TTACAATGCAA >hg38_chr9:79726256-79726266(-) GCACAATGGCA >hg38_chr9:79726270-79726280(-) ACACAATGTTT >hg38_chr9:79726655-79726665(-) ATACAATGCAT >hg38_chr9:79726681-79726691(+) CTATAATGGTA >hg38_chr9:79741515-79741525(-) TTACAATGCTT >hg38_chr9:79799955-79799965(+) ACACAATATAC >hg38_chr9:79850935-79850945(+) ACACAATAGAG >hg38_chr9:79851404-79851414(-) ACACAATGAAG >hg38_chr9:79881772-79881782(+) GGACAATGCAG >hg38_chr9:79901954-79901964(-) TAACAATAAAG >hg38_chr9:79902002-79902012(+) TAATAATGGAA >hg38_chr9:79925393-79925403(-) CTACAATGGAA >hg38_chr9:79929256-79929266(-) ACACAAAGGAG >hg38_chr9:79960766-79960776(-) CTACAATGCAC >hg38_chr9:79963030-79963040(-) TTACAATGGAA >hg38_chr9:79979498-79979508(+) AGACAATGTTT >hg38_chr9:79979548-79979558(-) GGACAATAGAA >hg38_chr9:79980504-79980514(+) GAACAAAGGCC >hg38_chr9:79980532-79980542(-) TCACAATGGGT >hg38_chr9:79999233-79999243(+) AGATAATGGAT >hg38_chr9:80027963-80027973(+) GCACAATGCTT >hg38_chr9:80049258-80049268(+) ATACAATAAGC >hg38_chr9:80064352-80064362(-) ttacaatagca >hg38_chr9:80134961-80134971(-) GAACAATAGAC >hg38_chr9:80157687-80157697(-) TCATAATGGAT >hg38_chr9:80164647-80164657(-) gtacaatggca >hg38_chr9:80164654-80164664(+) gtacaatataa >hg38_chr9:80164685-80164695(+) ttacaatataa >hg38_chr9:80196434-80196444(-) ATATAATGGGT >hg38_chr9:80257212-80257222(+) ctacaatgtta >hg38_chr9:80310363-80310373(-) CGACAATAAAT >hg38_chr9:80310623-80310633(+) GAACAATGGGA >hg38_chr9:80310963-80310973(+) GGACAATGGAT >hg38_chr9:80316113-80316123(+) ATACAATACAC >hg38_chr9:80410293-80410303(+) aaacaatgcgc >hg38_chr9:80460156-80460166(+) GAACAATAACC >hg38_chr9:80460175-80460185(+) AGACAATATAC >hg38_chr9:80460184-80460194(+) ACATAATGGGC >hg38_chr9:80460205-80460215(-) TAACAATGATG >hg38_chr9:80584240-80584250(-) AAACAATGAAC >hg38_chr9:80605269-80605279(+) CAACAATGCAA >hg38_chr9:80691271-80691281(+) agataatggga >hg38_chr9:80699683-80699693(-) GAACAAAGGAA >hg38_chr9:80762039-80762049(+) AAACAATAAAA >hg38_chr9:80762121-80762131(-) TTACTATGGCT >hg38_chr9:80771668-80771678(-) aaacaataaaa >hg38_chr9:80789194-80789204(+) acacaatgaaa >hg38_chr9:80836478-80836488(-) TTACAATGCTG >hg38_chr9:80881413-80881423(+) ATACAAAGGAA >hg38_chr9:80889846-80889856(-) TCACAATAGCC >hg38_chr9:80966854-80966864(+) GAACAATGGAT >hg38_chr9:80976832-80976842(+) TTACAATGAGA >hg38_chr9:81020271-81020281(+) taactatggtg >hg38_chr9:81028630-81028640(-) CGACAATGCGC >hg38_chr9:81042165-81042175(-) GAACAAAGGAT >hg38_chr9:81094331-81094341(+) TGACAAAGGAT >hg38_chr9:81125638-81125648(+) ggataatggaa >hg38_chr9:81150026-81150036(+) caacaatagga >hg38_chr9:81170069-81170079(+) tgacaatgtac >hg38_chr9:81191035-81191045(-) aaacaatgaac >hg38_chr9:81225752-81225762(+) AAACAATGCCA >hg38_chr9:81225784-81225794(-) GGACAATAGGA >hg38_chr9:81228080-81228090(+) CGACAATGGGG >hg38_chr9:81232775-81232785(-) gaacaatgtta >hg38_chr9:81238813-81238823(+) acacaatgtgt >hg38_chr9:81248322-81248332(-) ACACAAAGGAG >hg38_chr9:81248332-81248342(+) TAACAATAAAC >hg38_chr9:81344673-81344683(-) ACACAATAGCT >hg38_chr9:81345267-81345277(+) GAACAAAGGAA >hg38_chr9:81369337-81369347(-) GAACAAAGGGA >hg38_chr9:81386681-81386691(+) ATACAATGCTC >hg38_chr9:81389549-81389559(-) AGACAATGGGA >hg38_chr9:81389561-81389571(+) GAACAATGCCC >hg38_chr9:81389961-81389971(+) GCACAATAATA >hg38_chr9:81396940-81396950(-) GAACAAAGGAA >hg38_chr9:81396957-81396967(-) GAATAATGGGC >hg38_chr9:81422142-81422152(+) GAACAATGCCA >hg38_chr9:81488047-81488057(+) GAACAATGGTG >hg38_chr9:81499236-81499246(+) ACATAATGGAG >hg38_chr9:81516376-81516386(+) CTACAATGAAA >hg38_chr9:81516415-81516425(-) GAATAATGGAT >hg38_chr9:81534386-81534396(+) caacaatggat >hg38_chr9:81536169-81536179(-) TAACAATGTGC >hg38_chr9:81558325-81558335(+) GAACAAAGGGT >hg38_chr9:81562322-81562332(-) AGACAATGCCT >hg38_chr9:81562337-81562347(-) AGACAAAGACG >hg38_chr9:81574500-81574510(+) TTACAATGGCA >hg38_chr9:81596245-81596255(+) TCACAATGCAG >hg38_chr9:81621447-81621457(+) GAACAATGTTG >hg38_chr9:81649636-81649646(-) GAACAATGGGA >hg38_chr9:81649988-81649998(+) TTACAATGATA >hg38_chr9:81650028-81650038(+) ACACAATGGGC >hg38_chr9:81651069-81651079(-) AGACAATGGAA >hg38_chr9:81651092-81651102(+) GGACTATGGCA >hg38_chr9:81657151-81657161(-) caacaatggaa >hg38_chr9:81674303-81674313(-) GTACAGTGGCC >hg38_chr9:81684771-81684781(-) GTACAATAAGT >hg38_chr9:81687129-81687139(+) AAACAATGGGA >hg38_chr9:81687898-81687908(+) AAACAAAGGGG >hg38_chr9:81690725-81690735(+) AGACAATGTAA >hg38_chr9:81717716-81717726(-) ACACAATAATA >hg38_chr9:81717753-81717763(-) GGACAAAGGAG >hg38_chr9:81717761-81717771(-) AAACAATGGGA >hg38_chr9:81717790-81717800(+) CAACAATGAAG >hg38_chr9:81759842-81759852(+) gtacaaaggaa >hg38_chr9:81784167-81784177(+) aaacaatgtaa >hg38_chr9:81804999-81805009(-) ATACAATAATT >hg38_chr9:81805051-81805061(-) TAACAATGCAT >hg38_chr9:81805070-81805080(-) TAACAAAGGAA >hg38_chr9:81823052-81823062(-) GAACAATAGGA >hg38_chr9:81833055-81833065(-) TAACAATGTGG >hg38_chr9:81871922-81871932(+) AGACAATGCTC >hg38_chr9:81879569-81879579(+) GAACAATGGGT >hg38_chr9:81879583-81879593(+) AAACAATAGGA >hg38_chr9:81909144-81909154(+) taacaatggtc >hg38_chr9:82007541-82007551(+) ATACAATACAT >hg38_chr9:82027225-82027235(+) gaataatggga >hg38_chr9:82050301-82050311(-) caacaatgtaa >hg38_chr9:82067496-82067506(-) ctacaatgcct >hg38_chr9:82067539-82067549(-) agacaatggat >hg38_chr9:82080044-82080054(+) GAACAATGGTG >hg38_chr9:82081622-82081632(+) TCACAATGATA >hg38_chr9:82116540-82116550(+) AGACAATGAGG >hg38_chr9:82174350-82174360(+) taacaatgaat >hg38_chr9:82178341-82178351(-) acacaatagaa >hg38_chr9:82180887-82180897(-) gaacaaaggga >hg38_chr9:82187876-82187886(+) atacattggcg >hg38_chr9:82190041-82190051(+) atacaatgaat >hg38_chr9:82196478-82196488(-) ATACAATAGAG >hg38_chr9:82199153-82199163(+) CTACAATAGCA >hg38_chr9:82207118-82207128(-) GAATAATGGGA >hg38_chr9:82300300-82300310(-) aaacaataaga >hg38_chr9:82321058-82321068(+) TTACAATGGTC >hg38_chr9:82321416-82321426(-) TAACAATGACA >hg38_chr9:82361662-82361672(-) GCATAATGGAA >hg38_chr9:82362643-82362653(-) CAACAATGGCC >hg38_chr9:82363134-82363144(+) GAATAATGACG >hg38_chr9:82440528-82440538(+) agacaatggga >hg38_chr9:82449335-82449345(-) gtacaataatg >hg38_chr9:82455358-82455368(-) AAACAATGTGC >hg38_chr9:82467054-82467064(-) AGACAATGATT >hg38_chr9:82489659-82489669(-) ACACAAAGGAA >hg38_chr9:82489714-82489724(-) GAACAATAACC >hg38_chr9:82502544-82502554(-) atacaatggtt >hg38_chr9:82536553-82536563(+) ATACAATAATG >hg38_chr9:82536749-82536759(+) ttacaatgaag >hg38_chr9:82570905-82570915(-) gtacaatagca >hg38_chr9:82605424-82605434(+) acacaatgttg >hg38_chr9:82612358-82612368(-) ACACAAAGGAA >hg38_chr9:82707220-82707230(+) atacaatagcc >hg38_chr9:82776194-82776204(+) AAACAATGCCA >hg38_chr9:82862902-82862912(+) CAATAATGGAC >hg38_chr9:82866025-82866035(+) caacaatggac >hg38_chr9:82882898-82882908(+) TAACAATGCTC >hg38_chr9:82893531-82893541(-) AAACAAAGGCA >hg38_chr9:82893596-82893606(+) taacaatgcaa >hg38_chr9:82895268-82895278(+) CAACAATGAAG >hg38_chr9:82896383-82896393(+) AAACAATAGCA >hg38_chr9:82920399-82920409(-) GCACAATGATA >hg38_chr9:82923586-82923596(-) AAACAATAACA >hg38_chr9:82947212-82947222(-) AAACAATGGTG >hg38_chr9:82947247-82947257(-) TCACAATAGAT >hg38_chr9:82961813-82961823(-) GAACAATGTAG >hg38_chr9:83027537-83027547(-) atacaataaga >hg38_chr9:83032229-83032239(+) ATACAATGGCC >hg38_chr9:83070401-83070411(-) taacaaaggtg >hg38_chr9:83137491-83137501(+) GGACAATGGTA >hg38_chr9:83188542-83188552(-) ACACAATAGAG >hg38_chr9:83188570-83188580(+) AGACAATGTAC >hg38_chr9:83202406-83202416(-) TTACAATGTGT >hg38_chr9:83235637-83235647(-) aaacaatgata >hg38_chr9:83263785-83263795(+) tgataatggaa >hg38_chr9:83264119-83264129(+) agacaatggaa >hg38_chr9:83265563-83265573(+) acacaatagaa >hg38_chr9:83267940-83267950(+) taacaatgcta >hg38_chr9:83270078-83270088(-) GAACAATGCTC >hg38_chr9:83299312-83299322(-) GCACAATGCAG >hg38_chr9:83321470-83321480(-) gtacaatacat >hg38_chr9:83391000-83391010(+) AGACAATGCAG >hg38_chr9:83391016-83391026(+) AGACAATGCAA >hg38_chr9:83412253-83412263(-) atacaatggta >hg38_chr9:83412281-83412291(-) gtagaatggac >hg38_chr9:83454180-83454190(-) CTACAATAGCT >hg38_chr9:83454193-83454203(+) TTACAATGTTA >hg38_chr9:83456382-83456392(+) tcacaatgttc >hg38_chr9:83480284-83480294(-) tcacaatgctg >hg38_chr9:83480303-83480313(+) taacaatatat >hg38_chr9:83527012-83527022(-) GGACAATGACC >hg38_chr9:83535923-83535933(-) AAACAATGCGA >hg38_chr9:83545014-83545024(+) taacaatggat >hg38_chr9:83580302-83580312(-) atacaatgatt >hg38_chr9:83580947-83580957(+) GCATAATGGAA >hg38_chr9:83583427-83583437(+) TCACAATACCG >hg38_chr9:83588360-83588370(+) TGACAATGTAC >hg38_chr9:83620911-83620921(-) TTACTATGGGG >hg38_chr9:83634450-83634460(+) AAACAATGAAA >hg38_chr9:83659221-83659231(-) TAACAATGGAT >hg38_chr9:83661350-83661360(-) AAACAATGCTG >hg38_chr9:83694821-83694831(+) aaacaatgtac >hg38_chr9:83701882-83701892(+) tcacaatagcc >hg38_chr9:83701958-83701968(+) acacaatggaa >hg38_chr9:83707176-83707186(-) TCACAAAGGAA >hg38_chr9:83742693-83742703(+) GAACAATGATT >hg38_chr9:83772209-83772219(+) acacaatgaat >hg38_chr9:83981477-83981487(-) ATACAATGCAA >hg38_chr9:83982941-83982951(+) TAACAATACAT >hg38_chr9:83982978-83982988(+) GTACAATGGTG >hg38_chr9:83983779-83983789(+) ATACAATGCTA >hg38_chr9:84002453-84002463(-) AGACAAAGGGT >hg38_chr9:84003855-84003865(-) GAACAATGACA >hg38_chr9:84004185-84004195(-) CAACAATGAAA >hg38_chr9:84081249-84081259(-) GGACAATAGCA >hg38_chr9:84082664-84082674(+) CAACAATGCAC >hg38_chr9:84094134-84094144(-) TTACAATGTAA >hg38_chr9:84094159-84094169(+) GAACAATACAC >hg38_chr9:84102092-84102102(-) GGACAATGGGA >hg38_chr9:84139427-84139437(-) AGACAATAGAA >hg38_chr9:84139492-84139502(+) GTACACTGGAG >hg38_chr9:84151413-84151423(-) AGACAATGAGG >hg38_chr9:84151765-84151775(+) GAATAATGGAT >hg38_chr9:84158397-84158407(-) CCACAATGGAT >hg38_chr9:84170813-84170823(-) CAACAATGTTG >hg38_chr9:84228527-84228537(+) GGACAATGAGC >hg38_chr9:84228569-84228579(-) TCACAATGGGA >hg38_chr9:84276986-84276996(-) agacaatggtg >hg38_chr9:84278263-84278273(+) CAACAATGTGC >hg38_chr9:84332181-84332191(+) caacaatgagc >hg38_chr9:84332197-84332207(-) tgacaatgtcc >hg38_chr9:84375476-84375486(-) atacaatggaa >hg38_chr9:84375556-84375566(+) gaacaatgcta >hg38_chr9:84452685-84452695(-) TGACAATGCGC >hg38_chr9:84456569-84456579(-) GTACAATGGGA >hg38_chr9:84552393-84552403(+) aaacaaaggct >hg38_chr9:84553284-84553294(-) tgacaatgtgg >hg38_chr9:84553308-84553318(-) acacaatataa >hg38_chr9:84553323-84553333(+) taacaataatt >hg38_chr9:84568000-84568010(+) CAACAATAGCA >hg38_chr9:84642984-84642994(+) AAACTATGGGA >hg38_chr9:84697885-84697895(-) ATACAAAGGAA >hg38_chr9:84708542-84708552(-) GGACAATGCAA >hg38_chr9:84712234-84712244(-) TTACAATGGAA >hg38_chr9:84734332-84734342(-) ACACAAAGGGA >hg38_chr9:84750127-84750137(-) aaacaaaggat >hg38_chr9:84785113-84785123(-) GTACAATAAGA >hg38_chr9:84786050-84786060(-) ATACAAAGGCA >hg38_chr9:84792522-84792532(+) GTACAATAACA >hg38_chr9:84808821-84808831(-) CAACAATGCTA >hg38_chr9:84834561-84834571(-) GAACAATGCTT >hg38_chr9:84840549-84840559(-) ggacaatggct >hg38_chr9:84851052-84851062(-) caacaatgaat >hg38_chr9:84851076-84851086(-) ggacaatgcat >hg38_chr9:84852094-84852104(-) AAACAATGACT >hg38_chr9:84860615-84860625(-) ACACAATGCAT >hg38_chr9:84861734-84861744(+) AGACAATGAAC >hg38_chr9:84863605-84863615(-) GGACAATGAAT >hg38_chr9:84867942-84867952(+) CCACAATAGCA >hg38_chr9:84874768-84874778(-) AAACAAAGGGC >hg38_chr9:84893688-84893698(+) AGACAAAGGAG >hg38_chr9:84894771-84894781(-) ACACAATATTA >hg38_chr9:84895019-84895029(+) AAACAATAACC >hg38_chr9:84925448-84925458(-) AGACAATAGAA >hg38_chr9:84969246-84969256(-) ACACAAAGGAA >hg38_chr9:84975327-84975337(+) GAACAATGGAG >hg38_chr9:84989976-84989986(+) GTACAATATCC >hg38_chr9:84990346-84990356(-) CAACAATGTCA >hg38_chr9:84990601-84990611(-) CCACAATGTTT >hg38_chr9:84996304-84996314(-) CAATAATGGAG >hg38_chr9:85118130-85118140(+) TCACAATGAGT >hg38_chr9:85119655-85119665(-) GTACAAAGGAA >hg38_chr9:85178742-85178752(+) gaacaaaggac >hg38_chr9:85280462-85280472(+) TAACAAAGGTC >hg38_chr9:85299473-85299483(-) AGACAAAGGTA >hg38_chr9:85320724-85320734(+) caacaacggac >hg38_chr9:85321301-85321311(+) ACACAATGCCT >hg38_chr9:85347013-85347023(-) ACACAATGCTT >hg38_chr9:85392922-85392932(+) CTACAATGTAC >hg38_chr9:85594904-85594914(-) AAACAATGTTT >hg38_chr9:85606049-85606059(+) taacaatgaat >hg38_chr9:85606627-85606637(+) tcacaatagca >hg38_chr9:85615440-85615450(-) gtacaataaat >hg38_chr9:85617098-85617108(+) ATACAATATCT >hg38_chr9:85626765-85626775(+) agacaatgcat >hg38_chr9:85639868-85639878(+) TCACAAAGGAT >hg38_chr9:85683647-85683657(-) aaactatggaa >hg38_chr9:85684897-85684907(+) acacaatgaat >hg38_chr9:85686627-85686637(-) atacaatgttg >hg38_chr9:85690102-85690112(-) tgacaatgtct >hg38_chr9:85702220-85702230(-) aaacagtggaa >hg38_chr9:85703434-85703444(+) caacaatgggc >hg38_chr9:85709582-85709592(+) taataatggac >hg38_chr9:85711052-85711062(-) ATATAATGAAT >hg38_chr9:85726586-85726596(+) ttacaatgcaa >hg38_chr9:85729295-85729305(+) atacaatggaa >hg38_chr9:85733115-85733125(+) acacaatgaat >hg38_chr9:85740760-85740770(-) TTACAATGCAT >hg38_chr9:85741031-85741041(+) AAACAACGGTA >hg38_chr9:85812991-85813001(-) atacaatagat >hg38_chr9:85858585-85858595(-) AAATAATGGGG >hg38_chr9:85871860-85871870(+) gcataatggct >hg38_chr9:85957624-85957634(+) aaacaatagca >hg38_chr9:85969139-85969149(-) aaacaatgaaa >hg38_chr9:85993033-85993043(+) acacaatggat >hg38_chr9:85998885-85998895(-) GTACAGTGGCA >hg38_chr9:86004599-86004609(+) aaacaatggag >hg38_chr9:86047219-86047229(+) GGACAAAGGGC >hg38_chr9:86079169-86079179(+) taacaataaag >hg38_chr9:86122553-86122563(-) tGACAATGCTA >hg38_chr9:86127487-86127497(+) GAACTATGGGC >hg38_chr9:86130693-86130703(+) TAACAATGCAG >hg38_chr9:86181577-86181587(+) ggacaaaggtg >hg38_chr9:86206926-86206936(+) TTACAATAGGT >hg38_chr9:86249408-86249418(-) CAACAATGCTT >hg38_chr9:86249441-86249451(+) ATACAATACGT >hg38_chr9:86249479-86249489(-) ttacaatagca >hg38_chr9:86250530-86250540(-) atacaatgagc >hg38_chr9:86262704-86262714(+) CAACAATGAAG >hg38_chr9:86273280-86273290(+) TAACAATGTAC >hg38_chr9:86287131-86287141(-) ttacaatgctt >hg38_chr9:86290180-86290190(-) ATACaataata >hg38_chr9:86295534-86295544(+) AAACAATGGTA >hg38_chr9:86300600-86300610(+) CCACAATAGAC >hg38_chr9:86309972-86309982(+) GATCAATGGCG >hg38_chr9:86325171-86325181(-) TAACAGTGGGT >hg38_chr9:86340570-86340580(-) ATACAATGTCC >hg38_chr9:86343301-86343311(+) AAACAAAGGAC >hg38_chr9:86353013-86353023(-) GAACTATGGGA >hg38_chr9:86354471-86354481(-) GCACAATGAGA >hg38_chr9:86494136-86494146(-) TCACAATGTGT >hg38_chr9:86544979-86544989(-) AAACTATGGTA >hg38_chr9:86549716-86549726(-) ACACAGTGGAA >hg38_chr9:86552680-86552690(+) GCACAATGTGG >hg38_chr9:86552706-86552716(+) AGACAATGGCA >hg38_chr9:86576707-86576717(-) GGACAATGGGC >hg38_chr9:86598267-86598277(-) GGACAATGTTA >hg38_chr9:86598293-86598303(-) ACACAATATAA >hg38_chr9:86598723-86598733(-) AAACAAAGGAT >hg38_chr9:86598741-86598751(-) CAACAATGAAG >hg38_chr9:86604794-86604804(+) TAACAATGCTT >hg38_chr9:86624890-86624900(-) GAACAATAGAG >hg38_chr9:86668428-86668438(+) GAACAATGCCT >hg38_chr9:86671699-86671709(+) ATACAAAGGCA >hg38_chr9:86681772-86681782(+) agacaatagga >hg38_chr9:86681791-86681801(-) ttacaatggag >hg38_chr9:86686833-86686843(+) ATACAAAGGGA >hg38_chr9:86686865-86686875(-) GGACAATGGCT >hg38_chr9:86721396-86721406(+) GAACAAAGGCC >hg38_chr9:86749458-86749468(-) ttacaataaga >hg38_chr9:86761384-86761394(+) gaacaataaac >hg38_chr9:86767022-86767032(+) CAACAATGCTC >hg38_chr9:86776680-86776690(-) acacaatgtgg >hg38_chr9:86787163-86787173(+) atacaatgggg >hg38_chr9:86787808-86787818(+) ACACAATGGCA >hg38_chr9:86810171-86810181(+) CAACAATGTCC >hg38_chr9:86829641-86829651(-) ATACAATGACT >hg38_chr9:86866159-86866169(-) AAACAAAGGTT >hg38_chr9:86902625-86902635(+) GCACAATGAAT >hg38_chr9:86924884-86924894(+) CAACAATAGGC >hg38_chr9:86927462-86927472(-) TAACAATACAT >hg38_chr9:86933838-86933848(-) tgataatggct >hg38_chr9:86934083-86934093(+) aaacaatggtg >hg38_chr9:86934100-86934110(-) tcacaatgagt >hg38_chr9:86940954-86940964(-) AAACAATGTGC >hg38_chr9:86942185-86942195(-) GGACAATGGTC >hg38_chr9:86944982-86944992(-) GAACAATGCAA >hg38_chr9:86993920-86993930(+) ttacaatagca >hg38_chr9:86993995-86994005(+) atacaatggaa >hg38_chr9:87004604-87004614(+) gtacaatacat >hg38_chr9:87023224-87023234(-) TTACAATGAGA >hg38_chr9:87096137-87096147(-) GAACAATGGCC >hg38_chr9:87096465-87096475(-) AGACAATGGGG >hg38_chr9:87106604-87106614(-) CAACAATGGTT >hg38_chr9:87171822-87171832(+) cgacaataaaa >hg38_chr9:87171876-87171886(+) gaacaatggaa >hg38_chr9:87172292-87172302(+) ttacaataaaa >hg38_chr9:87172302-87172312(+) agacaatggca >hg38_chr9:87172344-87172354(+) gaacaatatat >hg38_chr9:87195571-87195581(-) ttacaatatac >hg38_chr9:87199195-87199205(+) TAACAATGCAA >hg38_chr9:87270620-87270630(-) AGATAATGGGG >hg38_chr9:87324778-87324788(-) GAACAAAGGTG >hg38_chr9:87337166-87337176(-) GTACAATGTTT >hg38_chr9:87338617-87338627(-) taacaatgcat >hg38_chr9:87346541-87346551(-) CTACAATGTAG >hg38_chr9:87354308-87354318(-) ACACAATGTGA >hg38_chr9:87354341-87354351(+) TAACAATGCCT >hg38_chr9:87366325-87366335(+) acacaacggag >hg38_chr9:87366378-87366388(+) caacaatgtgg >hg38_chr9:87375403-87375413(+) GGACAATAGGG >hg38_chr9:87395874-87395884(+) ttacaatgcat >hg38_chr9:87403598-87403608(+) aaacaatgaag >hg38_chr9:87406347-87406357(+) AGACAATGGGC >hg38_chr9:87410301-87410311(-) aaacaatgaag >hg38_chr9:87448168-87448178(-) GAACAAAGGCA >hg38_chr9:87471258-87471268(-) TCATAATGGAG >hg38_chr9:87490912-87490922(-) aaataatggca >hg38_chr9:87519747-87519757(+) TGACAATGAGG >hg38_chr9:87519780-87519790(-) AAACAATGCCA >hg38_chr9:87532635-87532645(+) AGACAATGAAA >hg38_chr9:87538092-87538102(-) AAACAATGACA >hg38_chr9:87538117-87538127(+) AGACAATAGTT >hg38_chr9:87564459-87564469(+) gaacaatggaa >hg38_chr9:87571133-87571143(-) ACACAATGCCT >hg38_chr9:87580922-87580932(-) GTACAAAGGGC >hg38_chr9:87610610-87610620(-) gAACAATGGCT >hg38_chr9:87619758-87619768(-) TAACAATGCCG >hg38_chr9:87690918-87690928(-) tcacaatatac >hg38_chr9:87769902-87769912(-) GGACAATGAGA >hg38_chr9:87788599-87788609(-) agataatggtt >hg38_chr9:87808221-87808231(-) gcaCAATGACC >hg38_chr9:87898862-87898872(-) taacaatataa >hg38_chr9:87899540-87899550(+) acacaataaac >hg38_chr9:87899597-87899607(-) ttacaatgggc >hg38_chr9:87899877-87899887(-) ttacaatgttt >hg38_chr9:87946452-87946462(-) aaacaataaac >hg38_chr9:87973566-87973576(+) tgacaatgtat >hg38_chr9:88069786-88069796(-) AAACAATGAGG >hg38_chr9:88236559-88236569(-) GGACAATGGTT >hg38_chr9:88236569-88236579(+) CTACAATGATA >hg38_chr9:88259123-88259133(-) tgacaatggga >hg38_chr9:88274265-88274275(-) gaacaatgcgc >hg38_chr9:88312274-88312284(+) TGACAATGGAA >hg38_chr9:88344897-88344907(-) gaacaaaggga >hg38_chr9:88361632-88361642(-) gaacaaaggct >hg38_chr9:88380120-88380130(-) tgacaaaggat >hg38_chr9:88388654-88388664(-) GGACAATGCTC >hg38_chr9:88418288-88418298(+) agacactggta >hg38_chr9:88447091-88447101(-) aaacaatgagc >hg38_chr9:88477492-88477502(-) GAACAATGATT >hg38_chr9:88684892-88684902(+) GAACAATGAAA >hg38_chr9:88706452-88706462(+) GGACAAAGGGC >hg38_chr9:88737409-88737419(-) atacaatacaa >hg38_chr9:88751802-88751812(+) GAACAAAGGAG >hg38_chr9:88782303-88782313(-) GAACAATGCAG >hg38_chr9:88783306-88783316(+) AAATAATGGCT >hg38_chr9:88949561-88949571(-) GAACAATAACC >hg38_chr9:88950580-88950590(-) GAACAATGAAG >hg38_chr9:88950598-88950608(+) TCACAATAGCA >hg38_chr9:88953734-88953744(+) GAACAATGGAC >hg38_chr9:88971448-88971458(+) GGACAATATAC >hg38_chr9:88975385-88975395(-) GGACAATAGCC >hg38_chr9:89000701-89000711(+) GGATAATGGGT >hg38_chr9:89012508-89012518(-) ATACAATAGGG >hg38_chr9:89022022-89022032(-) CTACAAAGGAA >hg38_chr9:89022034-89022044(+) GAACAAAGGCA >hg38_chr9:89022042-89022052(+) GCACAATGTCA >hg38_chr9:89038567-89038577(-) AGACAAAGGGT >hg38_chr9:89101210-89101220(-) taacaaaggtc >hg38_chr9:89101252-89101262(-) atacaatgtca >hg38_chr9:89102172-89102182(-) gaacaaaggag >hg38_chr9:89137895-89137905(-) GTACTATGGGC >hg38_chr9:89137914-89137924(-) AAATAATGGCT >hg38_chr9:89176015-89176025(+) GGACAATGCCT >hg38_chr9:89186474-89186484(-) gaataatggac >hg38_chr9:89186534-89186544(+) gaacaatgagg >hg38_chr9:89205897-89205907(+) ACACAATGGAC >hg38_chr9:89247887-89247897(-) gaacaatgacc >hg38_chr9:89279167-89279177(+) AAACAATAGGA >hg38_chr9:89299039-89299049(-) GTACAATGAAG >hg38_chr9:89328312-89328322(+) agacaatagga >hg38_chr9:89393359-89393369(+) TTACCATGGCG >hg38_chr9:89393384-89393394(+) AAACAATGAAT >hg38_chr9:89470818-89470828(-) atataatggaa >hg38_chr9:89493897-89493907(-) AAACAAAGGCC >hg38_chr9:89506045-89506055(+) CAACAATAGCC >hg38_chr9:89506083-89506093(-) ATACAATGGCT >hg38_chr9:89506169-89506179(-) GGACAATGGCC >hg38_chr9:89603326-89603336(-) CGATAATGGGA >hg38_chr9:89604243-89604253(-) GGACAATCGAG >hg38_chr9:89604283-89604293(-) GCACAATAGCA >hg38_chr9:89607061-89607071(+) CTACAAAGGTA >hg38_chr9:89635231-89635241(-) TGACTATGGAA >hg38_chr9:89651244-89651254(+) gtacaatgcat >hg38_chr9:89672986-89672996(+) GAACAATGTCA >hg38_chr9:89675323-89675333(-) GAACAATGGGA >hg38_chr9:89743681-89743691(-) AAACAAAGGTC >hg38_chr9:89747855-89747865(-) ACACAATGCTT >hg38_chr9:89750409-89750419(+) CCACAATAGGA >hg38_chr9:89787014-89787024(+) gaactatggag >hg38_chr9:89787086-89787096(+) gaacaatggaa >hg38_chr9:89788527-89788537(-) agacaatgtta >hg38_chr9:89804735-89804745(+) AGACAATGCAT >hg38_chr9:89808231-89808241(-) AAACAATAAAC >hg38_chr9:89864992-89865002(-) CCACAATGTAA >hg38_chr9:89891927-89891937(+) AAACAATGGTT >hg38_chr9:89930321-89930331(-) TCACAAAGGAT >hg38_chr9:89954335-89954345(+) GGACAAAGGGA >hg38_chr9:90030814-90030824(-) TAACAATAATT >hg38_chr9:90030850-90030860(-) AAACAATGCTC >hg38_chr9:90044912-90044922(+) AAACAATGGAA >hg38_chr9:90086149-90086159(+) TAACAATAAGA >hg38_chr9:90177126-90177136(-) TGACAAAGGAA >hg38_chr9:90251494-90251504(-) agacaatgact >hg38_chr9:90261048-90261058(+) AGACAATGGTA >hg38_chr9:90265571-90265581(-) ACACAAAGGGT >hg38_chr9:90291603-90291613(-) CCACAATAGAA >hg38_chr9:90333387-90333397(+) agacaatgaca >hg38_chr9:90362541-90362551(-) ctacaatgatg >hg38_chr9:90362556-90362566(-) gaacaatagaa >hg38_chr9:90363759-90363769(-) tcacaatgcta >hg38_chr9:90389351-90389361(+) AAACAATGCAA >hg38_chr9:90405629-90405639(-) CAACAATGAAG >hg38_chr9:90405641-90405651(-) TAACAATAGCA >hg38_chr9:90445206-90445216(-) AAACAATGAAT >hg38_chr9:90446806-90446816(-) AGACAATGGAC >hg38_chr9:90514614-90514624(+) atacaaaggtc >hg38_chr9:90514621-90514631(-) caacaatgacc >hg38_chr9:90534781-90534791(+) TAACAATGGGA >hg38_chr9:90548141-90548151(-) gaacaaaggca >hg38_chr9:90555340-90555350(-) TAACAATGCCT >hg38_chr9:90632049-90632059(-) taacaatggag >hg38_chr9:90642145-90642155(-) TGACAATGACT >hg38_chr9:90702605-90702615(-) tcacaatggcc >hg38_chr9:90722976-90722986(+) agacaatgaat >hg38_chr9:90778729-90778739(-) gaacaatggag >hg38_chr9:90778787-90778797(-) ttacaatgaaa >hg38_chr9:90823013-90823023(+) tgacaatggtt >hg38_chr9:90871554-90871564(-) GAACAATAACC >hg38_chr9:90873093-90873103(+) AAACAATGGCT >hg38_chr9:90873118-90873128(-) TCACAATGCAC >hg38_chr9:90904931-90904941(+) aaacaatgggg >hg38_chr9:90970881-90970891(-) GAACAATGGGG >hg38_chr9:90999612-90999622(-) GGACAATGACA >hg38_chr9:91049341-91049351(+) aaacaataaca >hg38_chr9:91060681-91060691(-) AAACAAAGGAA >hg38_chr9:91078544-91078554(-) AGACAAAGGCA >hg38_chr9:91115841-91115851(+) TAACAATGCAA >hg38_chr9:91115887-91115897(-) TTACTATGGAA >hg38_chr9:91120048-91120058(+) TCACAGTGGTA >hg38_chr9:91120067-91120077(-) TAACAATGCTA >hg38_chr9:91130969-91130979(+) caacaatgaca >hg38_chr9:91131661-91131671(-) TTACAATGAGC >hg38_chr9:91149271-91149281(+) agacaatgctt >hg38_chr9:91201444-91201454(+) atacaatgcta >hg38_chr9:91202272-91202282(+) caacaatagca >hg38_chr9:91248202-91248212(+) gaactatggat >hg38_chr9:91355608-91355618(-) GTACAATGTTG >hg38_chr9:91419136-91419146(-) AAACAATGTAA >hg38_chr9:91464418-91464428(+) ttataatggag >hg38_chr9:91537624-91537634(+) AAACAATAATA >hg38_chr9:91542320-91542330(-) gTACAATGCCC >hg38_chr9:91564608-91564618(+) AGACAAAGGTT >hg38_chr9:91572252-91572262(-) GAACAATGGCT >hg38_chr9:91625743-91625753(-) gaacaattgta >hg38_chr9:91634314-91634324(+) GAACAATATAA >hg38_chr9:91678072-91678082(+) TGACAATAGGC >hg38_chr9:91693465-91693475(+) aaacaatagag >hg38_chr9:91721503-91721513(-) ggacaaaggga >hg38_chr9:91935613-91935623(+) AAACAAAGGCC >hg38_chr9:91992602-91992612(-) GCACAATAGCA >hg38_chr9:92013193-92013203(+) gaataatggaa >hg38_chr9:92022082-92022092(-) gaactatggac >hg38_chr9:92022116-92022126(-) acacaatggaa >hg38_chr9:92031805-92031815(+) GTATAATGAAT >hg38_chr9:92097520-92097530(+) ctacaatgtgg >hg38_chr9:92097570-92097580(+) aaacaaaggct >hg38_chr9:92122135-92122145(+) atacaataaga >hg38_chr9:92191923-92191933(-) caacaatgact >hg38_chr9:92224223-92224233(+) gcacaatacgc >hg38_chr9:92293206-92293216(+) atacaatgaca >hg38_chr9:92304267-92304277(+) ttactatggac >hg38_chr9:92321296-92321306(-) ccacaatgtag >hg38_chr9:92359698-92359708(+) ctacaatggct >hg38_chr9:92372453-92372463(-) tcacaatgaca >hg38_chr9:92402312-92402322(-) ACACAATGAGC >hg38_chr9:92413683-92413693(-) GTACAATGTGC >hg38_chr9:92414398-92414408(-) AAACAATGTTA >hg38_chr9:92422881-92422891(+) CTACAATGTAT >hg38_chr9:92422932-92422942(-) CAACAATGAAT >hg38_chr9:92436304-92436314(-) ctacaaaggta >hg38_chr9:92447028-92447038(+) aaacaaaggaa >hg38_chr9:92484423-92484433(-) tcacaatgaca >hg38_chr9:92496827-92496837(+) ATACAAAGGCT >hg38_chr9:92517281-92517291(-) AAACAAAGGAA >hg38_chr9:92528776-92528786(+) gtacaataact >hg38_chr9:92532483-92532493(-) acacaatgaga >hg38_chr9:92582704-92582714(-) taacaatacaA >hg38_chr9:92583365-92583375(-) ATACAAAGGAT >hg38_chr9:92590009-92590019(-) AAACAATGAAA >hg38_chr9:92590057-92590067(+) ATACAAAGGAT >hg38_chr9:92592508-92592518(-) acacaatggaa >hg38_chr9:92600297-92600307(-) GAATAATGGGC >hg38_chr9:92609324-92609334(-) GGACAATGGCA >hg38_chr9:92706674-92706684(+) acacaatgtgg >hg38_chr9:92723356-92723366(+) acacaatggaa >hg38_chr9:92735553-92735563(+) AGACAATGGAG >hg38_chr9:92851778-92851788(-) GAACAATGAAT >hg38_chr9:92876040-92876050(+) AAACACTGGAA >hg38_chr9:92876078-92876088(+) ACACAATAAAT >hg38_chr9:92876263-92876273(+) AAACaatgagc >hg38_chr9:92912240-92912250(+) caacaatagaa >hg38_chr9:92937665-92937675(-) GCACAATGACC >hg38_chr9:92948586-92948596(+) AAACAAtgagt >hg38_chr9:92953103-92953113(+) TAACAATGCTG >hg38_chr9:92970488-92970498(-) gaacaatggtc >hg38_chr9:92992216-92992226(-) taacaaaggat >hg38_chr9:93098556-93098566(-) GGACAAAGGAA >hg38_chr9:93186855-93186865(-) ACACAATGCCA >hg38_chr9:93194464-93194474(-) ttacgatgggc >hg38_chr9:93224629-93224639(-) GGACAATGCCA >hg38_chr9:93237781-93237791(+) TGACAATGCAC >hg38_chr9:93353637-93353647(-) CAACAATAGGA >hg38_chr9:93413959-93413969(-) acacaatgcac >hg38_chr9:93414844-93414854(-) aaacaatgggt >hg38_chr9:93415068-93415078(-) caacaatgcac >hg38_chr9:93415238-93415248(+) atacaatgacc >hg38_chr9:93424895-93424905(-) ccacaatgaga >hg38_chr9:93438143-93438153(-) TTACAAAGGGA >hg38_chr9:93456085-93456095(+) GAACAATGAAA >hg38_chr9:93462962-93462972(-) ttacaatgaca >hg38_chr9:93475783-93475793(-) TGACAATGACT >hg38_chr9:93505719-93505729(-) gtacaataagc >hg38_chr9:93525671-93525681(-) AGACAATGGAT >hg38_chr9:93528686-93528696(-) aaacaatgtct >hg38_chr9:93546586-93546596(-) AGACAATGCCA >hg38_chr9:93606962-93606972(-) atacaacggag >hg38_chr9:93635376-93635386(-) AGACAAAGGCA >hg38_chr9:93635398-93635408(+) GCACAATACGG >hg38_chr9:93641879-93641889(-) aaacaaaggca >hg38_chr9:93693520-93693530(-) acacaatcgac >hg38_chr9:93705137-93705147(-) agacaatgctg >hg38_chr9:93705185-93705195(-) acacaatgtac >hg38_chr9:93705743-93705753(+) ccacaatgcat >hg38_chr9:93760342-93760352(+) agacaatagca >hg38_chr9:93835921-93835931(-) atataatggat >hg38_chr9:93869205-93869215(-) GAACAAAGGCC >hg38_chr9:93993365-93993375(-) ctacaatggca >hg38_chr9:94002748-94002758(+) tgacaatgctt >hg38_chr9:94055566-94055576(-) ggacaatgttc >hg38_chr9:94065654-94065664(-) AAACAATGGTT >hg38_chr9:94095424-94095434(-) TGACAATGGAT >hg38_chr9:94111624-94111634(+) TCACAATGGAA >hg38_chr9:94111658-94111668(-) GAACAAAGGCT >hg38_chr9:94123369-94123379(-) acacaatggaa >hg38_chr9:94170052-94170062(+) GTATAATGGAG >hg38_chr9:94170096-94170106(+) AAATAATGGTA >hg38_chr9:94170110-94170120(+) GTATAATGGTC >hg38_chr9:94212663-94212673(+) aaacaaaggag >hg38_chr9:94223217-94223227(-) agacaaaggat >hg38_chr9:94223240-94223250(-) agacaatgggg >hg38_chr9:94231325-94231335(+) aaacaatggct >hg38_chr9:94290600-94290610(-) atacaatggag >hg38_chr9:94663433-94663443(-) gaacaatggcc >hg38_chr9:94721331-94721341(+) gtacaaaggca >hg38_chr9:94782578-94782588(-) ATACTATGGGA >hg38_chr9:94805881-94805891(+) GTACAAAGGGC >hg38_chr9:94854135-94854145(-) taacaatgtcc >hg38_chr9:94869508-94869518(-) AGACAATGGGC >hg38_chr9:94870948-94870958(-) GGACAATGGCC >hg38_chr9:94876165-94876175(+) GTATAATGGCC >hg38_chr9:94929630-94929640(-) GAACAATGGCT >hg38_chr9:94931266-94931276(-) GTACAAAGGTC >hg38_chr9:94948991-94949001(-) agacaatagtt >hg38_chr9:94950574-94950584(-) CAACAATGGAG >hg38_chr9:94995943-94995953(+) GAACAATGAGA >hg38_chr9:94996803-94996813(+) AAACAATAGag >hg38_chr9:95018555-95018565(-) TCACAATAGTT >hg38_chr9:95024414-95024424(-) AAACAATGAGA >hg38_chr9:95048305-95048315(-) GAACAAAGGCA >hg38_chr9:95054145-95054155(-) CAACAATGGCA >hg38_chr9:95068377-95068387(-) cgacagtgggg >hg38_chr9:95102198-95102208(+) GAACAAAGGCA >hg38_chr9:95121628-95121638(+) tcacaatggac >hg38_chr9:95125188-95125198(+) GAACAATGCAA >hg38_chr9:95129866-95129876(-) GAACAATCGGG >hg38_chr9:95147834-95147844(+) ttacaatagaa >hg38_chr9:95165968-95165978(-) ttacaataata >hg38_chr9:95167373-95167383(-) aaataatggtg >hg38_chr9:95167437-95167447(-) gaacaatacac >hg38_chr9:95173023-95173033(+) TAAcaatgaat >hg38_chr9:95183399-95183409(+) ACACAATAGTC >hg38_chr9:95285907-95285917(+) ttacaatagta >hg38_chr9:95304046-95304056(+) aaacaatgcct >hg38_chr9:95305586-95305596(+) GAACAATGCAA >hg38_chr9:95306463-95306473(+) TAACAATGCTT >hg38_chr9:95313277-95313287(+) ACACTATGGGA >hg38_chr9:95383362-95383372(+) TAACAATGAAA >hg38_chr9:95450660-95450670(+) CCACAATGGCG >hg38_chr9:95477712-95477722(+) GAACAATGGGG >hg38_chr9:95478627-95478637(+) AAACAAAGGCC >hg38_chr9:95494936-95494946(-) GGATAATGGCA >hg38_chr9:95494997-95495007(+) AGACAATGCGA >hg38_chr9:95506228-95506238(-) CGACAAAGGCC >hg38_chr9:95506267-95506277(+) AAACAATAAAC >hg38_chr9:95510297-95510307(+) TAATAATAGTA >hg38_chr9:95512626-95512636(-) CGACAATGCTT >hg38_chr9:95517732-95517742(+) CTACAATGTCT >hg38_chr9:95525892-95525902(+) tgacaatgtga >hg38_chr9:95567454-95567464(-) GCACAATGGCC >hg38_chr9:95567509-95567519(+) GCATAATGGCC >hg38_chr9:95625222-95625232(-) ccacaatgacc >hg38_chr9:95666676-95666686(+) TGACAATGACC >hg38_chr9:95722392-95722402(+) atacaatgatc >hg38_chr9:95777191-95777201(+) aaataatggag >hg38_chr9:95780334-95780344(-) GGACAATGAGG >hg38_chr9:95780342-95780352(-) ACACAAAGGGA >hg38_chr9:95815352-95815362(+) GTACAATGGAT >hg38_chr9:95846472-95846482(-) aaacaatgtct >hg38_chr9:95846527-95846537(+) TAATAATGGGG >hg38_chr9:95854072-95854082(+) atacaatgaac >hg38_chr9:95854540-95854550(-) aaacaatggtc >hg38_chr9:95867940-95867950(+) AAACAATATAA >hg38_chr9:95880531-95880541(+) aaacaataaaa >hg38_chr9:95897808-95897818(-) GTATAATGTAT >hg38_chr9:95898948-95898958(+) GAATAATGGTT >hg38_chr9:95900684-95900694(-) ACACAATGCAC >hg38_chr9:95925883-95925893(+) caacaatggga >hg38_chr9:95938559-95938569(-) gcacaatgaca >hg38_chr9:95938566-95938576(-) ggacaatgcac >hg38_chr9:95942935-95942945(-) TAACAATGTAT >hg38_chr9:95995523-95995533(-) TTACAATAACC >hg38_chr9:96023018-96023028(-) GGACAAAGGCA >hg38_chr9:96040618-96040628(+) GGACAATGGTG >hg38_chr9:96048278-96048288(+) TAACAAAGGGC >hg38_chr9:96107629-96107639(-) aaacaataggg >hg38_chr9:96116585-96116595(-) TGACAATGGAA >hg38_chr9:96116917-96116927(-) AGACAATGCCC >hg38_chr9:96129914-96129924(+) TCACAATGCAG >hg38_chr9:96141992-96142002(+) GGACAATGTAC >hg38_chr9:96241516-96241526(-) GCACAATGTGT >hg38_chr9:96242999-96243009(-) tgacaatgaaa >hg38_chr9:96289737-96289747(-) GAACAATGCCA >hg38_chr9:96289746-96289756(-) AGACAAAGGGA >hg38_chr9:96312290-96312300(-) agacaatgttt >hg38_chr9:96341579-96341589(+) gaacaatgctt >hg38_chr9:96351125-96351135(+) GAATAATGGCA >hg38_chr9:96395042-96395052(+) TAACAATAAAA >hg38_chr9:96420034-96420044(+) ACACAATAATA >hg38_chr9:96452700-96452710(+) CTATAATGGGT >hg38_chr9:96514118-96514128(+) ATACAATGGCA >hg38_chr9:96550035-96550045(-) TTATAATGGTA >hg38_chr9:96558998-96559008(+) ATACAATAAAG >hg38_chr9:96585145-96585155(-) TGACAATGCAT >hg38_chr9:96597736-96597746(+) atacaatggaa >hg38_chr9:96603112-96603122(+) GAACAATGAGA >hg38_chr9:96612350-96612360(-) GGACAAAGGGC >hg38_chr9:96620917-96620927(-) CAACAATAGAA >hg38_chr9:96747370-96747380(-) cgataatgggc >hg38_chr9:96779828-96779838(+) GGACAATGAGA >hg38_chr9:96779848-96779858(+) GGACAAAGGAC >hg38_chr9:96781712-96781722(-) taacaatgctc >hg38_chr9:96841163-96841173(-) taacaatgagt >hg38_chr9:96842083-96842093(+) acacaatgcca >hg38_chr9:96882972-96882982(+) GAACAATGCAG >hg38_chr9:96883016-96883026(+) GGACAATAGGA >hg38_chr9:97030659-97030669(+) aaacaaaggca >hg38_chr9:97030726-97030736(-) aaacaatagca >hg38_chr9:97042090-97042100(+) atactatggcc >hg38_chr9:97042097-97042107(-) agacaatggcc >hg38_chr9:97052030-97052040(-) atacaatgggc >hg38_chr9:97052709-97052719(-) GAACAATGTCG >hg38_chr9:97052773-97052783(-) GAACAATGTCA >hg38_chr9:97147583-97147593(+) ccacaatgatt >hg38_chr9:97148644-97148654(-) agacaatgggg >hg38_chr9:97279440-97279450(-) ACACAATGGTT >hg38_chr9:97293316-97293326(+) ggacaaaggca >hg38_chr9:97399544-97399554(+) GGACAATGCTT >hg38_chr9:97419441-97419451(-) TAACAATGGTT >hg38_chr9:97419663-97419673(-) AGACAATGGAA >hg38_chr9:97441764-97441774(+) TAACAATGGCA >hg38_chr9:97444227-97444237(+) ACACAATATAA >hg38_chr9:97444249-97444259(-) ACACAATATAT >hg38_chr9:97444264-97444274(-) GAACAAAGGTA >hg38_chr9:97444467-97444477(+) ACACAATGGTT >hg38_chr9:97467484-97467494(-) ACACGATGGGA >hg38_chr9:97477344-97477354(-) agacaatgtgg >hg38_chr9:97488056-97488066(+) ATACAATGACA >hg38_chr9:97571084-97571094(+) GAACAAAGGCA >hg38_chr9:97595358-97595368(-) agataatggcc >hg38_chr9:97597772-97597782(+) ACACAATGAGG >hg38_chr9:97611763-97611773(+) GAACAATAGGC >hg38_chr9:97629285-97629295(+) TAACAATGCTG >hg38_chr9:97629360-97629370(-) GAACAAAGGTC >hg38_chr9:97638097-97638107(-) AAACAATACGA >hg38_chr9:97638311-97638321(+) GAACAAAGGGT >hg38_chr9:97640890-97640900(+) ACACAATAGGC >hg38_chr9:97644458-97644468(-) TGACAAAggga >hg38_chr9:97644464-97644474(-) GAACAATGACA >hg38_chr9:97644795-97644805(-) ctacaatggca >hg38_chr9:97654170-97654180(+) tcacaatagat >hg38_chr9:97666595-97666605(+) ttacaataaaa >hg38_chr9:97695322-97695332(-) TAACAGTGGAA >hg38_chr9:97710778-97710788(-) TAACAATGACA >hg38_chr9:97711521-97711531(+) GAACAATGGCA >hg38_chr9:97714842-97714852(+) CAACAATGAGA >hg38_chr9:97768237-97768247(+) gtacaatgctt >hg38_chr9:97768258-97768268(-) tcacaatgttg >hg38_chr9:97824288-97824298(+) caacaatagca >hg38_chr9:97831190-97831200(-) GCACAATGAAA >hg38_chr9:97868991-97869001(+) ccacaataggt >hg38_chr9:97915190-97915200(+) AAACAATGCTA >hg38_chr9:97999334-97999344(-) ACATAATGGCT >hg38_chr9:98146304-98146314(-) GAACAAAGGCA >hg38_chr9:98193258-98193268(+) AGACAATGGGG >hg38_chr9:98280861-98280871(-) tgacaatAGTG >hg38_chr9:98280891-98280901(+) aaacaaaggtg >hg38_chr9:98288998-98289008(-) TGACAATGTCC >hg38_chr9:98306904-98306914(+) ggacaatgcct >hg38_chr9:98315681-98315691(-) CGACAATGACA >hg38_chr9:98317375-98317385(+) TCACAATGTAG >hg38_chr9:98351303-98351313(-) gaacaaaggat >hg38_chr9:98351335-98351345(-) agacaaaggta >hg38_chr9:98413255-98413265(+) aaacaatgcag >hg38_chr9:98442416-98442426(+) ggataatggtt >hg38_chr9:98522960-98522970(+) ttacaatagat >hg38_chr9:98524426-98524436(+) AAACAGTGGAA >hg38_chr9:98679965-98679975(-) aaacaaaggcc >hg38_chr9:98761695-98761705(-) gtacaaaggca >hg38_chr9:98774980-98774990(+) GCACACTGGCG >hg38_chr9:98894946-98894956(-) CCACAATGAGA >hg38_chr9:98943937-98943947(-) GAACAAAggcg >hg38_chr9:99038631-99038641(-) GGACAAAGGAT >hg38_chr9:99038640-99038650(-) AGACAATGAGG >hg38_chr9:99096556-99096566(+) AGACAATGCAA >hg38_chr9:99096602-99096612(+) GGACAATAGTG >hg38_chr9:99099800-99099810(-) caacaatgttt >hg38_chr9:99105085-99105095(+) TTACAAAGGGC >hg38_chr9:99116032-99116042(-) AAATAATGGAA >hg38_chr9:99116062-99116072(-) GGACAATGCAC >hg38_chr9:99120640-99120650(-) atacaatgaca >hg38_chr9:99126008-99126018(+) GCACTATGGAA >hg38_chr9:99142381-99142391(+) AAACAATGTAG >hg38_chr9:99169352-99169362(-) AGACAATGCTC >hg38_chr9:99170199-99170209(-) AAACAATGGTC >hg38_chr9:99173978-99173988(+) gcacAATGGTT >hg38_chr9:99184736-99184746(-) GAACAAAGGCC >hg38_chr9:99187599-99187609(-) atacaatgcta >hg38_chr9:99193506-99193516(+) caacaatgaaa >hg38_chr9:99193538-99193548(+) ATATAATGGAC >hg38_chr9:99201580-99201590(-) GAACAATGGCC >hg38_chr9:99202681-99202691(+) agacaatggga >hg38_chr9:99202755-99202765(+) GAACAATGAAT >hg38_chr9:99255230-99255240(-) acacaatggaa >hg38_chr9:99316153-99316163(-) taacaatgaaa >hg38_chr9:99321991-99322001(-) CCACAATGATA >hg38_chr9:99372740-99372750(+) GAACAATGCCT >hg38_chr9:99392459-99392469(+) ggacaatggat >hg38_chr9:99466982-99466992(-) aaacaaaggtc >hg38_chr9:99496878-99496888(-) GGACAATGGAG >hg38_chr9:99510325-99510335(+) TAACACTGGGT >hg38_chr9:99534575-99534585(-) agacaatggca >hg38_chr9:99534601-99534611(+) agacaatgtgt >hg38_chr9:99556004-99556014(-) ccacAATGGAA >hg38_chr9:99558017-99558027(+) acacaatcggt >hg38_chr9:99558029-99558039(+) aaacaatatac >hg38_chr9:99606451-99606461(-) atacaatgttt >hg38_chr9:99634676-99634686(+) tcacaatgtaa >hg38_chr9:99701469-99701479(-) atacaatgatg >hg38_chr9:99701507-99701517(+) acacaatgatt >hg38_chr9:99760729-99760739(-) ATACAATATAC >hg38_chr9:99808381-99808391(+) AGACAATGAGT >hg38_chr9:99820639-99820649(-) AAACAATGCAG >hg38_chr9:99823938-99823948(+) TCACAATGGTG >hg38_chr9:99860288-99860298(-) aaataatggta >hg38_chr9:99864159-99864169(+) GGACAATGCTA >hg38_chr9:99865674-99865684(-) TGACAATATAA >hg38_chr9:99867911-99867921(+) GGACAAAGGTG >hg38_chr9:99909315-99909325(-) TGATAATGGAG >hg38_chr9:99919952-99919962(-) TAACAATAAAT >hg38_chr9:99919971-99919981(+) TTACAATGAAA >hg38_chr9:99920130-99920140(+) tgataatggtc >hg38_chr9:99920186-99920196(+) gaacaatagac >hg38_chr9:100001912-100001922(-) tcacaaaggaa >hg38_chr9:100038961-100038971(+) atacaatgcat >hg38_chr9:100038992-100039002(+) atacaatgtaa >hg38_chr9:100073447-100073457(+) tcacaatgtaa >hg38_chr9:100081368-100081378(+) ttacaatgaca >hg38_chr9:100083285-100083295(+) ttacaatgcat >hg38_chr9:100083298-100083308(+) atacaatggaa >hg38_chr9:100092607-100092617(-) GAACAGTGGAA >hg38_chr9:100097500-100097510(+) GTATAATGAAA >hg38_chr9:100111038-100111048(-) gaacaatggaa >hg38_chr9:100111065-100111075(+) TAACAATAAGC >hg38_chr9:100112851-100112861(-) GAACTATGGTC >hg38_chr9:100130940-100130950(-) TAACAATGACT >hg38_chr9:100132591-100132601(+) CTACAATGTAG >hg38_chr9:100152081-100152091(-) aTACAATGGTC >hg38_chr9:100152090-100152100(-) gaacaaaggaT >hg38_chr9:100152121-100152131(-) taacagtggtt >hg38_chr9:100192211-100192221(+) taacaatgctt >hg38_chr9:100205029-100205039(+) TGACAATAGGA >hg38_chr9:100222459-100222469(-) GTACAATGCTC >hg38_chr9:100242996-100243006(+) TAACAATGGTT >hg38_chr9:100251316-100251326(-) GAACAATGTCT >hg38_chr9:100277781-100277791(+) TGACAATGAAG >hg38_chr9:100298576-100298586(-) AAACACTGGAC >hg38_chr9:100307233-100307243(+) aaactatggcc >hg38_chr9:100317619-100317629(+) caacaatagac >hg38_chr9:100318516-100318526(-) caacaatgtac >hg38_chr9:100318551-100318561(-) atacaatggtg >hg38_chr9:100338083-100338093(-) ctacaaaggaa >hg38_chr9:100338126-100338136(+) gtacaaagggc >hg38_chr9:100340738-100340748(-) AAATAATGGAA >hg38_chr9:100374835-100374845(+) ctacaatgaag >hg38_chr9:100377599-100377609(+) GAACAATAGAT >hg38_chr9:100426161-100426171(+) atacaatggga >hg38_chr9:100473005-100473015(+) GAACAATAGCT >hg38_chr9:100542368-100542378(-) gaacaaaggtc >hg38_chr9:100555052-100555062(-) atacaaaggat >hg38_chr9:100579068-100579078(+) TCACAATGATA >hg38_chr9:100579092-100579102(+) GAACAATGAGG >hg38_chr9:100589739-100589749(-) TCACAATGAAC >hg38_chr9:100605998-100606008(+) aaacaatgaag >hg38_chr9:100639088-100639098(-) AAACAATGAAA >hg38_chr9:100645437-100645447(+) gaataatgggc >hg38_chr9:100671860-100671870(+) aaacaaaggca >hg38_chr9:100671889-100671899(-) ataccatggaa >hg38_chr9:100672078-100672088(+) GCACAATAGTT >hg38_chr9:100680025-100680035(-) TAACAATAGAG >hg38_chr9:100697574-100697584(+) aaacaatgaac >hg38_chr9:100699991-100700001(+) TTACAAAGGTT >hg38_chr9:100700748-100700758(-) AAACAATGTAG >hg38_chr9:100716500-100716510(-) atacaaaggtg >hg38_chr9:101388606-101388616(-) gaataatggcc >hg38_chr9:101388884-101388894(-) atacaatgtaa >hg38_chr9:101388914-101388924(-) ATACAATGTAA >hg38_chr9:101388944-101388954(-) ATACAATGTAA >hg38_chr9:101440010-101440020(+) gtacaatgtcc >hg38_chr9:101440032-101440042(-) ctacaatgtgg >hg38_chr9:101440083-101440093(-) atacaatggaa >hg38_chr9:101455713-101455723(+) gaacaatgcac >hg38_chr9:101479985-101479995(+) AAACAATGGGA >hg38_chr9:101512677-101512687(+) Taacaataaca >hg38_chr9:101512705-101512715(-) TAACAATGTGT >hg38_chr9:101512746-101512756(+) TGACAATAGCT >hg38_chr9:101513548-101513558(+) CTACAATGCCA >hg38_chr9:101537112-101537122(+) GAACAATGGCA >hg38_chr9:101542855-101542865(-) AAATAATGGCA >hg38_chr9:101574478-101574488(-) ACACAATGGCT >hg38_chr9:101578459-101578469(-) GTACCATGGAT >hg38_chr9:101578466-101578476(+) GTACACTGGAG >hg38_chr9:101582867-101582877(+) TAACAATGATT >hg38_chr9:101583401-101583411(-) ttacaaaggca >hg38_chr9:101583434-101583444(-) aaacaatgtga >hg38_chr9:101632420-101632430(-) taacaatgata >hg38_chr9:101640507-101640517(+) gaactatggct >hg38_chr9:101648980-101648990(-) AAACAATGACA >hg38_chr9:101649006-101649016(+) ACATAATGGAA >hg38_chr9:101654899-101654909(-) atacaatagca >hg38_chr9:101707265-101707275(+) TGATAATGGTG >hg38_chr9:101708090-101708100(+) GAACAATGCTA >hg38_chr9:101714531-101714541(-) GGACAATAGGA >hg38_chr9:101716142-101716152(+) GGACAATGAGG >hg38_chr9:101727940-101727950(+) ACACAATGTGT >hg38_chr9:101811998-101812008(-) GGACAATGTAT >hg38_chr9:101812006-101812016(-) CAACAATAGGA >hg38_chr9:101925796-101925806(+) AGACAATGATT >hg38_chr9:101981848-101981858(+) caacaatgatg >hg38_chr9:101981887-101981897(+) ctacaatggcc >hg38_chr9:102003618-102003628(-) CTACAATGTCA >hg38_chr9:102003777-102003787(-) CAACAATGATA >hg38_chr9:102514711-102514721(+) CAACAATAGCA >hg38_chr9:102635663-102635673(-) ttacaatgcag >hg38_chr9:102753784-102753794(-) CAACAATGTTA >hg38_chr9:103063047-103063057(+) aaactatggag >hg38_chr9:103242296-103242306(-) TCACAGTGGTA >hg38_chr9:103325054-103325064(-) CCACAATGACC >hg38_chr9:103403996-103404006(-) atacaatgtgc >hg38_chr9:103404027-103404037(-) acacaatgtgt >hg38_chr9:103407656-103407666(-) ggacaatgtaa >hg38_chr9:103407698-103407708(+) agacaatgact >hg38_chr9:103700713-103700723(-) gaacaatggat >hg38_chr9:103701132-103701142(-) GGACAATAGCA >hg38_chr9:103758212-103758222(+) agacaatgtca >hg38_chr9:103798843-103798853(-) GAACAAAGGTG >hg38_chr9:103798906-103798916(-) GAACAATATAC >hg38_chr9:103811719-103811729(+) ATACAATAAAG >hg38_chr9:104005001-104005011(-) ATACAATAAAT >hg38_chr9:104020393-104020403(-) TTACAATACCG >hg38_chr9:104025263-104025273(+) taataatgggg >hg38_chr9:104025307-104025317(-) atacaatgaca >hg38_chr9:104026645-104026655(+) AAACTATGGCA >hg38_chr9:104026956-104026966(+) atataatgtaa >hg38_chr9:104093166-104093176(-) CAACAATGCTA >hg38_chr9:104117366-104117376(-) TCACAATGAAG >hg38_chr9:104154665-104154675(+) agacaatgtca >hg38_chr9:104182649-104182659(-) AAACAATAGCA >hg38_chr9:104182659-104182669(-) TCATAATGGTA >hg38_chr9:104264607-104264617(-) ATACAATAAAA >hg38_chr9:104333628-104333638(-) CAACAATGAGG >hg38_chr9:104333684-104333694(+) AAACACTGGAT >hg38_chr9:104367397-104367407(-) AAACAATAGTC >hg38_chr9:104401145-104401155(-) gaataatggct >hg38_chr9:104425461-104425471(-) acacaataggg >hg38_chr9:104425524-104425534(-) ctacaatggaa >hg38_chr9:104464096-104464106(+) TATCAATGGTA >hg38_chr9:104500010-104500020(+) gaacaataaga >hg38_chr9:104517610-104517620(+) taacaatagat >hg38_chr9:104523427-104523437(+) TGACAATGGTA >hg38_chr9:104523507-104523517(-) AGACAAAGGAT >hg38_chr9:104532608-104532618(-) AGACAATGGGA >hg38_chr9:104546186-104546196(+) aaacaatgtac >hg38_chr9:104546210-104546220(+) agacaatatat >hg38_chr9:104553934-104553944(-) TAACAATGGTT >hg38_chr9:104606432-104606442(+) acataatggag >hg38_chr9:104613295-104613305(+) ATATAATGGTG >hg38_chr9:104682791-104682801(+) TTACAAAGGAG >hg38_chr9:104683212-104683222(+) AAACAAAGGAA >hg38_chr9:104692279-104692289(+) TAACAATGTAT >hg38_chr9:104712347-104712357(-) gtacaatgagg >hg38_chr9:104712357-104712367(-) TGACAATGAtg >hg38_chr9:104733264-104733274(+) GGACAATGTAA >hg38_chr9:104765643-104765653(+) AAACAATGATG >hg38_chr9:104830077-104830087(-) AGACAATGGGC >hg38_chr9:104830121-104830131(+) CCACAATGAGA >hg38_chr9:104854761-104854771(+) ATACAATGAGC >hg38_chr9:104854841-104854851(-) GAACAAAGGTG >hg38_chr9:104856665-104856675(+) ACACAATAGGT >hg38_chr9:104882248-104882258(-) aaacaatgtca >hg38_chr9:104892729-104892739(+) taacaatacgt >hg38_chr9:104941517-104941527(-) AAACAAAGGGA >hg38_chr9:104941549-104941559(+) AAACAAAGGAA >hg38_chr9:104941760-104941770(+) ATACAATGAAA >hg38_chr9:104968067-104968077(+) CAACAATGCAG >hg38_chr9:105007227-105007237(-) GCACAATGAAT >hg38_chr9:105024727-105024737(+) CTACAATGGGC >hg38_chr9:105026684-105026694(+) GAACAATGCAC >hg38_chr9:105045688-105045698(+) GAATAATGGGT >hg38_chr9:105119233-105119243(+) AAACAATGTCA >hg38_chr9:105144250-105144260(+) gcacaatgaca >hg38_chr9:105170216-105170226(+) GGACAATGTCA >hg38_chr9:105232330-105232340(+) caacaataggg >hg38_chr9:105232355-105232365(+) agacaatgtga >hg38_chr9:105249089-105249099(-) AGACAGTGGAC >hg38_chr9:105249102-105249112(-) AGACAATGGGA >hg38_chr9:105258028-105258038(-) TTACACTGGTA >hg38_chr9:105330615-105330625(-) AGACAAAGGTG >hg38_chr9:105340941-105340951(+) CTACAATAGAC >hg38_chr9:105360477-105360487(+) gaacaATAGGT >hg38_chr9:105371070-105371080(-) tgacaaaggtc >hg38_chr9:105377146-105377156(+) taacaatagag >hg38_chr9:105390235-105390245(-) GAACAATGGAG >hg38_chr9:105488385-105488395(-) agacaatggac >hg38_chr9:105488401-105488411(-) aaaccatggcg >hg38_chr9:105502288-105502298(-) CAACAATAGCT >hg38_chr9:105502303-105502313(-) GAATAATGGTA >hg38_chr9:105511682-105511692(+) AAACAATGAAC >hg38_chr9:105511689-105511699(+) GAACAATAGCT >hg38_chr9:105548528-105548538(-) CAACAATGCTC >hg38_chr9:105575548-105575558(+) AGACAATGATG >hg38_chr9:105584112-105584122(-) aaacaaaggca >hg38_chr9:105600162-105600172(-) ATACAATAATC >hg38_chr9:105601014-105601024(+) gcacAATGATA >hg38_chr9:105616717-105616727(-) gaacaataaat >hg38_chr9:105633443-105633453(-) ACACAATGAAG >hg38_chr9:105636604-105636614(-) TTACAATATAT >hg38_chr9:105656196-105656206(+) AGACAAAGGGC >hg38_chr9:105718665-105718675(+) AAACAATAACT >hg38_chr9:105719008-105719018(-) ACACAATGATA >hg38_chr9:105721033-105721043(-) atacaaaggta >hg38_chr9:105767775-105767785(-) gaacaatgtgt >hg38_chr9:105767957-105767967(+) gtacaatgttg >hg38_chr9:105826532-105826542(-) aaacaaaggga >hg38_chr9:105846340-105846350(+) AAACAATGTAG >hg38_chr9:105867169-105867179(+) GCACAATGTGA >hg38_chr9:105890228-105890238(+) TAACAATGGCC >hg38_chr9:105911728-105911738(+) TTACAATGTGA >hg38_chr9:105932477-105932487(-) AGACAATGCCC >hg38_chr9:105956302-105956312(+) TAACAATAGCA >hg38_chr9:105956382-105956392(-) ATACAATGGAG >hg38_chr9:105969055-105969065(-) CTACAATGTGA >hg38_chr9:105975374-105975384(+) GGACAATGGGT >hg38_chr9:105984940-105984950(-) ttacaatagga >hg38_chr9:106019840-106019850(-) GCACAAAGGGA >hg38_chr9:106019876-106019886(-) GGACAATGGAG >hg38_chr9:106076164-106076174(+) GAATAATGGTA >hg38_chr9:106076172-106076182(-) AGACAATGTAC >hg38_chr9:106077828-106077838(-) TCACAATGCTT >hg38_chr9:106077904-106077914(-) gcacaatgaaa >hg38_chr9:106141429-106141439(-) GGACAATAAGA >hg38_chr9:106141482-106141492(-) GAACAATGGGG >hg38_chr9:106156503-106156513(-) AAACAATGGCT >hg38_chr9:106225324-106225334(+) gaacaaaggct >hg38_chr9:106225344-106225354(+) aaacaatacgt >hg38_chr9:106287364-106287374(+) TAACAATATAA >hg38_chr9:106309993-106310003(-) TCACAATGTTA >hg38_chr9:106310007-106310017(-) AAACAATGCCC >hg38_chr9:106321900-106321910(-) ATACAATGAAA >hg38_chr9:106368423-106368433(-) taacaatggaa >hg38_chr9:106368490-106368500(+) tgacaatgttt >hg38_chr9:106368508-106368518(-) acacaataaat >hg38_chr9:106420523-106420533(+) TTACAATGGTG >hg38_chr9:106420555-106420565(-) CAACAATGACT >hg38_chr9:106461602-106461612(+) GGACAATGGCT >hg38_chr9:106487458-106487468(+) GGATAATGGAG >hg38_chr9:106572482-106572492(-) atacaaaggct >hg38_chr9:106576063-106576073(+) GAACAAAGGGC >hg38_chr9:106620970-106620980(+) GGACAATAGAG >hg38_chr9:106621468-106621478(+) GCACAATGCAA >hg38_chr9:106673905-106673915(-) AGACAAAGGAG >hg38_chr9:106693682-106693692(+) GAACAAAGGTT >hg38_chr9:106693721-106693731(+) GAACAAAGGGT >hg38_chr9:106745295-106745305(-) ttataatggaa >hg38_chr9:106758657-106758667(-) ggacaataaca >hg38_chr9:106758716-106758726(+) taacaatgaac >hg38_chr9:106758723-106758733(+) gaacaatgtga >hg38_chr9:106778691-106778701(-) CCACAATGAAT >hg38_chr9:106778735-106778745(+) TGACAATGGAT >hg38_chr9:106794167-106794177(-) GCACAATGATT >hg38_chr9:106860028-106860038(-) GGACAAAGGCA >hg38_chr9:106862109-106862119(+) GCACAATGAGC >hg38_chr9:106864611-106864621(-) CCACAATGAAA >hg38_chr9:106869114-106869124(+) TTACAATAGTG >hg38_chr9:106879589-106879599(-) ATACAATGGGA >hg38_chr9:106879601-106879611(-) GCACAAAGGGA >hg38_chr9:106879657-106879667(-) GCACAATGTTC >hg38_chr9:106890703-106890713(-) ggacaaaggca >hg38_chr9:106891417-106891427(+) ATACAATAGGC >hg38_chr9:106898934-106898944(+) TAACAATGGCA >hg38_chr9:106920962-106920972(+) ACACAATGGAG >hg38_chr9:106933489-106933499(-) agacaatgtga >hg38_chr9:106942519-106942529(+) AGATAATGGGC >hg38_chr9:106942552-106942562(+) AAACAATGCAT >hg38_chr9:106943998-106944008(-) GAACAATGACA >hg38_chr9:106960597-106960607(-) TCACAATACCG >hg38_chr9:106962791-106962801(+) TGACAACGGAG >hg38_chr9:106962831-106962841(-) GGACAATGGAT >hg38_chr9:106962846-106962856(-) ATACAATGGCC >hg38_chr9:106970714-106970724(+) GGACAATGTTT >hg38_chr9:106985820-106985830(+) CCACAATGGAC >hg38_chr9:107001022-107001032(-) AAACAATGAAA >hg38_chr9:107007606-107007616(-) GGACAAAGGAG >hg38_chr9:107020152-107020162(+) TCACAATGCAG >hg38_chr9:107020200-107020210(-) AAACAATGTGG >hg38_chr9:107049606-107049616(+) GAACAATGGGC >hg38_chr9:107049953-107049963(+) AGACAATGGGG >hg38_chr9:107131290-107131300(+) caacaatagcc >hg38_chr9:107150250-107150260(-) TAACAATAGTT >hg38_chr9:107150256-107150266(-) TAACAATAACA >hg38_chr9:107150262-107150272(-) AGACAATAACA >hg38_chr9:107194613-107194623(-) acataatggca >hg38_chr9:107208298-107208308(+) GAACAATGAAC >hg38_chr9:107221830-107221840(-) ACACAATGTTA >hg38_chr9:107222151-107222161(+) aaataatggat >hg38_chr9:107229347-107229357(-) ACACAATGGAA >hg38_chr9:107253879-107253889(+) ggataatggca >hg38_chr9:107260867-107260877(+) GTATAATGGTA >hg38_chr9:107294366-107294376(+) GCACAATGAAA >hg38_chr9:107314402-107314412(-) gaacaataggc >hg38_chr9:107322791-107322801(+) TGACAAAGGTC >hg38_chr9:107322836-107322846(-) AAACAAAGGGC >hg38_chr9:107397820-107397830(+) ctacaatggca >hg38_chr9:107403597-107403607(-) TGACAATGGCA >hg38_chr9:107472692-107472702(-) AGACAGTGGAT >hg38_chr9:107473115-107473125(+) TAACAAAGTCG >hg38_chr9:107482091-107482101(-) TAACAATGATG >hg38_chr9:107482102-107482112(-) ACACAAAGGAT >hg38_chr9:107515403-107515413(-) ATACAATAAAG >hg38_chr9:107542624-107542634(+) ggacaaaggaa >hg38_chr9:107593345-107593355(-) TGACAATGACT >hg38_chr9:107633497-107633507(+) AGACAATGCCT >hg38_chr9:107635292-107635302(-) ACACAATGTGG >hg38_chr9:107667861-107667871(-) GGACAATGATG >hg38_chr9:107674428-107674438(+) TCATAATGGTT >hg38_chr9:107674467-107674477(+) ttacaatgagc >hg38_chr9:107751420-107751430(-) caacaatgctt >hg38_chr9:107768377-107768387(-) GAACAATGGCA >hg38_chr9:107843724-107843734(-) TAACAATGGAT >hg38_chr9:107855731-107855741(+) aaacaaaGgag >hg38_chr9:107909861-107909871(-) GTACTATGGGG >hg38_chr9:107910881-107910891(+) taacaatgaga >hg38_chr9:107911901-107911911(+) caacaatggaa >hg38_chr9:107968811-107968821(+) TTACAATATTA >hg38_chr9:107998371-107998381(-) agacaataaca >hg38_chr9:108017854-108017864(-) TCACAATAGCT >hg38_chr9:108021454-108021464(-) GCACAAAGGAA >hg38_chr9:108022387-108022397(-) ctacaatggat >hg38_chr9:108052622-108052632(+) AGACAAAGGTT >hg38_chr9:108057628-108057638(-) ctacaatggca >hg38_chr9:108100010-108100020(-) GAACAATGAGA >hg38_chr9:108108503-108108513(-) AGACAATGAAA >hg38_chr9:108142770-108142780(+) ACACAATAGCC >hg38_chr9:108168849-108168859(+) taacaatatcc >hg38_chr9:108169619-108169629(-) tcataatggat >hg38_chr9:108196903-108196913(-) CAACAATGTCT >hg38_chr9:108201637-108201647(+) atacaataata >hg38_chr9:108208030-108208040(-) AAACAATGAGT >hg38_chr9:108241806-108241816(-) TGACAATGAAG >hg38_chr9:108272169-108272179(+) TCACAGTGGTA >hg38_chr9:108272932-108272942(+) aaacaatggcc >hg38_chr9:108316208-108316218(-) AAACAGTGGAT >hg38_chr9:108429154-108429164(+) gaacaatagac >hg38_chr9:108445141-108445151(+) GAACAATGACA >hg38_chr9:108468651-108468661(+) tgacaatgact >hg38_chr9:108586905-108586915(-) gcacaatgttt >hg38_chr9:108586929-108586939(+) GGACAATAGCA >hg38_chr9:108622312-108622322(-) GTACAATGGGC >hg38_chr9:108622328-108622338(+) CTACAATGACA >hg38_chr9:108634758-108634768(+) GAACAATGCTC >hg38_chr9:108691802-108691812(+) GGACAAAGGGC >hg38_chr9:108802076-108802086(+) caacaatgaaa >hg38_chr9:108822042-108822052(+) AAACAATAAAA >hg38_chr9:108868413-108868423(+) ATACAATGCAC >hg38_chr9:108928568-108928578(+) gaacaatgggg >hg38_chr9:108928631-108928641(+) gcacaatagtg >hg38_chr9:108938503-108938513(+) AAACAATAACC >hg38_chr9:108961162-108961172(+) CAACAATAGTC >hg38_chr9:108961222-108961232(-) ATACAAAGGTG >hg38_chr9:108982623-108982633(-) GAACACTGGTC >hg38_chr9:108989480-108989490(-) AAATAATGGCA >hg38_chr9:108996897-108996907(+) aaacaatgaga >hg38_chr9:108996915-108996925(-) aaacaaagggt >hg38_chr9:109012784-109012794(+) GGACAAAGGAT >hg38_chr9:109015273-109015283(-) ATACAATAAAT >hg38_chr9:109016193-109016203(+) tcacaatgtgt >hg38_chr9:109052710-109052720(+) GAACAATGCCA >hg38_chr9:109052740-109052750(+) CAACAATGCCT >hg38_chr9:109064334-109064344(-) ATACAATGAAA >hg38_chr9:109098607-109098617(+) GAACAATGCCA >hg38_chr9:109110222-109110232(+) GTACaataata >hg38_chr9:109110775-109110785(+) gaacaatgggc >hg38_chr9:109166288-109166298(+) CAACAATGGTG >hg38_chr9:109176477-109176487(+) ACACAATGAAC >hg38_chr9:109269946-109269956(+) ATACAATAAGC >hg38_chr9:109417765-109417775(-) TGACAATAGAC >hg38_chr9:109417814-109417824(-) TAACAGTGGTT >hg38_chr9:109419050-109419060(+) ACACAAAGGAA >hg38_chr9:109426868-109426878(+) TAACAATGTCC >hg38_chr9:109446420-109446430(-) GAACAATGGGG >hg38_chr9:109468082-109468092(+) GGACAATGGAT >hg38_chr9:109504871-109504881(+) aaacaatcgcc >hg38_chr9:109520773-109520783(+) CCACAATGTCA >hg38_chr9:109526822-109526832(+) agacaaaggaa >hg38_chr9:109540334-109540344(-) ACACAATGGTG >hg38_chr9:109564529-109564539(-) taacaatacta >hg38_chr9:109600307-109600317(-) ccacaatgacc >hg38_chr9:109604337-109604347(+) ctacaatggaa >hg38_chr9:109681469-109681479(+) gcacaatgtca >hg38_chr9:109793903-109793913(+) ctataatggca >hg38_chr9:109812065-109812075(+) TCACAATAATA >hg38_chr9:109828706-109828716(-) GTACAATGAAC >hg38_chr9:109887997-109888007(-) CCACAATAGGC >hg38_chr9:109907601-109907611(+) GAACAATAGCA >hg38_chr9:110016436-110016446(-) TCACAATGCAC >hg38_chr9:110027863-110027873(-) atacaaagggc >hg38_chr9:110061199-110061209(-) GTACAATGATT >hg38_chr9:110067531-110067541(+) CAACAATAGAT >hg38_chr9:110067550-110067560(-) GCACAATGTAT >hg38_chr9:110089755-110089765(-) AAACAAAGGCA >hg38_chr9:110094855-110094865(+) AAATAATGGAA >hg38_chr9:110131707-110131717(-) CAACAATGATA >hg38_chr9:110140460-110140470(-) taacaatgagc >hg38_chr9:110140471-110140481(-) tgacaatgcaa >hg38_chr9:110187369-110187379(-) gcacaataggc >hg38_chr9:110216021-110216031(-) acacaatggag >hg38_chr9:110216041-110216051(-) aaacaataaaa >hg38_chr9:110236722-110236732(+) ctacaatggat >hg38_chr9:110241332-110241342(+) gtacaatgtaa >hg38_chr9:110241981-110241991(+) tgacaatgtct >hg38_chr9:110257413-110257423(+) TTACAATGGCA >hg38_chr9:110286968-110286978(-) ttacaatgaaa >hg38_chr9:110335754-110335764(-) ACACAATGCAA >hg38_chr9:110351374-110351384(+) CTACAATGACT >hg38_chr9:110357736-110357746(-) TTACAATGCCG >hg38_chr9:110370545-110370555(-) ACACAAAGGGA >hg38_chr9:110377656-110377666(+) ccacaatggag >hg38_chr9:110377694-110377704(-) aaacaataact >hg38_chr9:110378321-110378331(-) caacaatgaga >hg38_chr9:110378337-110378347(+) agacaatgagt >hg38_chr9:110427736-110427746(+) CAATAATGGAA >hg38_chr9:110526355-110526365(+) agacaatgaac >hg38_chr9:110537914-110537924(-) GAACAAAGGGA >hg38_chr9:110537953-110537963(+) TTATAATGGGG >hg38_chr9:110545122-110545132(-) acacaatggaa >hg38_chr9:110619542-110619552(-) ATACCATGGAA >hg38_chr9:110619558-110619568(-) TGACAATGTTG >hg38_chr9:110627579-110627589(+) acacaataata >hg38_chr9:110627939-110627949(-) AGACAATGTGG >hg38_chr9:110640936-110640946(+) GAACAATAGAA >hg38_chr9:110650946-110650956(+) AAACAATATAC >hg38_chr9:110655192-110655202(+) TAACAATGTCA >hg38_chr9:110655205-110655215(+) ATATAATGTAC >hg38_chr9:110655212-110655222(-) CAACAATGTAC >hg38_chr9:110679075-110679085(-) aaacaatgaaa >hg38_chr9:110679093-110679103(-) atataatgtac >hg38_chr9:110691355-110691365(-) AGACAATGGAA >hg38_chr9:110716950-110716960(-) aaacaaaggaa >hg38_chr9:110728411-110728421(-) TAACAATGTAG >hg38_chr9:110743146-110743156(+) GTACAATGGGT >hg38_chr9:110754734-110754744(-) GAACACTGGAA >hg38_chr9:110754742-110754752(-) AGACAGTGGAA >hg38_chr9:110754760-110754770(-) ATACAATAGTG >hg38_chr9:110754773-110754783(+) GTATAATGGCA >hg38_chr9:110755342-110755352(-) CTACAATGCAC >hg38_chr9:110771572-110771582(+) taacagtggtt >hg38_chr9:110774847-110774857(-) CCACAATGGTG >hg38_chr9:110777738-110777748(+) GAACAATACAC >hg38_chr9:110809897-110809907(-) ccacaatagca >hg38_chr9:110809931-110809941(+) gtacaatgtgc >hg38_chr9:110846657-110846667(+) tcacaatgcat >hg38_chr9:110877632-110877642(-) GCATAATGGGA >hg38_chr9:110890599-110890609(-) CCACAATGGGC >hg38_chr9:110916598-110916608(-) TAATAATGGTC >hg38_chr9:110946494-110946504(-) GTATAATGGGT >hg38_chr9:110967357-110967367(+) TGACAATGCAA >hg38_chr9:110967379-110967389(-) AAACAATAATA >hg38_chr9:110970437-110970447(+) GGACAATGGTC >hg38_chr9:110970473-110970483(+) TGACGATGGTA >hg38_chr9:110977409-110977419(+) TGACAATGACC >hg38_chr9:110979694-110979704(+) agacaatgaag >hg38_chr9:110988929-110988939(+) aaacaatggaa >hg38_chr9:111000926-111000936(+) AAACAATGAAA >hg38_chr9:111003438-111003448(+) GGACAATGAAT >hg38_chr9:111018849-111018859(+) GTACAAAGGAA >hg38_chr9:111030471-111030481(+) CTACAATGAAA >hg38_chr9:111030725-111030735(+) TTACAATAGCC >hg38_chr9:111056724-111056734(+) GTACAATAACC >hg38_chr9:111056796-111056806(-) AAACAATGAAG >hg38_chr9:111066756-111066766(-) GGACAATGTCA >hg38_chr9:111095017-111095027(-) GAATAATGGTA >hg38_chr9:111099536-111099546(-) AGACAATAGTG >hg38_chr9:111122722-111122732(+) TGACAATAGCA >hg38_chr9:111122752-111122762(-) AAACAATGCAA >hg38_chr9:111153955-111153965(+) CAACAATGCAT >hg38_chr9:111163411-111163421(-) GCACAATGACA >hg38_chr9:111180732-111180742(-) ATACAATGGTG >hg38_chr9:111276824-111276834(+) AGACAATAAGA >hg38_chr9:111294856-111294866(-) AAACAATAAGT >hg38_chr9:111294870-111294880(+) AGACAATGGGT >hg38_chr9:111294879-111294889(-) AAACACTGGAC >hg38_chr9:111439969-111439979(-) ATACAATGATA >hg38_chr9:111448607-111448617(+) GCACAATGTTA >hg38_chr9:111526044-111526054(-) CTACAATGAGC >hg38_chr9:111622016-111622026(-) TTATAATGGTT >hg38_chr9:111631971-111631981(-) TAACAATGTCA >hg38_chr9:111685475-111685485(-) acacaatgtag >hg38_chr9:111705934-111705944(-) TAACAATACGG >hg38_chr9:111712526-111712536(+) acacaaaggag >hg38_chr9:111728589-111728599(-) atacaatatgt >hg38_chr9:111728647-111728657(+) gaacagtggtt >hg38_chr9:111760524-111760534(+) TTACAAAGGAC >hg38_chr9:111771373-111771383(-) aaacaatagct >hg38_chr9:111872098-111872108(+) ACACAATGAAA >hg38_chr9:111934778-111934788(+) GAACAAAGGCT >hg38_chr9:111958247-111958257(-) gcacaatgctc >hg38_chr9:112040556-112040566(+) TCATAATGGAG >hg38_chr9:112065829-112065839(+) TAACAATAATA >hg38_chr9:112084063-112084073(+) ggacaatgaca >hg38_chr9:112098483-112098493(-) TAACAATAGCA >hg38_chr9:112103602-112103612(+) gaacaaaggca >hg38_chr9:112131878-112131888(-) AGACAATGGGA >hg38_chr9:112159572-112159582(+) taataatgtaa >hg38_chr9:112207689-112207699(-) aaacaatggag >hg38_chr9:112207911-112207921(-) caacaataggt >hg38_chr9:112220049-112220059(+) AGACAAAGGAA >hg38_chr9:112232548-112232558(+) TTACAATAGAT >hg38_chr9:112238723-112238733(+) caacaatggac >hg38_chr9:112240370-112240380(+) atacaatggtg >hg38_chr9:112240392-112240402(+) ttataatggaa >hg38_chr9:112249541-112249551(+) ACACAATATAT >hg38_chr9:112249555-112249565(-) GAACAATGCAC >hg38_chr9:112258267-112258277(+) GCACAATGACA >hg38_chr9:112266506-112266516(-) AGACAATGTGT >hg38_chr9:112266528-112266538(-) ATACAATGTAT >hg38_chr9:112266565-112266575(+) ATACACTGGAA >hg38_chr9:112321113-112321123(+) tgacaaaggac >hg38_chr9:112321130-112321140(+) tcacaatatat >hg38_chr9:112425100-112425110(+) caataatggga >hg38_chr9:112443330-112443340(-) ggacaatgcat >hg38_chr9:112478234-112478244(+) agacaataaaa >hg38_chr9:112478267-112478277(+) atacaatgact >hg38_chr9:112490082-112490092(-) AAACAATGCAG >hg38_chr9:112498027-112498037(-) ATACACTGGAA >hg38_chr9:112508639-112508649(+) GTACAATGCCA >hg38_chr9:112536008-112536018(+) ACATAATGGAA >hg38_chr9:112544141-112544151(-) AAACAATGAAT >hg38_chr9:112550689-112550699(+) cgacaatgcat >hg38_chr9:112551849-112551859(-) taacaaaggaa >hg38_chr9:112551892-112551902(-) taacaatgcta >hg38_chr9:112569359-112569369(-) gcacaatagga >hg38_chr9:112585850-112585860(-) TAACAATATGA >hg38_chr9:112587598-112587608(-) TCATAATGGTC >hg38_chr9:112626430-112626440(+) TTACACTGGAA >hg38_chr9:112626498-112626508(-) AAACAATGCCA >hg38_chr9:112630004-112630014(-) GCACAATGTCC >hg38_chr9:112636408-112636418(-) gaacaatgcaa >hg38_chr9:112743486-112743496(+) Gaacaatgaag >hg38_chr9:112774670-112774680(-) ccacaatgaga >hg38_chr9:112781133-112781143(+) AAACAATGTGG >hg38_chr9:112808756-112808766(-) tcacaatgtat >hg38_chr9:112808808-112808818(-) aaacaatagca >hg38_chr9:112808836-112808846(-) atacaatggaa >hg38_chr9:112848941-112848951(-) GAACAATGCCA >hg38_chr9:112848954-112848964(-) AGACAATGCCC >hg38_chr9:112903357-112903367(-) GAACAATGATG >hg38_chr9:112917833-112917843(+) ccacaatgagt >hg38_chr9:113005969-113005979(+) AGACAATGAGT >hg38_chr9:113054224-113054234(-) agacaatagaa >hg38_chr9:113139437-113139447(-) AAACAATAGGC >hg38_chr9:113140127-113140137(+) CTACAATAGAG >hg38_chr9:113179959-113179969(-) GGACAATGAGT >hg38_chr9:113181176-113181186(-) TGACAATAGTA >hg38_chr9:113183079-113183089(+) GAATAATGGAG >hg38_chr9:113183094-113183104(+) CAACAATGTGA >hg38_chr9:113183113-113183123(+) TGATAATGGGA >hg38_chr9:113205348-113205358(+) ggacaaagggc >hg38_chr9:113227790-113227800(-) AGACAATGGTC >hg38_chr9:113227812-113227822(+) TAACAATAAAG >hg38_chr9:113243315-113243325(+) GGACAATGGGC >hg38_chr9:113258386-113258396(-) ACACAATGTAG >hg38_chr9:113281476-113281486(-) GAATAATGGCA >hg38_chr9:113281876-113281886(+) atataatggat >hg38_chr9:113289148-113289158(-) taacaatgtta >hg38_chr9:113294147-113294157(-) TTACAATGATG >hg38_chr9:113316251-113316261(-) AGACAATGAGA >hg38_chr9:113345353-113345363(+) TAACAATGGTG >hg38_chr9:113417164-113417174(+) caacaatgcat >hg38_chr9:113425206-113425216(+) AAACAATAGTT >hg38_chr9:113501279-113501289(+) GAACAAAGGCG >hg38_chr9:113511155-113511165(-) GGACAATGCCA >hg38_chr9:113565797-113565807(-) AAACAATAGAA >hg38_chr9:113617764-113617774(-) acacaATGACA >hg38_chr9:113620692-113620702(+) AGATAATGGTT >hg38_chr9:113730207-113730217(-) atacaatggaa >hg38_chr9:113739117-113739127(+) GCATAATGGTA >hg38_chr9:113745525-113745535(+) gaacaatgact >hg38_chr9:113772126-113772136(-) GAACAATAGGC >hg38_chr9:113794384-113794394(+) TAACAATAGCG >hg38_chr9:113819341-113819351(-) atacaatgttg >hg38_chr9:113819720-113819730(+) aaacaaaggtg >hg38_chr9:113826109-113826119(+) caacaatagaa >hg38_chr9:113846275-113846285(-) atacaataagg >hg38_chr9:113846300-113846310(+) atacaatggtg >hg38_chr9:113858108-113858118(+) ACACAATGGCT >hg38_chr9:113877808-113877818(-) GTATAATGTAT >hg38_chr9:113920141-113920151(-) GTACAAAGGCC >hg38_chr9:113948180-113948190(-) GTACAAAGGGA >hg38_chr9:113964309-113964319(-) GCACAATGGAG >hg38_chr9:113992728-113992738(+) AAACAATGTTC >hg38_chr9:114022813-114022823(-) taacaaaggtt >hg38_chr9:114104036-114104046(-) tgacaatgttt >hg38_chr9:114106541-114106551(+) tgacaaaggaa >hg38_chr9:114108151-114108161(+) TCACAAAGGAA >hg38_chr9:114170518-114170528(+) CTACAATGTGG >hg38_chr9:114189352-114189362(-) atacaatggct >hg38_chr9:114189367-114189377(-) aaacaatgaaa >hg38_chr9:114219691-114219701(-) GGACAATGTCC >hg38_chr9:114243062-114243072(+) tgacaaaggcg >hg38_chr9:114264488-114264498(+) GAACAATGCCC >hg38_chr9:114278962-114278972(-) ATACAGTGGGT >hg38_chr9:114296598-114296608(+) tgataatggga >hg38_chr9:114297109-114297119(-) agacaataaca >hg38_chr9:114328624-114328634(+) agacaatgccc >hg38_chr9:114375004-114375014(+) agacaatgacc >hg38_chr9:114398486-114398496(+) AAACAAAGGCC >hg38_chr9:114434993-114435003(-) gaacaatggtc >hg38_chr9:114442296-114442306(-) gtacaatgcta >hg38_chr9:114442329-114442339(-) gaacaatggtc >hg38_chr9:114446173-114446183(-) tgacaatagta >hg38_chr9:114450803-114450813(-) TAACAATAGCA >hg38_chr9:114482712-114482722(+) GAACAATGCGC >hg38_chr9:114487820-114487830(+) TGACAATGTGG >hg38_chr9:114496217-114496227(+) GGACAATGCTG >hg38_chr9:114552258-114552268(+) AAACAATGGAG >hg38_chr9:114560035-114560045(-) CAACAATGGGA >hg38_chr9:114612057-114612067(-) acacaatgagt >hg38_chr9:114664442-114664452(+) caacaatagtg >hg38_chr9:114683014-114683024(+) atacaatagaa >hg38_chr9:114689669-114689679(-) aaacaatgagc >hg38_chr9:114699675-114699685(-) TAACAATGAGT >hg38_chr9:114745267-114745277(-) agacaatgtgg >hg38_chr9:114745324-114745334(-) tcacaatagcc >hg38_chr9:114747037-114747047(-) aaacaaTGGAT >hg38_chr9:114761670-114761680(+) TAACAATATAC >hg38_chr9:114799295-114799305(-) tcacaatagac >hg38_chr9:114849973-114849983(-) AAACAATGAGC >hg38_chr9:114850280-114850290(+) gaacaatagac >hg38_chr9:114851121-114851131(+) aaacaatgaca >hg38_chr9:114851160-114851170(-) acacaatgctt >hg38_chr9:114894442-114894452(+) ggacaaaggaa >hg38_chr9:114894897-114894907(-) ATACAATGGGA >hg38_chr9:114925854-114925864(+) aaacaaagacg >hg38_chr9:114941135-114941145(+) atacaatgcat >hg38_chr9:114968831-114968841(-) CAACAATGCAG >hg38_chr9:114978831-114978841(-) aaacaatgacc >hg38_chr9:115039786-115039796(+) TGACAATGGGG >hg38_chr9:115093403-115093413(+) TAACAATGTGT >hg38_chr9:115093730-115093740(-) CTATAATGGCT >hg38_chr9:115109014-115109024(-) atacaatagaa >hg38_chr9:115115570-115115580(-) TGACAATGATA >hg38_chr9:115115598-115115608(-) TAACAAAGGCT >hg38_chr9:115132656-115132666(+) ctacaatgcac >hg38_chr9:115136888-115136898(+) tgacaatggta >hg38_chr9:115136899-115136909(-) tcacaatgggt >hg38_chr9:115164835-115164845(+) AGACAATGAGG >hg38_chr9:115182422-115182432(-) ttacaatgagc >hg38_chr9:115185473-115185483(-) ccacaatagaa >hg38_chr9:115262660-115262670(+) ACACAATGGTG >hg38_chr9:115263074-115263084(-) acacaatagtc >hg38_chr9:115321860-115321870(-) ctacaatagta >hg38_chr9:115327381-115327391(+) TTACAATGAAT >hg38_chr9:115360368-115360378(+) agacaatggcg >hg38_chr9:115392264-115392274(-) AAACAATGTGG >hg38_chr9:115392293-115392303(-) ccacaatgcta >hg38_chr9:115397821-115397831(+) agataatgggg >hg38_chr9:115398245-115398255(-) CTACAATGCTT >hg38_chr9:115403753-115403763(+) CAATAATGGAC >hg38_chr9:115404878-115404888(-) TGACAATGCTA >hg38_chr9:115404888-115404898(+) ATACAATGGAA >hg38_chr9:115441190-115441200(-) TAACTATGGCA >hg38_chr9:115441210-115441220(-) GAACTATGGGG >hg38_chr9:115441229-115441239(-) AAACAAAGGAA >hg38_chr9:115455159-115455169(+) agacaatgagt >hg38_chr9:115455174-115455184(-) agacaatgata >hg38_chr9:115468891-115468901(-) TGACAGTGGTA >hg38_chr9:115470021-115470031(-) AGACAAAGGAA >hg38_chr9:115473126-115473136(-) ctacaatgtat >hg38_chr9:115543827-115543837(-) AGACAATGTTC >hg38_chr9:115543883-115543893(-) GTATAATGGAA >hg38_chr9:115553303-115553313(+) TAACAATGGCC >hg38_chr9:115559471-115559481(+) gaacaataggc >hg38_chr9:115627653-115627663(-) AGACAATGGCC >hg38_chr9:115630312-115630322(+) AGACAAAGGGT >hg38_chr9:115630338-115630348(+) TAACAATAGAG >hg38_chr9:115640991-115641001(+) caacaatgtat >hg38_chr9:115641013-115641023(-) atacaaaggca >hg38_chr9:115642480-115642490(-) GGACAATGGAC >hg38_chr9:115665077-115665087(-) atacaatggat >hg38_chr9:115672748-115672758(-) TAACAAAGGCT >hg38_chr9:115678337-115678347(+) atacaatgctt >hg38_chr9:115685250-115685260(+) atacaataaca >hg38_chr9:115689376-115689386(+) ACATAATGGGA >hg38_chr9:115689391-115689401(+) GTACAATAAGT >hg38_chr9:115690586-115690596(-) GGACAATGTAT >hg38_chr9:115700044-115700054(+) tgacaataata >hg38_chr9:115736937-115736947(+) ggacaataata >hg38_chr9:115736964-115736974(-) ttacaataaac >hg38_chr9:115759083-115759093(+) taacaataaag >hg38_chr9:115781731-115781741(-) TCATAATGGAG >hg38_chr9:115797942-115797952(-) gtacaataaca >hg38_chr9:115849507-115849517(+) ttacaatggtc >hg38_chr9:115863071-115863081(+) atacaaaggaa >hg38_chr9:115866965-115866975(+) ccacaatagga >hg38_chr9:115866992-115867002(+) ctacaatgtct >hg38_chr9:115890402-115890412(-) ctataatggat >hg38_chr9:115890414-115890424(-) gtacaataggt >hg38_chr9:115939154-115939164(-) tgataatgggt >hg38_chr9:115939208-115939218(-) GCACAATGGCA >hg38_chr9:115943753-115943763(+) ACACAATGGTT >hg38_chr9:116018359-116018369(-) caacaatgaaa >hg38_chr9:116018375-116018385(-) aaacaataaat >hg38_chr9:116039474-116039484(-) ATACAATGGAT >hg38_chr9:116039483-116039493(-) GAACAATGGAT >hg38_chr9:116039498-116039508(-) ATACAATGGGA >hg38_chr9:116084404-116084414(+) acacaaaggga >hg38_chr9:116116487-116116497(+) GAACAATGGTT >hg38_chr9:116126807-116126817(-) gaacaatagat >hg38_chr9:116126852-116126862(-) ccacaatgcaa >hg38_chr9:116145414-116145424(+) tcacaatagca >hg38_chr9:116145736-116145746(+) gtactatggtt >hg38_chr9:116207926-116207936(-) aaacaatgggc >hg38_chr9:116230515-116230525(-) atacaatgcca >hg38_chr9:116271489-116271499(+) CAACAATAGTT >hg38_chr9:116271519-116271529(-) atacaaaggag >hg38_chr9:116276148-116276158(-) GAACAATGCCT >hg38_chr9:116282780-116282790(+) AAACAATGCAG >hg38_chr9:116342252-116342262(-) AAACAATGCAT >hg38_chr9:116342320-116342330(+) GTACAATGCTG >hg38_chr9:116400345-116400355(-) CCACAATGTAC >hg38_chr9:116460146-116460156(+) acacaaaggac >hg38_chr9:116463495-116463505(-) ggacaatggca >hg38_chr9:116582591-116582601(-) GTACAATAACA >hg38_chr9:116582599-116582609(-) AAACAAAGGTA >hg38_chr9:116608308-116608318(+) aaacaaaggga >hg38_chr9:116608326-116608336(+) gaacaatgcct >hg38_chr9:116616674-116616684(-) TAACAATAACG >hg38_chr9:116631362-116631372(+) atacaatggag >hg38_chr9:116632654-116632664(-) gtacaaagata >hg38_chr9:116655489-116655499(+) ctacaatggct >hg38_chr9:116669506-116669516(-) tgacaatgatt >hg38_chr9:116735930-116735940(+) taacaatagcc >hg38_chr9:116801232-116801242(+) GGACAAAGGAG >hg38_chr9:116918351-116918361(+) AGACAATGAAA >hg38_chr9:116927842-116927852(-) GTACAATGGAG >hg38_chr9:116953915-116953925(+) ACACAATGGGC >hg38_chr9:116985254-116985264(-) CTACAATGCGG >hg38_chr9:117009021-117009031(+) aaacaataggg >hg38_chr9:117024420-117024430(-) TAACAATAACT >hg38_chr9:117061298-117061308(-) taagaatggta >hg38_chr9:117074017-117074027(-) TAATAATGGCC >hg38_chr9:117081152-117081162(-) TAACAATGTGC >hg38_chr9:117081178-117081188(-) TAACAATGCAA >hg38_chr9:117131666-117131676(-) agataatgggg >hg38_chr9:117172814-117172824(+) aaacactggac >hg38_chr9:117269034-117269044(+) gcacaatgcct >hg38_chr9:117269051-117269061(+) tgacaatggct >hg38_chr9:117301728-117301738(-) AAACAAAGGAC >hg38_chr9:117436495-117436505(-) taacaatagct >hg38_chr9:117512370-117512380(-) AAACAATGCCT >hg38_chr9:117549617-117549627(-) CAACAATGCTC >hg38_chr9:117549700-117549710(-) AAACAAAGGCT >hg38_chr9:117558755-117558765(+) gaacaatgtga >hg38_chr9:117596286-117596296(-) TAACAATGGGA >hg38_chr9:117630580-117630590(+) aaacaaaggag >hg38_chr9:117673134-117673144(+) tcacaatggga >hg38_chr9:117674263-117674273(-) TGACAATGGTG >hg38_chr9:117680969-117680979(-) GTACAATATCC >hg38_chr9:117683489-117683499(+) ACACAATGAAG >hg38_chr9:117687694-117687704(-) caacaatgccc >hg38_chr9:117687706-117687716(+) aaacaatagaa >hg38_chr9:117691610-117691620(+) aaacaatgaga >hg38_chr9:117691637-117691647(+) gtacaatagat >hg38_chr9:117691654-117691664(+) agacaatagca >hg38_chr9:117691868-117691878(-) gaacaataccg >hg38_chr9:117703158-117703168(+) atacaatggaa >hg38_chr9:117707091-117707101(+) gaacaatacga >hg38_chr9:117714429-117714439(-) GGACAATGAAG >hg38_chr9:117716359-117716369(+) gtacaatggga >hg38_chr9:117716658-117716668(+) gtataatgaac >hg38_chr9:117739850-117739860(-) AAACAATGAAA >hg38_chr9:117745130-117745140(-) GTACAATGGGC >hg38_chr9:117794062-117794072(+) agacaaaggca >hg38_chr9:117825305-117825315(+) AGACAATGCAA >hg38_chr9:117827583-117827593(+) TAACAGTGGCA >hg38_chr9:117863883-117863893(-) taACAAAGGAG >hg38_chr9:117930004-117930014(+) ggacaatggaa >hg38_chr9:117938054-117938064(-) GTACAATAAGC >hg38_chr9:117985481-117985491(+) acataatgggt >hg38_chr9:118034311-118034321(-) GGACAATGACA >hg38_chr9:118120847-118120857(+) acacaatgatg >hg38_chr9:118147951-118147961(-) acacaatgtct >hg38_chr9:118170193-118170203(+) GGACAATAGCC >hg38_chr9:118268032-118268042(-) TTACAATGCAG >hg38_chr9:118268057-118268067(-) GGACAATGCAT >hg38_chr9:118276940-118276950(-) AGACAATGGCC >hg38_chr9:118282018-118282028(-) TAACAAAGGCA >hg38_chr9:118320124-118320134(-) atacaatggga >hg38_chr9:118320196-118320206(+) CTACAAAGGAC >hg38_chr9:118322896-118322906(-) ACACAATGCCT >hg38_chr9:118330231-118330241(-) ccacaatgtga >hg38_chr9:118336489-118336499(-) ATACAATGCAC >hg38_chr9:118473727-118473737(+) ggacaaaggaa >hg38_chr9:118550778-118550788(-) TGACAATGCCC >hg38_chr9:118564709-118564719(-) tcacaatgaat >hg38_chr9:118573343-118573353(-) caacaatgcaa >hg38_chr9:118670245-118670255(-) GAACAATGAGT >hg38_chr9:118670807-118670817(-) AAACAATGTCC >hg38_chr9:118679949-118679959(+) GCACAATAGCT >hg38_chr9:118687205-118687215(+) AGACAAAGGCA >hg38_chr9:118687211-118687221(-) AAACAATGCCT >hg38_chr9:118689373-118689383(+) taacaatggca >hg38_chr9:118871749-118871759(+) GGACAATGGCC >hg38_chr9:118940763-118940773(+) TGACAATGCAA >hg38_chr9:118985195-118985205(+) ATACAATGCCC >hg38_chr9:119078256-119078266(-) GGACAATAGAG >hg38_chr9:119084155-119084165(-) aaacaaaggcc >hg38_chr9:119101264-119101274(-) AGACAATGGAC >hg38_chr9:119121998-119122008(-) AAACAATAAGT >hg38_chr9:119141098-119141108(+) agacaaaggta >hg38_chr9:119149271-119149281(-) TTACAATGACT >hg38_chr9:119149285-119149295(-) TTACAATGATT >hg38_chr9:119178778-119178788(-) TCACAAAGGTA >hg38_chr9:119204167-119204177(-) ccacaatgaca >hg38_chr9:119224742-119224752(+) agacaatgtct >hg38_chr9:119224798-119224808(-) ggacaatggaa >hg38_chr9:119242585-119242595(-) AGACAAAGGAG >hg38_chr9:119273602-119273612(+) GCACAATGCTT >hg38_chr9:119298243-119298253(+) GAACAATAGGG >hg38_chr9:119304607-119304617(+) ATACAAAGGTA >hg38_chr9:119360704-119360714(-) GGATAATGGAG >hg38_chr9:119360720-119360730(-) AAATAATGGAG >hg38_chr9:119533811-119533821(-) AAACAAAGGGT >hg38_chr9:119659897-119659907(+) agacaaaggca >hg38_chr9:119744808-119744818(+) gcacaatgccc >hg38_chr9:119771523-119771533(-) ggacaatgggc >hg38_chr9:119815025-119815035(+) TAACAAAGGGC >hg38_chr9:119856684-119856694(+) gaataatggtt >hg38_chr9:119857714-119857724(-) TAACAATGTTT >hg38_chr9:119857751-119857761(-) ccacaatagga >hg38_chr9:119884788-119884798(-) TTACAATGTAG >hg38_chr9:119940375-119940385(+) AAACTATGGAT >hg38_chr9:120043698-120043708(-) ACACAATGCTC >hg38_chr9:120078409-120078419(-) CCACAATAGAT >hg38_chr9:120078426-120078436(+) AAACAAAGGTT >hg38_chr9:120080851-120080861(-) GCACAAAGGGA >hg38_chr9:120083870-120083880(+) GTACAATGCCC >hg38_chr9:120098789-120098799(+) TCACAATGGAG >hg38_chr9:120117232-120117242(+) agacaatggga >hg38_chr9:120202978-120202988(-) tgacaatagag >hg38_chr9:120204081-120204091(-) ATACAATGtat >hg38_chr9:120246882-120246892(-) taacaatggtc >hg38_chr9:120246898-120246908(+) agacaatggga >hg38_chr9:120316816-120316826(-) taacaatgagg >hg38_chr9:120316842-120316852(+) ttacaataaga >hg38_chr9:120325107-120325117(+) GTACAAAGGGC >hg38_chr9:120413473-120413483(-) TCACAATGTCT >hg38_chr9:120492219-120492229(+) aaactatggta >hg38_chr9:120504070-120504080(+) CAACAATAGCA >hg38_chr9:120551042-120551052(-) GTAGAATGGTA >hg38_chr9:120572551-120572561(+) AAACAATGCAA >hg38_chr9:120599455-120599465(-) TTACAATGTGC >hg38_chr9:120599469-120599479(-) AGACAATGTTA >hg38_chr9:120603169-120603179(-) atacaatgtgt >hg38_chr9:120621576-120621586(-) atacaataaag >hg38_chr9:120642821-120642831(+) TGACAATATAA >hg38_chr9:120657608-120657618(+) TAACAATGGCT >hg38_chr9:120664876-120664886(-) taacaataaGA >hg38_chr9:120664893-120664903(+) gcacaataata >hg38_chr9:120666379-120666389(+) ACACAATGCAA >hg38_chr9:120689022-120689032(+) caacaatgaga >hg38_chr9:120689044-120689054(-) gaacaatgacc >hg38_chr9:120690012-120690022(+) AGACAATGAGG >hg38_chr9:120693722-120693732(-) AAATAATGGTA >hg38_chr9:120693732-120693742(-) AGACAATAGCA >hg38_chr9:120697574-120697584(-) AGACAATGTCA >hg38_chr9:120712751-120712761(+) AGACAAAGGAT >hg38_chr9:120714782-120714792(+) AGACAAAGGAT >hg38_chr9:120765927-120765937(+) GCACAAAGGAA >hg38_chr9:120775961-120775971(-) GCATAATGGTA >hg38_chr9:120776492-120776502(+) AGATAATGGCA >hg38_chr9:120779467-120779477(+) AGACAATGGAA >hg38_chr9:120792925-120792935(-) GTACAAAGCGC >hg38_chr9:120802270-120802280(-) acacaatagag >hg38_chr9:120817674-120817684(+) AAACACTGGAT >hg38_chr9:120818614-120818624(-) ccacaatgaac >hg38_chr9:120852504-120852514(+) TGATAATGGTG >hg38_chr9:120852822-120852832(-) ATACAAAGGAG >hg38_chr9:120992062-120992072(-) ggacaatggga >hg38_chr9:121038509-121038519(-) TAACAATGAGC >hg38_chr9:121121208-121121218(+) ccacaatgtgt >hg38_chr9:121121220-121121230(-) gcacaatgtat >hg38_chr9:121126227-121126237(-) ATACAATATTT >hg38_chr9:121141653-121141663(+) TTACAATGCTT >hg38_chr9:121141662-121141672(-) TGACAAAGGAA >hg38_chr9:121150330-121150340(+) AAACAATAGCC >hg38_chr9:121156614-121156624(+) GTACAATGGCA >hg38_chr9:121157179-121157189(-) tgacaatgtag >hg38_chr9:121180401-121180411(-) CGACAATGTGC >hg38_chr9:121182446-121182456(+) GAACAATGATG >hg38_chr9:121185912-121185922(+) CGATAATGGAA >hg38_chr9:121185959-121185969(+) AAACAATGTTA >hg38_chr9:121195997-121196007(-) TAACAATAGTA >hg38_chr9:121222553-121222563(-) gtacaataaat >hg38_chr9:121260636-121260646(-) ggacaatagaa >hg38_chr9:121260660-121260670(+) acacaatggag >hg38_chr9:121262809-121262819(+) GAATAATGGCT >hg38_chr9:121286931-121286941(+) agacaatgctt >hg38_chr9:121286957-121286967(+) TCACAATGGGC >hg38_chr9:121287485-121287495(-) aaacaatagat >hg38_chr9:121289085-121289095(-) ACATAATGGAG >hg38_chr9:121326324-121326334(+) agacaatagca >hg38_chr9:121334024-121334034(-) gcacaatagcc >hg38_chr9:121377271-121377281(+) TTACAATAGGA >hg38_chr9:121450414-121450424(-) CAACAATGCAT >hg38_chr9:121463393-121463403(-) TGATAATGGTT >hg38_chr9:121633219-121633229(+) ATACAATAAAC >hg38_chr9:121636698-121636708(-) GAACAATGTGG >hg38_chr9:121662533-121662543(+) ctacaatggca >hg38_chr9:121671743-121671753(-) ctacaaaggac >hg38_chr9:121671754-121671764(-) acacaatggca >hg38_chr9:121718665-121718675(-) tgacaatgcca >hg38_chr9:121737066-121737076(+) atacaaaggcc >hg38_chr9:121750882-121750892(-) GAACAATGGGG >hg38_chr9:121750905-121750915(+) TCACAATGAGC >hg38_chr9:121767778-121767788(+) caacaatagca >hg38_chr9:121777834-121777844(-) caacaatagta >hg38_chr9:121815680-121815690(+) ATACAATGAGC >hg38_chr9:121820449-121820459(-) AAACAATGTTT >hg38_chr9:121820461-121820471(+) TCACAATGGAC >hg38_chr9:121846197-121846207(+) taataatgata >hg38_chr9:121862841-121862851(-) GGATAATGGCA >hg38_chr9:121888602-121888612(-) TTACAAAGGGG >hg38_chr9:121900361-121900371(-) acacagtggta >hg38_chr9:121936863-121936873(+) TAACAATAACA >hg38_chr9:121941529-121941539(-) aaacaatgaat >hg38_chr9:121941537-121941547(-) tgacaatgaaa >hg38_chr9:121972539-121972549(+) CAACAATGGCA >hg38_chr9:121991296-121991306(+) TAACAATGGAG >hg38_chr9:121991322-121991332(+) TAATAATGGTC >hg38_chr9:121991365-121991375(+) CGAGAATGGAA >hg38_chr9:122010649-122010659(-) TAACAATACTA >hg38_chr9:122015368-122015378(+) TAACACTGGAA >hg38_chr9:122022449-122022459(+) aaacaatataa >hg38_chr9:122024336-122024346(-) taacaatgagt >hg38_chr9:122027979-122027989(+) gcacaaaggat >hg38_chr9:122040769-122040779(+) GCACAATGAGG >hg38_chr9:122064011-122064021(+) TCATAATGGAT >hg38_chr9:122064622-122064632(+) aaacaatagag >hg38_chr9:122086214-122086224(-) AGACAATAAAT >hg38_chr9:122116246-122116256(+) CAACAATGGGG >hg38_chr9:122135032-122135042(-) GGACAATGGCA >hg38_chr9:122148646-122148656(+) aaataatggac >hg38_chr9:122148681-122148691(+) agacaatggca >hg38_chr9:122154287-122154297(+) atacaataatt >hg38_chr9:122204447-122204457(-) TTACAATAACA >hg38_chr9:122207319-122207329(+) acacaatgaag >hg38_chr9:122254819-122254829(+) aaacaatggga >hg38_chr9:122332447-122332457(+) GAACAATGGGT >hg38_chr9:122350809-122350819(-) GGACAATGCAG >hg38_chr9:122376273-122376283(-) CCACAATGGCC >hg38_chr9:122455289-122455299(-) ggacaatagtt >hg38_chr9:122457713-122457723(+) gaacaatgcat >hg38_chr9:122545605-122545615(-) agacaatatag >hg38_chr9:122551213-122551223(+) CAACAATGATA >hg38_chr9:122554546-122554556(+) CCACAATGTTC >hg38_chr9:122580565-122580575(+) TAACAATGCCA >hg38_chr9:122667158-122667168(+) atacaatggag >hg38_chr9:122719758-122719768(-) taacaaaggag >hg38_chr9:122744289-122744299(-) acacaatgcac >hg38_chr9:122744302-122744312(+) TGACAATGTGT >hg38_chr9:122818883-122818893(+) ctacaatgaac >hg38_chr9:122820008-122820018(+) AGACAAAGGAA >hg38_chr9:122820026-122820036(+) AAACAATGACG >hg38_chr9:122844754-122844764(-) GAACAATGAGG >hg38_chr9:122844835-122844845(+) GCACAAAGGGA >hg38_chr9:122845061-122845071(+) GAACAATAGAT >hg38_chr9:122848418-122848428(-) ATACAATGTGT >hg38_chr9:122875270-122875280(+) TCACAATGCTG >hg38_chr9:122880652-122880662(-) GAACAGTGGTC >hg38_chr9:122904642-122904652(-) AAACAATGCCC >hg38_chr9:122911724-122911734(+) CCACAATGTGA >hg38_chr9:122923729-122923739(+) TCACAATGCTT >hg38_chr9:122940725-122940735(-) GGACGATGGAA >hg38_chr9:122947879-122947889(-) AAACAATAGAT >hg38_chr9:122963385-122963395(+) caacaatagca >hg38_chr9:122976208-122976218(-) AAACAATAAAC >hg38_chr9:122991553-122991563(-) GCACAATGAGT >hg38_chr9:122994303-122994313(-) CAACAATAGAC >hg38_chr9:122999532-122999542(-) gaacaataaca >hg38_chr9:123000400-123000410(-) ctacaaaggaa >hg38_chr9:123016832-123016842(-) ACACAATGCTT >hg38_chr9:123016897-123016907(+) TCACAATGCTT >hg38_chr9:123021992-123022002(-) GTACAATGCTG >hg38_chr9:123050036-123050046(-) TCACAAtgcaa >hg38_chr9:123050066-123050076(-) AGACGATGGAC >hg38_chr9:123063463-123063473(-) ttacaatagta >hg38_chr9:123065212-123065222(+) TAACTATGGGC >hg38_chr9:123077612-123077622(-) atacaatacaa >hg38_chr9:123077617-123077627(-) atacaatacaa >hg38_chr9:123077622-123077632(-) atacaatacaa >hg38_chr9:123077627-123077637(-) atacaatacaa >hg38_chr9:123077632-123077642(-) atacaatacaa >hg38_chr9:123077637-123077647(-) atacaatacaa >hg38_chr9:123077642-123077652(-) atacaatacaa >hg38_chr9:123077647-123077657(-) atacaatacaa >hg38_chr9:123077652-123077662(-) atacaatacaa >hg38_chr9:123077657-123077667(-) atacaatacaa >hg38_chr9:123077662-123077672(-) atacaatacaa >hg38_chr9:123077667-123077677(-) atacaatacaa >hg38_chr9:123077672-123077682(-) atacaatacaa >hg38_chr9:123077677-123077687(-) atacaatacaa >hg38_chr9:123077682-123077692(-) atacaatacaa >hg38_chr9:123081836-123081846(+) atacaataggg >hg38_chr9:123090590-123090600(-) GCACAATGAGA >hg38_chr9:123091651-123091661(-) TAACAATGCCT >hg38_chr9:123096411-123096421(+) ggacaaagggt >hg38_chr9:123096430-123096440(+) ggacaaagggt >hg38_chr9:123161286-123161296(+) ATACAATGAAA >hg38_chr9:123163003-123163013(+) TAACAAAGGTT >hg38_chr9:123170009-123170019(-) GAACAATGTGT >hg38_chr9:123170263-123170273(+) CCACAATGTCA >hg38_chr9:123219878-123219888(+) taacaatggat >hg38_chr9:123220623-123220633(-) tgacaatgtct >hg38_chr9:123220652-123220662(+) taacaatagac >hg38_chr9:123232417-123232427(-) CTACAATGTGA >hg38_chr9:123243895-123243905(+) atacaatagga >hg38_chr9:123246379-123246389(+) AAATAATGGAC >hg38_chr9:123251731-123251741(+) AAACaatgcaa >hg38_chr9:123251740-123251750(+) aaataatgggg >hg38_chr9:123358325-123358335(+) GTACAATGGGG >hg38_chr9:123397582-123397592(+) agacaataggg >hg38_chr9:123402143-123402153(-) GCACAATAGCC >hg38_chr9:123420899-123420909(-) AAACAAAGGGC >hg38_chr9:123453968-123453978(+) gaacaaaGGAA >hg38_chr9:123482680-123482690(+) ggacaatggcc >hg38_chr9:123488190-123488200(+) GGACAATGGAG >hg38_chr9:123571675-123571685(-) aaacaatgtat >hg38_chr9:123573242-123573252(-) aaacaatgttg >hg38_chr9:123573795-123573805(+) gtacaatgttg >hg38_chr9:123585732-123585742(-) ggataatggta >hg38_chr9:123589748-123589758(-) TAACAATATAT >hg38_chr9:123648879-123648889(+) ctacaatgcca >hg38_chr9:123660318-123660328(+) GGACAATGGGT >hg38_chr9:123665849-123665859(+) ctacaatggaa >hg38_chr9:123696368-123696378(+) GTACAATATAC >hg38_chr9:123715479-123715489(+) GAACAAAGGAG >hg38_chr9:123747313-123747323(+) GAACAATAGGA >hg38_chr9:123754703-123754713(-) GAACTATGGAG >hg38_chr9:123771229-123771239(+) GAACAAAGGCA >hg38_chr9:123789214-123789224(-) CCACAATGACA >hg38_chr9:123794986-123794996(+) CTACAATGAAA >hg38_chr9:123795390-123795400(+) CGACATTGGAA >hg38_chr9:123798380-123798390(-) AAACAATAGGT >hg38_chr9:123801486-123801496(-) atacaatggaa >hg38_chr9:123814575-123814585(+) ATACAATAATA >hg38_chr9:123821721-123821731(-) CAACAATGAAT >hg38_chr9:123822638-123822648(+) TTACAATGgtg >hg38_chr9:123851814-123851824(+) aaacaataggc >hg38_chr9:123859116-123859126(-) TAACAAAGGTG >hg38_chr9:123859170-123859180(+) AAACAATAGTA >hg38_chr9:123860807-123860817(+) ACACAATAGAG >hg38_chr9:123863349-123863359(+) AAACAATGATA >hg38_chr9:123911214-123911224(+) ccacaatgagc >hg38_chr9:123911530-123911540(+) aaacaatgaac >hg38_chr9:123911577-123911587(+) acacaatgtag >hg38_chr9:124008388-124008398(+) GCACAATGAAG >hg38_chr9:124066967-124066977(+) gcacaatggaa >hg38_chr9:124074963-124074973(+) agataatggaa >hg38_chr9:124076461-124076471(-) atacaatggtt >hg38_chr9:124125963-124125973(+) AGACAATGAGA >hg38_chr9:124125972-124125982(+) GAACAATGCCC >hg38_chr9:124133906-124133916(-) GGACAATGCAT >hg38_chr9:124133913-124133923(-) CCACAATGGAC >hg38_chr9:124209406-124209416(-) ACACAATAGGA >hg38_chr9:124210809-124210819(-) gaactatggac >hg38_chr9:124267435-124267445(-) GAACAAAGGAA >hg38_chr9:124270800-124270810(-) gaataatggag >hg38_chr9:124282967-124282977(+) GGACAAAGGGC >hg38_chr9:124297724-124297734(-) GAACAATGAGG >hg38_chr9:124365174-124365184(+) GAACAATGGAG >hg38_chr9:124365255-124365265(+) TTACAATGAGG >hg38_chr9:124385313-124385323(+) TCACAATAGCA >hg38_chr9:124393119-124393129(+) CAACAATGCCA >hg38_chr9:124398370-124398380(+) GAACAATGGCT >hg38_chr9:124419672-124419682(-) AAACAATGTCC >hg38_chr9:124426276-124426286(-) ggacaataggg >hg38_chr9:124448284-124448294(+) GGACAATGCCC >hg38_chr9:124477881-124477891(-) AGACAAAGGCG >hg38_chr9:124520415-124520425(+) GTACAATGGCA >hg38_chr9:124557620-124557630(+) TTACAATAGCA >hg38_chr9:124570464-124570474(+) CTACAATGAAC >hg38_chr9:124583005-124583015(+) GTACAATGAAG >hg38_chr9:124615204-124615214(+) TTACAATGCCC >hg38_chr9:124658880-124658890(-) GAACAAAGGAG >hg38_chr9:124659196-124659206(+) GGACAAAGGCA >hg38_chr9:124660521-124660531(+) GGACAATAGCG >hg38_chr9:124694328-124694338(-) GAACAATAGGT >hg38_chr9:124696214-124696224(+) AGACAATGAAA >hg38_chr9:124698494-124698504(+) ACACAATGAAG >hg38_chr9:124710385-124710395(+) ACACAATGAAa >hg38_chr9:124710415-124710425(+) acacaATGTTG >hg38_chr9:124735281-124735291(+) CAACAATGAAA >hg38_chr9:124768379-124768389(-) GAACAATGGGC >hg38_chr9:124769084-124769094(-) GAACAAAGGAT >hg38_chr9:124769800-124769810(-) TTACAAAGGGT >hg38_chr9:124771597-124771607(+) CAACAATGAGT >hg38_chr9:124800289-124800299(-) gaacaatggtg >hg38_chr9:124850056-124850066(-) acacaatgagt >hg38_chr9:124863633-124863643(+) taacaatggct >hg38_chr9:124907206-124907216(+) aaacaatacgt >hg38_chr9:124946017-124946027(+) TTACAACGGTT >hg38_chr9:124946045-124946055(+) TCACAATGTCA >hg38_chr9:124947527-124947537(+) GAACAATGCCA >hg38_chr9:124947573-124947583(+) CCACAATGGGT >hg38_chr9:124948177-124948187(+) GCACAAAGGAA >hg38_chr9:124960465-124960475(+) agacaatggaa >hg38_chr9:125023066-125023076(-) taacaataata >hg38_chr9:125126102-125126112(+) GGACAATGagt >hg38_chr9:125133588-125133598(+) ccacaatgaca >hg38_chr9:125133601-125133611(-) gtacactggta >hg38_chr9:125133626-125133636(+) aaaCAAtggtg >hg38_chr9:125145374-125145384(+) CTACAATGAAT >hg38_chr9:125149230-125149240(-) GCACGATGGAC >hg38_chr9:125170055-125170065(-) AAACAATGTAG >hg38_chr9:125177692-125177702(-) aaactatggcc >hg38_chr9:125271867-125271877(-) AAACAAAGGGA >hg38_chr9:125271904-125271914(-) AGATAATGGAA >hg38_chr9:125277307-125277317(+) agacaatgtaa >hg38_chr9:125277517-125277527(-) ACACAATATAT >hg38_chr9:125331556-125331566(+) GGACAATAGGA >hg38_chr9:125344604-125344614(-) ATACAATACAT >hg38_chr9:125390417-125390427(-) acacaaaggat >hg38_chr9:125408607-125408617(-) GAACAAAGGAA >hg38_chr9:125446596-125446606(+) CTACAAAGGAC >hg38_chr9:125454175-125454185(+) GAACAATGGCT >hg38_chr9:125463140-125463150(+) GTATAATGGGG >hg38_chr9:125463198-125463208(+) CAACAATGGAA >hg38_chr9:125497395-125497405(+) ATACAATAATT >hg38_chr9:125540672-125540682(-) TCACAATGACC >hg38_chr9:125541979-125541989(+) GAACAATGACT >hg38_chr9:125547497-125547507(+) agacaatgaat >hg38_chr9:125550786-125550796(+) GGACAATGAAA >hg38_chr9:125558644-125558654(-) GAACAATAGCG >hg38_chr9:125562160-125562170(+) CAACAATGATA >hg38_chr9:125605762-125605772(+) AAACAATGGAA >hg38_chr9:125621608-125621618(+) TAACAATAAAG >hg38_chr9:125645064-125645074(-) ACACAAAGGAC >hg38_chr9:125654321-125654331(+) CAACAATGCTT >hg38_chr9:125655427-125655437(-) TAATAATGGGA >hg38_chr9:125655551-125655561(-) ATACAATGACA >hg38_chr9:125655614-125655624(+) GTACAATATCC >hg38_chr9:125692051-125692061(-) caacaatgcat >hg38_chr9:125694493-125694503(-) GAACAATGTTA >hg38_chr9:125695635-125695645(-) GTACAATATAA >hg38_chr9:125755001-125755011(+) ATACAATGTAA >hg38_chr9:125755034-125755044(+) TCACAATGTTT >hg38_chr9:125755046-125755056(-) AAATAATGGTT >hg38_chr9:125755506-125755516(-) TCACAATAGCA >hg38_chr9:125771289-125771299(+) AAACAATGCTG >hg38_chr9:125775834-125775844(+) taacaatatta >hg38_chr9:125775921-125775931(-) gtacaatgaaa >hg38_chr9:125776208-125776218(-) taacaatatac >hg38_chr9:125823373-125823383(-) ACACACTGGTA >hg38_chr9:125840001-125840011(+) GCACTATGGAC >hg38_chr9:125854582-125854592(-) TTACAAAGGTG >hg38_chr9:125875824-125875834(-) acacaataaat >hg38_chr9:125888406-125888416(+) ATACAATTGAC >hg38_chr9:125888415-125888425(-) ATACAAAGGGT >hg38_chr9:125889849-125889859(+) GAACAATGGgc >hg38_chr9:125890252-125890262(+) AAACAATGGTG >hg38_chr9:125890702-125890712(+) CTACAATGTAG >hg38_chr9:125921130-125921140(-) GAACAATGAAT >hg38_chr9:125946369-125946379(+) tgacaatgttt >hg38_chr9:125947012-125947022(+) atacaatggag >hg38_chr9:125951226-125951236(-) GGACAATGCAC >hg38_chr9:125951295-125951305(+) GAACAATAGCC >hg38_chr9:126058555-126058565(-) CAACAATGAAA >hg38_chr9:126058791-126058801(+) TAATAATGTAA >hg38_chr9:126150459-126150469(-) tcacaatgtaa >hg38_chr9:126150472-126150482(+) ggataatgggt >hg38_chr9:126195606-126195616(-) atacaatagag >hg38_chr9:126195625-126195635(-) gaacaatagaa >hg38_chr9:126254096-126254106(+) taacaatggaa >hg38_chr9:126275512-126275522(-) acacaaaggca >hg38_chr9:126281831-126281841(-) agacaaaggga >hg38_chr9:126283047-126283057(+) ggacaatgggg >hg38_chr9:126319051-126319061(-) GGACAATGGTT >hg38_chr9:126319083-126319093(+) ACACAATGCGT >hg38_chr9:126339623-126339633(-) TAACAATGATC >hg38_chr9:126361337-126361347(-) gaacaataaac >hg38_chr9:126361363-126361373(-) caacaatagca >hg38_chr9:126375176-126375186(+) ttacaatgcag >hg38_chr9:126375398-126375408(-) aaacaatgcac >hg38_chr9:126375407-126375417(-) gaacaatgaaa >hg38_chr9:126377082-126377092(-) CCACAATGACG >hg38_chr9:126438017-126438027(-) GAACAATGAGC >hg38_chr9:126441196-126441206(-) CCACAATGGGA >hg38_chr9:126519743-126519753(-) gaacaataggg >hg38_chr9:126529929-126529939(+) ATACAATGACT >hg38_chr9:126535599-126535609(-) aaacaataaga >hg38_chr9:126544139-126544149(-) CCACAATGTAA >hg38_chr9:126638825-126638835(+) ACACAATAGCG >hg38_chr9:126661947-126661957(+) CAACAATGCAG >hg38_chr9:126662715-126662725(-) CAACAATGGGC >hg38_chr9:126665232-126665242(-) TTACGATGGAC >hg38_chr9:126665278-126665288(-) CAACAATGGCC >hg38_chr9:126665886-126665896(-) AGACAATGGTG >hg38_chr9:126671730-126671740(+) ACACAAAGGCA >hg38_chr9:126737770-126737780(+) aaacaatgtaa >hg38_chr9:126807218-126807228(+) gaactatggct >hg38_chr9:126812576-126812586(-) agacaataaca >hg38_chr9:126829351-126829361(-) ctacaatagat >hg38_chr9:126835874-126835884(-) AGACAATAGGC >hg38_chr9:126867647-126867657(-) cgacaacgtaa >hg38_chr9:126928170-126928180(-) ACACAATGGAT >hg38_chr9:126971185-126971195(+) agacaatagga >hg38_chr9:127005492-127005502(+) ttacaatggtc >hg38_chr9:127015169-127015179(+) TAACAATGCCT >hg38_chr9:127074703-127074713(+) gcacaatagcc >hg38_chr9:127074978-127074988(-) CCACAATAGGG >hg38_chr9:127075903-127075913(-) TAACAAAGGCT >hg38_chr9:127112320-127112330(+) GAACAATGGGG >hg38_chr9:127143083-127143093(-) aaacaataggg >hg38_chr9:127143171-127143181(+) gtacaatgttt >hg38_chr9:127186673-127186683(+) CAACAATGCAG >hg38_chr9:127224609-127224619(+) CTACAATAGAA >hg38_chr9:127252962-127252972(-) ATACTATGGGA >hg38_chr9:127284540-127284550(-) gaacaatgaaa >hg38_chr9:127284580-127284590(-) aaacaatgaac >hg38_chr9:127316852-127316862(-) GAACAATGGCA >hg38_chr9:127351871-127351881(-) TGACAATGCTT >hg38_chr9:127352263-127352273(-) gaacaaaggag >hg38_chr9:127354091-127354101(-) GAACAAAGGGG >hg38_chr9:127361560-127361570(-) GCACAATGAGA >hg38_chr9:127361800-127361810(-) GCACAATGACC >hg38_chr9:127424181-127424191(+) GCACGATGGAT >hg38_chr9:127451491-127451501(-) CGACAAGGGCG >hg38_chr9:127492567-127492577(-) TGACAATGGGA >hg38_chr9:127493538-127493548(-) CAACAATAGGG >hg38_chr9:127528610-127528620(-) GCACAATGGGC >hg38_chr9:127528623-127528633(+) ACACAATAGGA >hg38_chr9:127555132-127555142(+) GAACAATGCCT >hg38_chr9:127555147-127555157(-) AAACAATGTAT >hg38_chr9:127555147-127555157(-) AAACAATGTAT >hg38_chr9:127574193-127574203(+) GGACAAAGGGT >hg38_chr9:127574770-127574780(-) GCACAATGGGC >hg38_chr9:127637740-127637750(-) TAACAATGATT >hg38_chr9:127877347-127877357(+) GAACAAAGGGG >hg38_chr9:127901174-127901184(+) atacaatggaa >hg38_chr9:127910912-127910922(+) aaacaaaggaa >hg38_chr9:127950823-127950833(-) CAACAATGTCC >hg38_chr9:127950846-127950856(-) GAACAATGCAT >hg38_chr9:127955588-127955598(-) TGACAATGAGT >hg38_chr9:127971906-127971916(+) gaacaaaggca >hg38_chr9:127979442-127979452(+) CGACAAGGGTA >hg38_chr9:127981297-127981307(+) AAACAATGACA >hg38_chr9:128051077-128051087(-) CTACAATGGTC >hg38_chr9:128079098-128079108(+) TAACAAAGGTA >hg38_chr9:128082347-128082357(-) GGACAATGAAG >hg38_chr9:128128198-128128208(-) GGACAATGAGG >hg38_chr9:128187643-128187653(+) aaacaataaga >hg38_chr9:128187683-128187693(+) gaacaaaggac >hg38_chr9:128204527-128204537(+) AGACAAAGGGT >hg38_chr9:128365163-128365173(+) AGACAAAGGAC >hg38_chr9:128372505-128372515(+) atacaaagata >hg38_chr9:128383242-128383252(+) AAACAATGAGG >hg38_chr9:128384042-128384052(-) gcacaatgccc >hg38_chr9:128385805-128385815(+) GAACAATACGA >hg38_chr9:128393369-128393379(+) CAACAATACGG >hg38_chr9:128393449-128393459(-) ACACAATGACC >hg38_chr9:128394155-128394165(-) agacaatgaca >hg38_chr9:128407025-128407035(+) AGAGAATGGCG >hg38_chr9:128407034-128407044(-) GAACAAAGACG >hg38_chr9:128407054-128407064(-) GGACTATGGTT >hg38_chr9:128409886-128409896(-) ccacaatggtc >hg38_chr9:128457779-128457789(+) AGATAATGGAA >hg38_chr9:128508519-128508529(-) taacaatgggg >hg38_chr9:128540826-128540836(-) TCACAATCGAG >hg38_chr9:128559178-128559188(-) CAATAATGGAC >hg38_chr9:128683309-128683319(-) TTACAAAGGAA >hg38_chr9:128691728-128691738(+) TGATAATGGTT >hg38_chr9:128860994-128861004(-) ccacaatagtt >hg38_chr9:128861042-128861052(+) gaacaatggga >hg38_chr9:128861051-128861061(+) gaacaatagac >hg38_chr9:128888408-128888418(-) GAACAATGGCT >hg38_chr9:128902526-128902536(-) TGACAATGATA >hg38_chr9:128958516-128958526(-) ACACAATGCTA >hg38_chr9:128958579-128958589(-) TAACAATATAC >hg38_chr9:128972555-128972565(+) atacaatgtac >hg38_chr9:129071997-129072007(-) TGACAATCGAC >hg38_chr9:129126785-129126795(+) AAACAATAGAG >hg38_chr9:129178407-129178417(-) GCACAAAGGAA >hg38_chr9:129179110-129179120(+) TGACAATAGGG >hg38_chr9:129209375-129209385(+) GGACAATGACA >hg38_chr9:129318342-129318352(-) AGACAATGGTC >hg38_chr9:129414081-129414091(-) GAACAATGGCA >hg38_chr9:129466200-129466210(-) taacaataggc >hg38_chr9:129484030-129484040(-) GAACAATGGGC >hg38_chr9:129489215-129489225(+) taacaatataa >hg38_chr9:129513425-129513435(-) aaacaatggaa >hg38_chr9:129556778-129556788(+) CAACAATAGGC >hg38_chr9:129556831-129556841(+) gaacaatggca >hg38_chr9:129557598-129557608(+) TAACAAAGGGT >hg38_chr9:129559560-129559570(-) GAATAATGGAC >hg38_chr9:129626153-129626163(+) GGACAAAGGCA >hg38_chr9:129685812-129685822(+) cgacaatgtgc >hg38_chr9:129726125-129726135(-) CTACAATAGCG >hg38_chr9:129834192-129834202(+) ccacaatgtca >hg38_chr9:129871372-129871382(-) gaacaataaat >hg38_chr9:129871380-129871390(-) acacaatggaa >hg38_chr9:129933911-129933921(-) GAACAATGGTG >hg38_chr9:129933932-129933942(+) TCACTATGGAA >hg38_chr9:129938284-129938294(-) AAACAATGCCG >hg38_chr9:129956437-129956447(-) ATACAATGTAA >hg38_chr9:129963259-129963269(+) AGACAATGACA >hg38_chr9:129964169-129964179(+) CAACAATGGCC >hg38_chr9:130002520-130002530(-) gcacaatagag >hg38_chr9:130034613-130034623(+) AAACAATAACT >hg38_chr9:130142828-130142838(-) GCACAAAGGGA >hg38_chr9:130153903-130153913(-) AAACAATGGTA >hg38_chr9:130185842-130185852(-) CAACAATGGCC >hg38_chr9:130240738-130240748(+) gaacaaaggac >hg38_chr9:130249717-130249727(-) aaacaatcgat >hg38_chr9:130259361-130259371(+) taacaatgaag >hg38_chr9:130448951-130448961(-) GAACAATGCTA >hg38_chr9:130461384-130461394(+) CGACAAAGGCG >hg38_chr9:130479392-130479402(+) AGACAATGGTG >hg38_chr9:130481872-130481882(+) GAACAATGTTA >hg38_chr9:130481912-130481922(-) AGACAAAGGTA >hg38_chr9:130608835-130608845(-) AGACAAAGGAA >hg38_chr9:130611513-130611523(+) ATACAGTGGCA >hg38_chr9:130629901-130629911(-) TAACAATAGTT >hg38_chr9:130660586-130660596(+) GGACAATGGGC >hg38_chr9:130701278-130701288(+) GAACAAAGGCA >hg38_chr9:130731644-130731654(+) ttacaatgtat >hg38_chr9:130738541-130738551(+) agataatggcc >hg38_chr9:130738559-130738569(-) aaacaatagtg >hg38_chr9:130740212-130740222(+) TCACAATGTGG >hg38_chr9:130740245-130740255(+) GGACAATGAAC >hg38_chr9:130745493-130745503(+) CAACAATAGCA >hg38_chr9:130815397-130815407(-) gaacaaaggaa >hg38_chr9:130834457-130834467(-) AGACAATGTTA >hg38_chr9:130835247-130835257(-) TAACAAAGGGC >hg38_chr9:130839479-130839489(-) caacaatgtta >hg38_chr9:130847806-130847816(+) TAACAAAGGCC >hg38_chr9:130873173-130873183(+) GAACAAAGGCA >hg38_chr9:130892295-130892305(-) TTACTATGGCA >hg38_chr9:130932374-130932384(-) TGACAATAGGG >hg38_chr9:130984552-130984562(-) agacaatggct >hg38_chr9:131042508-131042518(-) TGACAATGCTC >hg38_chr9:131110222-131110232(+) taacaatagct >hg38_chr9:131110292-131110302(-) TAACAATGGCC >hg38_chr9:131117008-131117018(-) GAACAATGGGT >hg38_chr9:131131284-131131294(+) TAACTATGGGC >hg38_chr9:131189175-131189185(+) CCACAATAGGG >hg38_chr9:131189185-131189195(-) GAACAATAACC >hg38_chr9:131204477-131204487(-) ctacaatggca >hg38_chr9:131220359-131220369(-) AAACAATGACT >hg38_chr9:131234086-131234096(+) GTACAATAGTA >hg38_chr9:131270393-131270403(+) AAACAAAGGAT >hg38_chr9:131283403-131283413(+) TCACAATAGTC >hg38_chr9:131393143-131393153(-) agacaaaggag >hg38_chr9:131393167-131393177(+) gaacaataata >hg38_chr9:131394495-131394505(-) CAACAATGGCG >hg38_chr9:131407091-131407101(-) TTACAATGGCC >hg38_chr9:131408035-131408045(-) CAACAATGACT >hg38_chr9:131412427-131412437(-) gaactatggaa >hg38_chr9:131412442-131412452(+) aaacaatgctg >hg38_chr9:131418648-131418658(+) AAACAATGGCA >hg38_chr9:131421669-131421679(-) TCACAATAGTG >hg38_chr9:131426771-131426781(-) gcacaatgaag >hg38_chr9:131436607-131436617(-) AGACAAAGGCA >hg38_chr9:131449573-131449583(-) ggacaatatat >hg38_chr9:131449580-131449590(-) aaacaaaggac >hg38_chr9:131618298-131618308(-) agacaatgggg >hg38_chr9:131633584-131633594(+) GAACAATGGCA >hg38_chr9:131660586-131660596(+) AAACAATGCTG >hg38_chr9:131702446-131702456(+) CGATAATGGCT >hg38_chr9:131757847-131757857(-) agacaatagaa >hg38_chr9:131758446-131758456(+) AAACAAAGGCA >hg38_chr9:131817793-131817803(+) GCACAGTGGCG >hg38_chr9:131817839-131817849(+) GAACAAAGACG >hg38_chr9:131827394-131827404(-) ctataatggcc >hg38_chr9:131861161-131861171(-) TGACAATGAGT >hg38_chr9:131881634-131881644(-) taacaatgggg >hg38_chr9:131942554-131942564(-) GGACAATAGCC >hg38_chr9:131943121-131943131(+) ATACAAAGGAG >hg38_chr9:131999460-131999470(+) GGACAATGGGG >hg38_chr9:132007100-132007110(+) AAACACTGGAA >hg38_chr9:132015284-132015294(+) GAACAATGTCA >hg38_chr9:132019230-132019240(+) GTACAATGTCC >hg38_chr9:132021391-132021401(+) TAACAATGGAA >hg38_chr9:132033234-132033244(+) TAACAATGTTT >hg38_chr9:132033258-132033268(+) ATACAATATAT >hg38_chr9:132045511-132045521(+) TAACAGTGGTT >hg38_chr9:132090983-132090993(+) acacaatgaaa >hg38_chr9:132096793-132096803(-) agacaaaggat >hg38_chr9:132096828-132096838(-) caacaatgaaa >hg38_chr9:132125562-132125572(-) TGACAAAGGGA >hg38_chr9:132141449-132141459(-) AAACAATGGTG >hg38_chr9:132160748-132160758(-) GAACAAAGGGC >hg38_chr9:132224397-132224407(-) ccacaatggta >hg38_chr9:132266832-132266842(+) agacaatggtg >hg38_chr9:132266907-132266917(+) tgactatggat >hg38_chr9:132268861-132268871(+) cgacaaaggct >hg38_chr9:132271664-132271674(+) CAACAATGTAT >hg38_chr9:132271690-132271700(+) CAACAATGACA >hg38_chr9:132295162-132295172(-) TTACAACGGAT >hg38_chr9:132300390-132300400(+) ACACAATGATG >hg38_chr9:132366664-132366674(+) tcacaatgttg >hg38_chr9:132406767-132406777(+) CAACAAAGGCG >hg38_chr9:132425009-132425019(+) ACACAATAGGC >hg38_chr9:132441469-132441479(+) ACACAAAGGGA >hg38_chr9:132464742-132464752(-) atacaatagcc >hg38_chr9:132487756-132487766(+) ACACAATGCAC >hg38_chr9:132548858-132548868(+) GAATAATGGCC >hg38_chr9:132649719-132649729(+) ATACAATAAAA >hg38_chr9:132650407-132650417(-) ATACAATGAGA >hg38_chr9:132671335-132671345(-) AAACAATAGCA >hg38_chr9:132732717-132732727(-) AAACAAtgtct >hg38_chr9:132745391-132745401(-) GAACAATGAAA >hg38_chr9:132757122-132757132(+) TGACAACGGAA >hg38_chr9:132761103-132761113(+) tcacaatggga >hg38_chr9:132929751-132929761(-) atacaatgatg >hg38_chr9:132929801-132929811(-) gtacaatgggg >hg38_chr9:132941020-132941030(-) TAACAAAGGAC >hg38_chr9:132967358-132967368(+) aaacaatgaga >hg38_chr9:133008870-133008880(+) gaacaatgtag >hg38_chr9:133061352-133061362(-) AAACAAAGGGC >hg38_chr9:133133866-133133876(-) AAACAATGGGC >hg38_chr9:133147750-133147760(-) AAACAAAGGTG >hg38_chr9:133149165-133149175(-) AGACAATGGGA >hg38_chr9:133257497-133257507(+) AGACAATGGGA >hg38_chr9:133404005-133404015(+) GAACAATGCCT >hg38_chr9:133420426-133420436(+) gtacaaaggtg >hg38_chr9:133478589-133478599(-) TAACAATGGCC >hg38_chr9:133509603-133509613(+) CGACAAAGGCC >hg38_chr9:133558845-133558855(-) CAACAATGCAA >hg38_chr9:133638313-133638323(-) ACACAATGCAA >hg38_chr9:133675019-133675029(+) taacaataaaa >hg38_chr9:133691667-133691677(-) ACACAATGTGA >hg38_chr9:133697654-133697664(+) gaacaaaggac >hg38_chr9:133698318-133698328(-) caacaatagta >hg38_chr9:133735417-133735427(+) TAACAATGAGA >hg38_chr9:133882124-133882134(+) acacagtggac >hg38_chr9:133883485-133883495(+) AAACAATGAGG >hg38_chr9:133907716-133907726(-) TTACAAAGGCT >hg38_chr9:133960112-133960122(-) GAACAATGAGC >hg38_chr9:134213454-134213464(-) GCACAATGCTC >hg38_chr9:134214096-134214106(-) ACACAAAGGCA >hg38_chr9:134222136-134222146(+) GGACAATGGGG >hg38_chr9:134281688-134281698(+) CAACAATGTCC >hg38_chr9:134283252-134283262(-) caacaatgggG >hg38_chr9:134325982-134325992(+) AGACAATGGCC >hg38_chr9:134479346-134479356(-) aaactatgggg >hg38_chr9:134544561-134544571(-) GGACAATGGCC >hg38_chr9:134610177-134610187(-) ggacaaaggag >hg38_chr9:134676210-134676220(-) GAACAATACCG >hg38_chr9:134698793-134698803(-) GAACAAAGGCT >hg38_chr9:134725715-134725725(+) TCACAATGAAT >hg38_chr9:134725885-134725895(-) tcacaatggcc >hg38_chr9:134811655-134811665(-) GCACAATGAAG >hg38_chr9:134874487-134874497(-) gcacaaaggaa >hg38_chr9:134874556-134874566(-) gaacaatgaca >hg38_chr9:134900661-134900671(+) taacaatgatc >hg38_chr9:134928950-134928960(-) CGACACTGGTT >hg38_chr9:134936601-134936611(+) GAACAATGGGT >hg38_chr9:134936614-134936624(+) AGACAATGACC >hg38_chr9:134966899-134966909(+) GGACAATGGCC >hg38_chr9:135057161-135057171(+) taacaatatgt >hg38_chr9:135098691-135098701(-) GAACAATGCCC >hg38_chr9:135138281-135138291(+) GAACAAAGGGA >hg38_chr9:135199054-135199064(+) ATACGATGGTT >hg38_chr9:135295711-135295721(+) agacaaagggc >hg38_chr9:135305070-135305080(-) TCACAATGCAG >hg38_chr9:135319976-135319986(-) aaacaatgttt >hg38_chr9:135363735-135363745(+) CAACAATGACG >hg38_chr9:135499846-135499856(-) GCACAATGGCT >hg38_chr9:135636645-135636655(-) gcacaaaggaa >hg38_chr9:135644997-135645007(-) gaacaaaggag >hg38_chr9:135649679-135649689(+) agacaatggct >hg38_chr9:135903021-135903031(-) GGACAATGTTA >hg38_chr9:135907164-135907174(+) AGACAAAGGGC >hg38_chr9:135907194-135907204(+) CAACAAAGGCG >hg38_chr9:136100902-136100912(+) GGACAATGGGG >hg38_chr9:136134483-136134493(+) TGATAATGggc >hg38_chr9:136244490-136244500(+) AAACAAAGGTG >hg38_chr9:136267155-136267165(+) GAACGATGGGG >hg38_chr9:136342407-136342417(+) GAACAATGCAG >hg38_chr9:136364810-136364820(-) AAACAATGGCC >hg38_chr9:136526291-136526301(-) TGACAATGGGG >hg38_chr9:136526936-136526946(-) GGACAATGGGC >hg38_chr9:136530342-136530352(-) ACACAAAGGGT >hg38_chr9:136542423-136542433(+) CAACAATAGCT >hg38_chr9:136542597-136542607(+) ACACAATCGGC >hg38_chr9:136543930-136543940(+) AGACAATGGCC >hg38_chr9:136544672-136544682(-) CCACAATGGGC >hg38_chr9:136564485-136564495(-) AGACAATGCAG >hg38_chr9:136572308-136572318(+) ACACAATGGTC >hg38_chr9:136572348-136572358(-) GGACAATGGGG >hg38_chr9:136596749-136596759(-) GAACAAAGGGG >hg38_chr9:136596772-136596782(+) CGACAACGGCA >hg38_chr9:136725763-136725773(-) GCACAAAGGAA >hg38_chr9:136947213-136947223(-) GGACAATGGCC >hg38_chr9:136950225-136950235(-) CGACAATGGGT >hg38_chr9:136966436-136966446(+) tgacaaaggga >hg38_chr9:137025527-137025537(+) GGACAATAGGG >hg38_chr9:137046799-137046809(+) GGACAATGGGC >hg38_chr9:137070548-137070558(+) CGACAATAGCG >hg38_chr9:137075471-137075481(+) taacaatgggc >hg38_chr9:137087772-137087782(-) ATACAGTGGTG >hg38_chr9:137247797-137247807(-) TCACAATGGTT >hg38_chr9:137302319-137302329(+) TAACAATGGCA >hg38_chr9:137336915-137336925(+) taacaataaac >hg38_chr9:137371054-137371064(+) GGACAATGGCT >hg38_chr9:137371282-137371292(-) GGACAATGCAG >hg38_chr9:137373795-137373805(-) AGACAATGACC >hg38_chr9:137411793-137411803(+) GCACAATGGTG >hg38_chr9:137549932-137549942(+) GAACAATGCCC >hg38_chr9:137619598-137619608(+) AGACAATGCTG >hg38_chr9:137667054-137667064(+) GAACAATAGGG >hg38_chr9:137667067-137667077(-) GCACAAAGGAA >hg38_chr9:137679484-137679494(-) aaacaaaGGGA >hg38_chr9:137679906-137679916(-) AAACAATAAAT >hg38_chr9:137698383-137698393(-) AAACAATGGCA >hg38_chr9:137764597-137764607(-) agacaatggtc >hg38_chr9:137765829-137765839(-) gaacaatatag >hg38_chr9:137769333-137769343(-) atacaaaggaa >hg38_chr9:137769369-137769379(-) atacaatataa >hg38_chr9:137785947-137785957(-) ACACAAAGGAA >hg38_chr9:138022632-138022642(-) TTACAAAGGGC >hg38_chrM:16118-16128(-) GTACAATATTC >hg38_chrUn_GL000195v1:67026-67036(+) tgataatggat >hg38_chrUn_KI270336v1:194-204(-) atacaatgcat >hg38_chrUn_KI270336v1:816-826(-) atacaatacat >hg38_chrUn_KI270337v1:654-664(-) gtacattggta >hg38_chrUn_KI270466v1:716-726(+) atacaatatat >hg38_chrUn_KI270467v1:2284-2294(+) atacaatatat >hg38_chrX:446477-446487(-) AAACAAAGGGA >hg38_chrX:2784147-2784157(-) GGACAATGGTT >hg38_chrX:2790896-2790906(-) AAACAATGTCT >hg38_chrX:2791743-2791753(+) acacaatgagt >hg38_chrX:2820159-2820169(-) GAACTATGGGG >hg38_chrX:2928944-2928954(-) gaacaaaggag >hg38_chrX:2933707-2933717(+) AGACAAAGGAA >hg38_chrX:3160943-3160953(-) TCACAATGAGG >hg38_chrX:3160999-3161009(-) TCACAATGCAG >hg38_chrX:3190977-3190987(+) gaacagtgggt >hg38_chrX:3191011-3191021(+) taacaatgaac >hg38_chrX:3193425-3193435(+) AAACAATGCCT >hg38_chrX:3229896-3229906(+) ATACAATGGGT >hg38_chrX:3229980-3229990(+) GGATAATGGGT >hg38_chrX:3268964-3268974(-) ctacaatgtgg >hg38_chrX:3460661-3460671(+) GGACAATGGAC >hg38_chrX:3640115-3640125(+) CGACAATGGAG >hg38_chrX:4011082-4011092(-) TTACAATGATA >hg38_chrX:4129949-4129959(+) AGACAAAGGGA >hg38_chrX:4182663-4182673(-) AAACAATGGAG >hg38_chrX:4255060-4255070(+) TTACAATGAAT >hg38_chrX:4264056-4264066(+) AGACAATAGGG >hg38_chrX:4547332-4547342(-) TTACAATGCAT >hg38_chrX:4569956-4569966(-) ctacaatataa >hg38_chrX:4570024-4570034(-) aaacaatgaga >hg38_chrX:4617512-4617522(+) CCACAATAGGG >hg38_chrX:4710199-4710209(-) ttacaatggag >hg38_chrX:4710867-4710877(+) aaacaatacgg >hg38_chrX:4838463-4838473(-) aaacaatgacc >hg38_chrX:4869397-4869407(-) acacaatagga >hg38_chrX:4869429-4869439(+) gaacaatgaat >hg38_chrX:4901400-4901410(-) gaacaaaggtt >hg38_chrX:4943307-4943317(-) GAACAATGAAA >hg38_chrX:5146129-5146139(-) gaacaatgttt >hg38_chrX:5180503-5180513(+) TCACAATGCAA >hg38_chrX:5242836-5242846(-) TAACAATGTCT >hg38_chrX:5388348-5388358(-) atacaatagca >hg38_chrX:5416625-5416635(-) GCACAAAGGGA >hg38_chrX:5425122-5425132(-) gaacaatggct >hg38_chrX:5542049-5542059(-) CAACAATGGAT >hg38_chrX:5755208-5755218(+) Aaacaataact >hg38_chrX:5760241-5760251(-) GGACAATGGAG >hg38_chrX:5760306-5760316(+) ACACAATGCCC >hg38_chrX:5761418-5761428(+) GCACAAAGGAA >hg38_chrX:5833440-5833450(-) GAACAATAGCT >hg38_chrX:6095627-6095637(+) ACACAATAGAT >hg38_chrX:6201168-6201178(+) AAACAATGCAT >hg38_chrX:6264837-6264847(-) TTACAATGCAC >hg38_chrX:6279644-6279654(-) ACACAATGCTC >hg38_chrX:6307329-6307339(+) cgataatgagt >hg38_chrX:6682031-6682041(-) CAACAATGCAC >hg38_chrX:6682042-6682052(-) CTACAAAGGTA >hg38_chrX:6694417-6694427(-) TAACAATGCCA >hg38_chrX:6801507-6801517(-) GAACAATGACA >hg38_chrX:6801542-6801552(+) ACACAATATAA >hg38_chrX:6812407-6812417(-) TAACAATGGAA >hg38_chrX:6812453-6812463(+) TTACTATGGTT >hg38_chrX:6856410-6856420(-) gcacaatgaat >hg38_chrX:6864914-6864924(-) tgacaaaggta >hg38_chrX:6959174-6959184(+) gaacaatggga >hg38_chrX:7054962-7054972(-) AAACAATGCCT >hg38_chrX:7079204-7079214(+) gaacaatgaat >hg38_chrX:7120835-7120845(+) acacaatgaca >hg38_chrX:7132652-7132662(-) TCACAATGACC >hg38_chrX:7150998-7151008(+) ACACAATAGAA >hg38_chrX:7151027-7151037(+) GTACGATGGCA >hg38_chrX:7290873-7290883(-) TAACAATAGTG >hg38_chrX:7321783-7321793(-) GGACAAAGGGA >hg38_chrX:7332726-7332736(+) GCACAATGACT >hg38_chrX:7352549-7352559(-) GGACAAAGGAA >hg38_chrX:7359893-7359903(+) TGACAATGCTT >hg38_chrX:7412929-7412939(+) ACACAATGGCA >hg38_chrX:7438192-7438202(-) CAACAATAGAT >hg38_chrX:7457312-7457322(-) caacaaaggta >hg38_chrX:7457384-7457394(-) gaacaatggct >hg38_chrX:7480886-7480896(+) AGACAATGGAT >hg38_chrX:7543170-7543180(-) ACACAATATAT >hg38_chrX:7545761-7545771(+) CAACAATGCCA >hg38_chrX:7594178-7594188(+) TGACAATGGTT >hg38_chrX:7852318-7852328(+) tcacgatggaa >hg38_chrX:7867636-7867646(+) ATACAATGGGC >hg38_chrX:7908808-7908818(-) GGACAATGTCC >hg38_chrX:7926620-7926630(-) TCACAATAGAA >hg38_chrX:8083307-8083317(-) TAACAAAGGGC >hg38_chrX:8109206-8109216(-) ctacaaaggat >hg38_chrX:8183570-8183580(+) ACACAATGAAT >hg38_chrX:8218096-8218106(-) TAACAATGGAT >hg38_chrX:8298344-8298354(+) TGATAATGGAT >hg38_chrX:8319397-8319407(-) TGATAATGGTG >hg38_chrX:8721766-8721776(+) TTACAATGGGC >hg38_chrX:8815665-8815675(+) ctacaatgaat >hg38_chrX:8821438-8821448(+) AGACAAAGGGT >hg38_chrX:8899729-8899739(+) ggactatggca >hg38_chrX:8986615-8986625(+) tgacaatagga >hg38_chrX:8992977-8992987(-) GTACAATAAAG >hg38_chrX:8993023-8993033(+) TCATAATGGAC >hg38_chrX:9071468-9071478(-) atacaataaaa >hg38_chrX:9146609-9146619(+) agacaatgaaa >hg38_chrX:9147212-9147222(+) agacaatgaat >hg38_chrX:9150024-9150034(+) TTACAATGTGG >hg38_chrX:9180895-9180905(-) gaacaaaggag >hg38_chrX:9189560-9189570(-) GAACAATAGCT >hg38_chrX:9313551-9313561(+) caacaatagaa >hg38_chrX:9318558-9318568(+) ATATAATGGGT >hg38_chrX:9337108-9337118(+) taacaaaggat >hg38_chrX:9350087-9350097(-) GGATAATGGAT >hg38_chrX:9352861-9352871(-) GCACAATGACA >hg38_chrX:9379985-9379995(+) GCACAATAGTG >hg38_chrX:9534794-9534804(-) tgacaatgcct >hg38_chrX:9534852-9534862(+) aaacaatgtac >hg38_chrX:9584270-9584280(-) ttacaatgcct >hg38_chrX:9584349-9584359(+) AAACAATAGGA >hg38_chrX:9603257-9603267(-) GAACAATGGCT >hg38_chrX:9644431-9644441(-) GGACAATGCAT >hg38_chrX:9737478-9737488(+) acacaatgccc >hg38_chrX:9776170-9776180(-) AGACAATGTCT >hg38_chrX:9833021-9833031(+) GCACAATGGAA >hg38_chrX:9843188-9843198(-) aaacaataggg >hg38_chrX:9859701-9859711(+) GGACAAAGGGC >hg38_chrX:9911645-9911655(-) TCACAATGATC >hg38_chrX:9911686-9911696(-) AGACAAAGGCA >hg38_chrX:9912743-9912753(+) TCACAATGCTG >hg38_chrX:9915317-9915327(-) AAATAATGACG >hg38_chrX:9941476-9941486(+) ATACAATGGCC >hg38_chrX:9941490-9941500(+) TAACAAAGGGG >hg38_chrX:9984145-9984155(-) GAACAATAGCA >hg38_chrX:10010855-10010865(+) AAACAATGAAC >hg38_chrX:10048312-10048322(-) GAACAATAACA >hg38_chrX:10071886-10071896(-) caacaatgcac >hg38_chrX:10071929-10071939(-) gaacaataaag >hg38_chrX:10077570-10077580(-) CAACAATGAGA >hg38_chrX:10077686-10077696(+) TGACAATAGCT >hg38_chrX:10157666-10157676(-) GTACAATGTCA >hg38_chrX:10173766-10173776(-) tgacaatgcac >hg38_chrX:10319633-10319643(-) TAACAATGCCA >hg38_chrX:10319686-10319696(-) AAACAATGTAT >hg38_chrX:10349553-10349563(-) caacaatgctt >hg38_chrX:10381378-10381388(-) ACATAATGGGC >hg38_chrX:10450544-10450554(+) taacaataagt >hg38_chrX:10485760-10485770(+) AAACAATGCAT >hg38_chrX:10507603-10507613(-) AGACAATGCCA >hg38_chrX:10509124-10509134(+) GTACAATAGGG >hg38_chrX:10514752-10514762(-) TAATAATGGTT >hg38_chrX:10519100-10519110(+) TCATAATGGAA >hg38_chrX:10528514-10528524(+) acacaatgact >hg38_chrX:10545532-10545542(-) GAACAATGTTT >hg38_chrX:10546028-10546038(+) ACACAATAGAA >hg38_chrX:10563279-10563289(+) AAACAATAGTT >hg38_chrX:10564075-10564085(-) AGACAATAGAT >hg38_chrX:10568090-10568100(+) GAACAATAGGA >hg38_chrX:10570734-10570744(-) ctacagtggta >hg38_chrX:10578251-10578261(-) GGACAATGAGA >hg38_chrX:10580764-10580774(-) TGACAATAGAA >hg38_chrX:10599840-10599850(-) TGACAATGGCT >hg38_chrX:10599904-10599914(+) AAACAATGATT >hg38_chrX:10618461-10618471(+) GAACAATGATC >hg38_chrX:10620488-10620498(+) AGACAATGTCA >hg38_chrX:10620726-10620736(+) AAACAATGTCC >hg38_chrX:10631949-10631959(+) CAACAATGTCT >hg38_chrX:10632341-10632351(+) gtacaatggAA >hg38_chrX:10633361-10633371(-) taacaaaggaa >hg38_chrX:10634912-10634922(-) GAACAAAGACG >hg38_chrX:10635306-10635316(-) TCATAATGGTA >hg38_chrX:10635807-10635817(+) TAACAATAACA >hg38_chrX:10635843-10635853(-) AGACAATGACC >hg38_chrX:10636527-10636537(+) GGATAATGGTC >hg38_chrX:10670801-10670811(+) ACACAATGGCT >hg38_chrX:10701332-10701342(+) ACACAATAGAC >hg38_chrX:10708372-10708382(-) TAACAGTGGGA >hg38_chrX:10714209-10714219(+) ATACAATTGAA >hg38_chrX:10722691-10722701(+) GAACAAAGGGA >hg38_chrX:10748162-10748172(-) GGACAATAAAC >hg38_chrX:10768563-10768573(+) GGACAAAGGAC >hg38_chrX:10768594-10768604(+) AAACAATGGGG >hg38_chrX:10770392-10770402(-) GAACAAAGGAC >hg38_chrX:10782005-10782015(+) GAACAATGACT >hg38_chrX:10783742-10783752(-) taacaatgtat >hg38_chrX:10784258-10784268(+) TCACAATGCCT >hg38_chrX:10784305-10784315(+) CAACAATGGGC >hg38_chrX:10832994-10833004(-) AGACAATGTAA >hg38_chrX:10834313-10834323(+) TTACAATGCAG >hg38_chrX:10951290-10951300(-) TTACAATGTTG >hg38_chrX:11011772-11011782(+) GAACAATGAAT >hg38_chrX:11070277-11070287(-) TAACTATGGCA >hg38_chrX:11109857-11109867(-) CCACAATGTTC >hg38_chrX:11135984-11135994(+) AAACAATGGCT >hg38_chrX:11151059-11151069(-) AAACAAAGGAA >hg38_chrX:11180266-11180276(-) GAACAATGCAT >hg38_chrX:11188297-11188307(-) AAACAATGAGC >hg38_chrX:11238657-11238667(-) ccacaatgAAT >hg38_chrX:11265093-11265103(+) GCATAATGGGA >hg38_chrX:11370089-11370099(-) ATACAATTGAA >hg38_chrX:11393570-11393580(-) CTACAATGATG >hg38_chrX:11398446-11398456(-) TAACAATGACT >hg38_chrX:11430852-11430862(-) gcacaatgtac >hg38_chrX:11430907-11430917(-) agacaatggac >hg38_chrX:11450534-11450544(-) TTACAAAGGGC >hg38_chrX:11466227-11466237(+) AAACAATGTAA >hg38_chrX:11476641-11476651(+) gaacaatggga >hg38_chrX:11531934-11531944(-) GCACAAtgggg >hg38_chrX:11562449-11562459(+) ACACAATGCTT >hg38_chrX:11562475-11562485(+) ACATAATGGGA >hg38_chrX:11611417-11611427(+) CAACAATGAGA >hg38_chrX:11689486-11689496(+) taacaaaggaa >hg38_chrX:11714836-11714846(+) gaacaaaggga >hg38_chrX:11741692-11741702(+) GTACAAAGGAA >hg38_chrX:11809494-11809504(-) gaacaaaggca >hg38_chrX:11913117-11913127(+) TCACAATGTTT >hg38_chrX:12013267-12013277(-) ATATAATGGAG >hg38_chrX:12013286-12013296(-) ACATAATGGAA >hg38_chrX:12013329-12013339(-) ATACAAAGGGC >hg38_chrX:12131734-12131744(+) AAACAATGCTT >hg38_chrX:12132047-12132057(+) TAACAAAGGAA >hg38_chrX:12132059-12132069(+) ggacaatgagg >hg38_chrX:12151260-12151270(+) tcacaatggct >hg38_chrX:12181053-12181063(-) ctacaatgaaa >hg38_chrX:12205684-12205694(-) AAACAATGCAA >hg38_chrX:12240477-12240487(-) ACACAATAAAT >hg38_chrX:12292468-12292478(+) TTATAATGGTG >hg38_chrX:12304899-12304909(-) TTACAATAGCC >hg38_chrX:12309903-12309913(+) TCACAAAGGAC >hg38_chrX:12319104-12319114(-) agacaatgtgt >hg38_chrX:12423644-12423654(-) TAACAATAAAG >hg38_chrX:12423650-12423660(-) GTACAATAACA >hg38_chrX:12423696-12423706(-) AAACAATGTTA >hg38_chrX:12424108-12424118(-) TCACAATGACA >hg38_chrX:12439754-12439764(-) TCACAATGGAA >hg38_chrX:12439786-12439796(-) AAACAATGCCT >hg38_chrX:12488446-12488456(-) CAATAATGGAA >hg38_chrX:12554384-12554394(+) AAACAATGTGC >hg38_chrX:12554411-12554421(-) aaacaatgACC >hg38_chrX:12571391-12571401(+) CGACAATGGCT >hg38_chrX:12571413-12571423(+) AAACAAAGGGT >hg38_chrX:12594216-12594226(-) ctacaaaggaa >hg38_chrX:12598479-12598489(-) aaacaatggga >hg38_chrX:12600607-12600617(-) TGACAATGAAC >hg38_chrX:12610352-12610362(+) tgacaatggcc >hg38_chrX:12624658-12624668(+) AAACAATAGTT >hg38_chrX:12777730-12777740(+) CCACAATGACA >hg38_chrX:12810111-12810121(-) AAACAATGATA >hg38_chrX:12949796-12949806(+) TAACAATAGTA >hg38_chrX:12974731-12974741(+) AGACAAAGGCA >hg38_chrX:12976648-12976658(-) CCACAATGGAA >hg38_chrX:12982131-12982141(-) TGACAATAACG >hg38_chrX:12998445-12998455(+) CAACAATGGGG >hg38_chrX:13007937-13007947(-) GGACAATGGAG >hg38_chrX:13022720-13022730(-) TAACAATAGGG >hg38_chrX:13029904-13029914(+) TGACAATGGCT >hg38_chrX:13030243-13030253(+) TAACCATGGAT >hg38_chrX:13046594-13046604(-) TTACAAAGGCT >hg38_chrX:13075942-13075952(+) gtacaatggta >hg38_chrX:13108822-13108832(-) AAACAATGGAT >hg38_chrX:13121751-13121761(-) GGACAAAGGCA >hg38_chrX:13131846-13131856(-) ATACAATGGAG >hg38_chrX:13165950-13165960(-) ACACAATAGAG >hg38_chrX:13165969-13165979(+) TAACAATGCAA >hg38_chrX:13199582-13199592(+) AGACAATGGCT >hg38_chrX:13224398-13224408(-) TGacaaaggat >hg38_chrX:13224447-13224457(-) GAACAATAAGT >hg38_chrX:13265992-13266002(+) ttacaatgtct >hg38_chrX:13266913-13266923(-) AGACAATGCCA >hg38_chrX:13285621-13285631(+) ggacaataggc >hg38_chrX:13293780-13293790(+) TCACAATGCTG >hg38_chrX:13334638-13334648(+) TCACAATGTGT >hg38_chrX:13334683-13334693(+) TAACAATGGTT >hg38_chrX:13358015-13358025(-) ACACAAAGGAA >hg38_chrX:13369788-13369798(-) AGACAATGCAC >hg38_chrX:13386830-13386840(-) GAACAATGAGC >hg38_chrX:13415344-13415354(-) AAACAAAGGGA >hg38_chrX:13428123-13428133(+) ttacaatgatg >hg38_chrX:13428603-13428613(-) ccacaatggga >hg38_chrX:13470742-13470752(-) ATACAATGGAC >hg38_chrX:13470790-13470800(+) GCACAATGGAC >hg38_chrX:13550696-13550706(+) GTACAGTGGGA >hg38_chrX:13622702-13622712(-) ctacaatgacg >hg38_chrX:13699408-13699418(-) GTACAATGCTC >hg38_chrX:13718378-13718388(+) TTACAATGATG >hg38_chrX:13759939-13759949(+) gtacaataagc >hg38_chrX:13762184-13762194(+) gaacaatgcct >hg38_chrX:13814056-13814066(-) TCACAATGACT >hg38_chrX:13814108-13814118(+) TCACAATAGCT >hg38_chrX:13826886-13826896(+) GCACAATGAGC >hg38_chrX:13829354-13829364(-) taacaatagcc >hg38_chrX:13837666-13837676(+) ACACAATAGAG >hg38_chrX:13856279-13856289(+) ATACAATATTT >hg38_chrX:13856330-13856340(+) AGACAATGAAT >hg38_chrX:13857269-13857279(-) gaacaatggcc >hg38_chrX:13865360-13865370(-) TCACAATGTCA >hg38_chrX:13888914-13888924(+) GAACAATGGGC >hg38_chrX:13891934-13891944(+) GAACAATGAAC >hg38_chrX:13903821-13903831(+) GAACAAAGGAC >hg38_chrX:13939662-13939672(-) GAACAATAAAA >hg38_chrX:13939716-13939726(+) TGACAATAGAT >hg38_chrX:13944950-13944960(+) tcacaatgttc >hg38_chrX:13945186-13945196(-) gaacaatgaag >hg38_chrX:14026299-14026309(+) GCACAATGGCA >hg38_chrX:14026307-14026317(+) GCATAATGGCC >hg38_chrX:14027696-14027706(-) aaacaatgccc >hg38_chrX:14039462-14039472(-) AAACAATGCTT >hg38_chrX:14095801-14095811(-) GTACACTGGAG >hg38_chrX:14102850-14102860(-) acacaatagct >hg38_chrX:14352090-14352100(+) AAACAAAGGAG >hg38_chrX:14352117-14352127(-) AAACAATAGCG >hg38_chrX:14352516-14352526(+) ACACAAAGGCG >hg38_chrX:14361620-14361630(+) TAACAATAAGC >hg38_chrX:14398850-14398860(+) AGACAAAGGAA >hg38_chrX:14406596-14406606(-) ATACAATGGAA >hg38_chrX:14407336-14407346(+) TGACAATGGAT >hg38_chrX:14410660-14410670(+) taataatggac >hg38_chrX:14488199-14488209(-) AGACAATGGCA >hg38_chrX:14488206-14488216(-) TCACAATAGAC >hg38_chrX:14520311-14520321(-) TAACAATGAGA >hg38_chrX:14598438-14598448(-) TTACAATGTTA >hg38_chrX:14638118-14638128(-) TAACAATGCCA >hg38_chrX:14728660-14728670(+) TCACAATGGGC >hg38_chrX:14794924-14794934(+) TGACAATAGCT >hg38_chrX:14817629-14817639(-) tgacaatgtaa >hg38_chrX:14865450-14865460(-) TTATAATGGGG >hg38_chrX:14887856-14887866(-) TGACAATGGTG >hg38_chrX:14920396-14920406(-) AAACAAAGGTT >hg38_chrX:14939854-14939864(-) tgacaatggcg >hg38_chrX:15332542-15332552(+) ACACAATGTAG >hg38_chrX:15340994-15341004(+) ggacaaaggag >hg38_chrX:15343834-15343844(-) gaacaatgtca >hg38_chrX:15343849-15343859(+) ttacaatgtgt >hg38_chrX:15344247-15344257(+) TGACAATGGCA >hg38_chrX:15349265-15349275(-) caacaatggcc >hg38_chrX:15386445-15386455(-) gcacaatgtct >hg38_chrX:15399264-15399274(+) AAACAATAGCT >hg38_chrX:15518434-15518444(-) ggataatggag >hg38_chrX:15525026-15525036(-) AAACAATGGAA >hg38_chrX:15525049-15525059(-) TAATAATGGCT >hg38_chrX:15533578-15533588(-) AGACAATGACA >hg38_chrX:15576355-15576365(+) GTACAATGTAC >hg38_chrX:15578285-15578295(-) TGACAATGGAC >hg38_chrX:15586484-15586494(+) gaacaaaggta >hg38_chrX:15606657-15606667(+) ACACAATGCAT >hg38_chrX:15707351-15707361(-) ttacaataggc >hg38_chrX:15721486-15721496(-) ctacaatgaga >hg38_chrX:15721518-15721528(+) acataatggtg >hg38_chrX:15731973-15731983(+) TAACAATAGCT >hg38_chrX:15747713-15747723(-) GAACAATAGGC >hg38_chrX:15769517-15769527(-) GAACAAAGGCA >hg38_chrX:15785476-15785486(+) atacaatagaa >hg38_chrX:15816675-15816685(-) TAACAAAGGCA >hg38_chrX:15847563-15847573(-) TCACAATGATG >hg38_chrX:15847640-15847650(-) TAACGATGGGA >hg38_chrX:15854339-15854349(+) AGACAATGGCA >hg38_chrX:15856055-15856065(+) TTACAAAGGAA >hg38_chrX:15866458-15866468(+) gaacaatgaat >hg38_chrX:15883222-15883232(+) atacaatgaac >hg38_chrX:15909105-15909115(-) GAACAATGGAG >hg38_chrX:15934133-15934143(-) GAACAATGATT >hg38_chrX:15934178-15934188(+) TAACAATGGTG >hg38_chrX:15934190-15934200(+) TAACAATGATG >hg38_chrX:15935066-15935076(+) CAACAATGCAA >hg38_chrX:15947879-15947889(-) AAACAAAGGGG >hg38_chrX:15987482-15987492(+) GAACAAAGGAG >hg38_chrX:15987494-15987504(-) AAACAATGCCC >hg38_chrX:15991276-15991286(-) atataatggaa >hg38_chrX:16042232-16042242(+) gaacaatgcat >hg38_chrX:16067990-16068000(+) AAACAATGCAG >hg38_chrX:16096238-16096248(-) tcacaatagga >hg38_chrX:16156203-16156213(+) TGACAATAGCA >hg38_chrX:16156225-16156235(-) TTACAATGCAA >hg38_chrX:16159728-16159738(-) ggacaatggta >hg38_chrX:16159784-16159794(-) taacaatggtc >hg38_chrX:16162642-16162652(-) agacaatgggg >hg38_chrX:16176466-16176476(+) aaacaataggt >hg38_chrX:16176498-16176508(-) atacaatgtat >hg38_chrX:16176505-16176515(-) gaacaatatac >hg38_chrX:16176822-16176832(+) gtacaatattc >hg38_chrX:16176905-16176915(+) agacaacggga >hg38_chrX:16178529-16178539(-) ttacaaaggct >hg38_chrX:16178607-16178617(-) agacaatggag >hg38_chrX:16199595-16199605(+) agacaatagct >hg38_chrX:16267663-16267673(-) GAACAATGATA >hg38_chrX:16375760-16375770(+) taacaatgatg >hg38_chrX:16406152-16406162(-) AGACAATGCCT >hg38_chrX:16464596-16464606(-) AAACTATGGGT >hg38_chrX:16464629-16464639(+) GGACAATGAAG >hg38_chrX:16483470-16483480(+) AGACAATAGCA >hg38_chrX:16486654-16486664(+) ctacaataggc >hg38_chrX:16577698-16577708(+) gaacaaaggtg >hg38_chrX:16601304-16601314(+) GAACAATGGAG >hg38_chrX:16629433-16629443(+) gcacaatgaca >hg38_chrX:16637787-16637797(+) GAACAATGGCT >hg38_chrX:16642797-16642807(-) TAACAATAAAA >hg38_chrX:16643292-16643302(+) AGACAAAGGGA >hg38_chrX:16645806-16645816(-) tgataatggca >hg38_chrX:16658368-16658378(-) gtacagtggaa >hg38_chrX:16658407-16658417(-) ccacaatgggg >hg38_chrX:16665347-16665357(+) tcacaatgcca >hg38_chrX:16665366-16665376(+) aaacaatgcag >hg38_chrX:16687149-16687159(-) taacaatgacc >hg38_chrX:16806056-16806066(+) CCACAATAGTT >hg38_chrX:16846215-16846225(+) ATACAATGAAA >hg38_chrX:16883150-16883160(-) AAACAAAGGAT >hg38_chrX:16893077-16893087(+) agacaataacg >hg38_chrX:16958593-16958603(+) gaacaatagtc >hg38_chrX:16958627-16958637(+) gaactatggcc >hg38_chrX:16963508-16963518(-) AAACAATGGAA >hg38_chrX:17046566-17046576(+) GAACAAAGGCC >hg38_chrX:17069497-17069507(-) AGACAATGTCC >hg38_chrX:17075182-17075192(-) TTACAAAGGAT >hg38_chrX:17129677-17129687(-) AAACAATAGGG >hg38_chrX:17166142-17166152(+) TAACAATAGCG >hg38_chrX:17226925-17226935(-) ttacaatggat >hg38_chrX:17253051-17253061(+) AGACAATAGAG >hg38_chrX:17267043-17267053(+) GCACAATGATT >hg38_chrX:17296072-17296082(-) TGACAATGGTC >hg38_chrX:17296103-17296113(+) ACACAATGGTC >hg38_chrX:17356223-17356233(+) ggacaatggcc >hg38_chrX:17415550-17415560(+) TAACAGTGGAA >hg38_chrX:17429691-17429701(-) GGACAATAGCT >hg38_chrX:17429715-17429725(-) TTACTATGGGC >hg38_chrX:17435792-17435802(+) ACATAATGGAG >hg38_chrX:17439720-17439730(+) aaacaatgcta >hg38_chrX:17456650-17456660(-) ACACAAAGGGA >hg38_chrX:17461994-17462004(-) ggacaatgggc >hg38_chrX:17464457-17464467(+) TAACAATGAGA >hg38_chrX:17537838-17537848(+) AGACAATGCCC >hg38_chrX:17542191-17542201(-) GGACAATGTTG >hg38_chrX:17547884-17547894(-) caacaatgcag >hg38_chrX:17560765-17560775(-) AAACAATAGGA >hg38_chrX:17566615-17566625(-) agacaatgaat >hg38_chrX:17592266-17592276(+) AAACAATGTCT >hg38_chrX:17596191-17596201(+) TCACTATGGAT >hg38_chrX:17601947-17601957(-) TAACAATAGTT >hg38_chrX:17608657-17608667(+) AAACAATGAAA >hg38_chrX:17635834-17635844(-) GAACAATGCAA >hg38_chrX:17670107-17670117(+) ACACAATGGGA >hg38_chrX:17670123-17670133(+) ACACAATGGTG >hg38_chrX:17690248-17690258(+) ACACAATAGCA >hg38_chrX:17710428-17710438(+) agacaatggaa >hg38_chrX:17711639-17711649(-) TGACAATGGTG >hg38_chrX:17723315-17723325(-) TCACAATGCCG >hg38_chrX:17733726-17733736(-) TAACAATGAGT >hg38_chrX:17743456-17743466(-) TTACTATGGAA >hg38_chrX:17793079-17793089(+) TAACAATGACC >hg38_chrX:17851620-17851630(-) TTACAATGGTC >hg38_chrX:17851643-17851653(+) TAACACTGGTT >hg38_chrX:17876363-17876373(-) acacaataggc >hg38_chrX:17988876-17988886(-) GGACAAAGGAG >hg38_chrX:18258274-18258284(+) TAACAATGAGA >hg38_chrX:18265057-18265067(+) caacaatggaa >hg38_chrX:18293845-18293855(-) gtacaatgaag >hg38_chrX:18345154-18345164(-) GCACAATGGTT >hg38_chrX:18359081-18359091(-) TAATAATGGTC >hg38_chrX:18382829-18382839(-) ACACAATGACA >hg38_chrX:18395072-18395082(+) gaacaatgatt >hg38_chrX:18395080-18395090(-) ctacaatgaat >hg38_chrX:18410149-18410159(-) taacaatgatt >hg38_chrX:18428230-18428240(+) taacaatagct >hg38_chrX:18428239-18428249(-) caacaatgcag >hg38_chrX:18442175-18442185(+) TCACAATGAGC >hg38_chrX:18461687-18461697(+) GGACAATGCCC >hg38_chrX:18491944-18491954(+) aaacaatgttg >hg38_chrX:18533602-18533612(-) TGACAATAGGG >hg38_chrX:18539708-18539718(+) tgacaatgaga >hg38_chrX:18548211-18548221(+) taacaaaggca >hg38_chrX:18549871-18549881(+) ACACAATGTAA >hg38_chrX:18617554-18617564(-) GAACAAAGGCA >hg38_chrX:18793749-18793759(-) ctacaatgtaa >hg38_chrX:18794071-18794081(-) aaacaatagag >hg38_chrX:18794083-18794093(+) taacaataaca >hg38_chrX:18983622-18983632(+) GAACAATGGCC >hg38_chrX:18985207-18985217(-) GGACAATGAAT >hg38_chrX:18997907-18997917(-) TAACAATAATT >hg38_chrX:18997913-18997923(-) TTACAATAACA >hg38_chrX:19067975-19067985(-) tgacaatgctt >hg38_chrX:19121304-19121314(-) gaacaatagag >hg38_chrX:19137307-19137317(-) TGACAATGAGA >hg38_chrX:19342594-19342604(-) tgacaatggtc >hg38_chrX:19342600-19342610(-) taacaatgaca >hg38_chrX:19342615-19342625(-) taacaatgaat >hg38_chrX:19388935-19388945(+) acacaatggaa >hg38_chrX:19587481-19587491(-) acacaatgtaa >hg38_chrX:19595300-19595310(+) GCACAATGGTA >hg38_chrX:19646520-19646530(+) CAACAATGAAG >hg38_chrX:19647151-19647161(-) TAACAATGGTG >hg38_chrX:19662289-19662299(-) atacaatgtct >hg38_chrX:19663413-19663423(+) TTACAATGGAG >hg38_chrX:19724562-19724572(-) ctacaatggca >hg38_chrX:19746760-19746770(+) AAACAATGACA >hg38_chrX:19758890-19758900(-) TGATAATGGCT >hg38_chrX:19805208-19805218(-) GAACAATGTAT >hg38_chrX:19990364-19990374(+) TTACAATGCAG >hg38_chrX:20133818-20133828(+) GAATAATGGCT >hg38_chrX:20134947-20134957(+) atacaatgctt >hg38_chrX:20141927-20141937(-) TAACAATAGGA >hg38_chrX:20172950-20172960(-) CAACAATGCAT >hg38_chrX:20182548-20182558(-) atacAATAGAA >hg38_chrX:20199786-20199796(+) acacaaaggac >hg38_chrX:20199847-20199857(+) agacaatggaa >hg38_chrX:20238328-20238338(+) acacaatgctg >hg38_chrX:20263792-20263802(-) gcacaatgcct >hg38_chrX:20298585-20298595(-) atacaataatt >hg38_chrX:20442696-20442706(+) TCATAATGGAA >hg38_chrX:20442737-20442747(+) GAACAAAGGGC >hg38_chrX:20442760-20442770(+) GAACAAAGGGC >hg38_chrX:20461118-20461128(+) gcacaatgcct >hg38_chrX:20471748-20471758(+) ACACAAAGGAC >hg38_chrX:20513567-20513577(+) TGACAATAGGA >hg38_chrX:20563357-20563367(-) taacaatgcac >hg38_chrX:20663441-20663451(-) GCACAATGCCT >hg38_chrX:20664257-20664267(+) ctacaatgtgg >hg38_chrX:20712897-20712907(-) GTACAATAGCT >hg38_chrX:20718647-20718657(-) TGACAATGCAG >hg38_chrX:20753257-20753267(+) tgacaataata >hg38_chrX:20857946-20857956(+) CAACAATGCCT >hg38_chrX:20965306-20965316(-) TGACAAAGGCG >hg38_chrX:20969248-20969258(-) atacactggca >hg38_chrX:20980048-20980058(-) acacaatgcac >hg38_chrX:20980115-20980125(+) atacaatgcct >hg38_chrX:20980598-20980608(-) taacaaagggc >hg38_chrX:21024859-21024869(+) taacaatgtat >hg38_chrX:21047134-21047144(+) GCACAATGAAA >hg38_chrX:21107457-21107467(+) caacaatgggg >hg38_chrX:21159009-21159019(+) aaacaatagat >hg38_chrX:21174577-21174587(+) TAACAATAGCA >hg38_chrX:21379193-21379203(+) GTACAATACTC >hg38_chrX:21383150-21383160(+) AAACAATGGAT >hg38_chrX:21394971-21394981(+) AAACAATGCCT >hg38_chrX:21436137-21436147(-) AAACAATGGAT >hg38_chrX:21436168-21436178(-) AAACAATGAGC >hg38_chrX:21457937-21457947(-) GTACAATGAAA >hg38_chrX:21457953-21457963(-) CTACAATAGCA >hg38_chrX:21472253-21472263(+) TGACAATGACT >hg38_chrX:21480095-21480105(-) gcacaatgtta >hg38_chrX:21480136-21480146(-) tgacaatggat >hg38_chrX:21493679-21493689(+) GAACAATGAAT >hg38_chrX:21527890-21527900(-) GAATAATGGAA >hg38_chrX:21559531-21559541(+) gaacaaagggt >hg38_chrX:21637927-21637937(-) TAACAAAGGTC >hg38_chrX:21643534-21643544(-) TAATAATGATA >hg38_chrX:21646221-21646231(-) TCACAATGAGA >hg38_chrX:21659038-21659048(-) GAACAAAGGTG >hg38_chrX:21746083-21746093(-) ggacaatgaga >hg38_chrX:21747228-21747238(-) ATACAAAGGAT >hg38_chrX:21747701-21747711(-) GAACAATGGCT >hg38_chrX:21749023-21749033(-) GCACAATGTGG >hg38_chrX:21749037-21749047(+) AGATAATGGAG >hg38_chrX:21749055-21749065(+) GAACAATGACA >hg38_chrX:21806312-21806322(+) ACACAAAGGTT >hg38_chrX:21806324-21806334(-) ACACAAAGGAG >hg38_chrX:21806972-21806982(+) ataCAATGGGT >hg38_chrX:21883079-21883089(-) AGACAATGGTC >hg38_chrX:21883105-21883115(-) AGACAAAGGAC >hg38_chrX:21885179-21885189(-) AGACAATGACC >hg38_chrX:21885735-21885745(+) TAACTATGGCA >hg38_chrX:21904809-21904819(+) TAACAATGCAT >hg38_chrX:21905568-21905578(-) aaacaatatat >hg38_chrX:21930704-21930714(+) GAACAATGGGC >hg38_chrX:21931229-21931239(+) acacaatgctc >hg38_chrX:21932606-21932616(-) AAACAATAAAT >hg38_chrX:21943214-21943224(-) GGACAAAGGGA >hg38_chrX:21943272-21943282(+) TCACAATAGGA >hg38_chrX:21947238-21947248(-) TCACAATGGGG >hg38_chrX:21992788-21992798(+) TCACAATAATA >hg38_chrX:22035652-22035662(-) aaactatggcc >hg38_chrX:22095469-22095479(-) ACACAATAGAT >hg38_chrX:22109180-22109190(+) atacaatggtg >hg38_chrX:22109206-22109216(+) ggacaatggca >hg38_chrX:22217083-22217093(-) GAACAATGGGA >hg38_chrX:22217382-22217392(+) TAACAAAGGAA >hg38_chrX:22228088-22228098(+) GAACAAAGGCA >hg38_chrX:22250165-22250175(+) GAACAATGAGA >hg38_chrX:22284945-22284955(-) GAACAAAGGGA >hg38_chrX:22313954-22313964(-) TGACAATGAAC >hg38_chrX:22320342-22320352(-) AAACAAAGGAA >hg38_chrX:22357197-22357207(-) ctacaatatac >hg38_chrX:22367175-22367185(+) GAACAATGGCA >hg38_chrX:22390391-22390401(+) TAACAATGACA >hg38_chrX:22397829-22397839(+) GGATAATGGGT >hg38_chrX:22397879-22397889(-) gaactatggaa >hg38_chrX:22420483-22420493(+) TAACAATAAGT >hg38_chrX:22420536-22420546(-) AAACAATGCCA >hg38_chrX:22455772-22455782(+) atacaatggtg >hg38_chrX:22455787-22455797(-) TTACAAtgtct >hg38_chrX:22520543-22520553(-) atactatggca >hg38_chrX:22527456-22527466(+) ACACAATGGAG >hg38_chrX:22662455-22662465(-) CAACAATGGTT >hg38_chrX:22673853-22673863(-) CCACAATGACT >hg38_chrX:22673877-22673887(+) TAACAATGCTT >hg38_chrX:22698778-22698788(-) TAACAATGGAT >hg38_chrX:22801109-22801119(+) ATACAATGTTC >hg38_chrX:22811104-22811114(-) atactatggac >hg38_chrX:22811109-22811119(-) gaacaatacta >hg38_chrX:22850642-22850652(+) AAACAATAGAT >hg38_chrX:22850665-22850675(+) ACACAATGGAA >hg38_chrX:22889360-22889370(-) AAACAATGGCT >hg38_chrX:22903989-22903999(-) GCACAATGTAC >hg38_chrX:22908778-22908788(-) GAACAATGGTT >hg38_chrX:23141118-23141128(-) GAATAATGGGT >hg38_chrX:23264138-23264148(+) GTACAAAGGAA >hg38_chrX:23292794-23292804(-) ACATAATGGTT >hg38_chrX:23292817-23292827(+) AAATAATGGCT >hg38_chrX:23344505-23344515(+) CAATAATGGGT >hg38_chrX:23356645-23356655(-) GTACAATGGCA >hg38_chrX:23437023-23437033(+) aaacaatgggg >hg38_chrX:23451258-23451268(-) gcacaatggat >hg38_chrX:23480152-23480162(+) TAACAATAGAG >hg38_chrX:23480165-23480175(+) AAACAATAGTA >hg38_chrX:23493229-23493239(+) ATACAATGCCT >hg38_chrX:23497088-23497098(+) AAACAATGGTT >hg38_chrX:23505750-23505760(-) taacaaaggga >hg38_chrX:23509386-23509396(+) agacaatgggg >hg38_chrX:23554507-23554517(+) CAACAATGTTC >hg38_chrX:23732986-23732996(+) TGACAATGAGG >hg38_chrX:23744676-23744686(-) GAACAATGTGA >hg38_chrX:23756353-23756363(+) CGATAATGGGG >hg38_chrX:23788503-23788513(-) ATACAAAGGCT >hg38_chrX:23796671-23796681(+) GAATAATAGCG >hg38_chrX:23797604-23797614(-) GGACAATATAC >hg38_chrX:23811582-23811592(+) GAACAATAGAC >hg38_chrX:23838929-23838939(-) GGACAATGAAA >hg38_chrX:23902586-23902596(+) CTACAATGGAA >hg38_chrX:23936425-23936435(-) ctacaatgtga >hg38_chrX:24081098-24081108(-) GAACAATGTTG >hg38_chrX:24084687-24084697(-) GGACAAAGGTC >hg38_chrX:24138745-24138755(+) aaacaataggt >hg38_chrX:24138790-24138800(+) gaacaataggt >hg38_chrX:24146919-24146929(-) TCACAATAGGA >hg38_chrX:24226868-24226878(-) gaacaataaga >hg38_chrX:24226886-24226896(-) ttataatggac >hg38_chrX:24268924-24268934(+) ttacaatgact >hg38_chrX:24357817-24357827(-) ttacaataaat >hg38_chrX:24357844-24357854(+) acacaatgata >hg38_chrX:24388696-24388706(-) acacaatggaa >hg38_chrX:24449445-24449455(+) GAACAATAGCT >hg38_chrX:24515566-24515576(+) CGATAATGAAT >hg38_chrX:24515656-24515666(-) AAACAATGCTA >hg38_chrX:24529340-24529350(-) atacaatggga >hg38_chrX:24549520-24549530(-) ACATAATGGAA >hg38_chrX:24549529-24549539(-) TAACAATGAAC >hg38_chrX:24590319-24590329(+) GAACAAAGGAT >hg38_chrX:24607699-24607709(+) ATACAATAAAA >hg38_chrX:24616014-24616024(-) agacaaaggag >hg38_chrX:24658930-24658940(-) AGACAATGTTA >hg38_chrX:24672967-24672977(-) AAACAATAGCC >hg38_chrX:24705881-24705891(+) ctacaatataa >hg38_chrX:24726737-24726747(-) TCACAATGACA >hg38_chrX:24752657-24752667(+) GAACAATAGTG >hg38_chrX:24768481-24768491(+) TTATAATGGTG >hg38_chrX:24846957-24846967(+) TTACAATAAAT >hg38_chrX:24846999-24847009(+) TTACAATAGAT >hg38_chrX:24884838-24884848(+) TGACAAAGGAA >hg38_chrX:24951576-24951586(-) TGACAATGTAC >hg38_chrX:24956631-24956641(+) ctacaatagaa >hg38_chrX:25006438-25006448(-) AAACAAAGGAG >hg38_chrX:25017225-25017235(+) TTACAAAGGAT >hg38_chrX:25018992-25019002(+) AAACAATGGTT >hg38_chrX:25050158-25050168(-) AAACAATAGCC >hg38_chrX:25050562-25050572(-) AGACAATGGCA >hg38_chrX:25105643-25105653(+) ATACAATAGAA >hg38_chrX:25112404-25112414(-) agacaatggga >hg38_chrX:25153199-25153209(-) ATACAATGGTG >hg38_chrX:25191546-25191556(-) GGACAAAGGCA >hg38_chrX:25278446-25278456(-) TTACAATAGTA >hg38_chrX:25278600-25278610(-) TTACAATGATA >hg38_chrX:25504013-25504023(-) aaacaaaggct >hg38_chrX:25604243-25604253(-) taacaataaag >hg38_chrX:25604281-25604291(-) tgacaatgatt >hg38_chrX:25604287-25604297(-) aaacaatgaca >hg38_chrX:25969111-25969121(+) ccacaatgaag >hg38_chrX:26459933-26459943(+) TTATAATGGCT >hg38_chrX:26467448-26467458(+) aaacaaaggga >hg38_chrX:26467479-26467489(+) aaacaatagta >hg38_chrX:26522728-26522738(-) ggacaaaggac >hg38_chrX:26592357-26592367(+) AAACAATGGAG >hg38_chrX:26592379-26592389(-) AAACAATGCTT >hg38_chrX:26711858-26711868(+) gtacaatgatg >hg38_chrX:26722468-26722478(+) tcacaatgggt >hg38_chrX:26755256-26755266(-) agacaaaggaa >hg38_chrX:27051904-27051914(+) atacaaaggaa >hg38_chrX:27555627-27555637(+) caataatggat >hg38_chrX:27555671-27555681(+) atacaatgtta >hg38_chrX:27672577-27672587(+) TTACAATAGGA >hg38_chrX:27825049-27825059(-) GAACAATGTGG >hg38_chrX:28104141-28104151(+) TAACAATGaca >hg38_chrX:28104147-28104157(+) TGacaatagta >hg38_chrX:28323292-28323302(+) agacaatgtct >hg38_chrX:28477228-28477238(-) acacaatgggg >hg38_chrX:28484130-28484140(+) CAACAATAGAC >hg38_chrX:28484173-28484183(-) CCACAATGAGT >hg38_chrX:28495153-28495163(+) agactatggat >hg38_chrX:28498878-28498888(+) GGACAAAGGGA >hg38_chrX:28507911-28507921(+) TTACAAAGGAA >hg38_chrX:28543219-28543229(+) caacaatgaaa >hg38_chrX:28570995-28571005(-) GAACAATGATG >hg38_chrX:28587158-28587168(+) AAACACTGGAA >hg38_chrX:28587976-28587986(-) TCACAATGCGT >hg38_chrX:28674027-28674037(-) ATACAATGCAC >hg38_chrX:28674056-28674066(-) GGACAATGGTT >hg38_chrX:28674088-28674098(+) AAACAATGCGA >hg38_chrX:28731467-28731477(-) GCACAATAGAA >hg38_chrX:28849212-28849222(-) GAACAATGGTG >hg38_chrX:28879226-28879236(+) ATACAATGAGA >hg38_chrX:28887077-28887087(+) Ttactatggct >hg38_chrX:28889755-28889765(-) TTACAATGCCT >hg38_chrX:28900231-28900241(+) GAACAATGTGT >hg38_chrX:28987958-28987968(+) AAACAATAAAA >hg38_chrX:28987980-28987990(+) ACACAATAGCA >hg38_chrX:28987995-28988005(+) ATACAATGCAA >hg38_chrX:29015106-29015116(+) TCACAATGATT >hg38_chrX:29023355-29023365(-) TCACAATGGCC >hg38_chrX:29023398-29023408(+) TGACAATGCAG >hg38_chrX:29044044-29044054(+) AGACAAAGGAT >hg38_chrX:29050762-29050772(-) AGACAAAGGGC >hg38_chrX:29068861-29068871(+) gaacaataact >hg38_chrX:29068876-29068886(-) tcacaatgaag >hg38_chrX:29091001-29091011(+) CAACAATGCCA >hg38_chrX:29155497-29155507(-) AGACAATGAGT >hg38_chrX:29155516-29155526(-) TAACAAAGGAA >hg38_chrX:29243024-29243034(-) CCACAATGGAT >hg38_chrX:29310535-29310545(+) ACATAATGGAG >hg38_chrX:29310564-29310574(+) TGACAATGTTA >hg38_chrX:29310584-29310594(-) GGACAATGACA >hg38_chrX:29428192-29428202(+) TGACAATGGCA >hg38_chrX:29549692-29549702(+) TAACAGTGGGA >hg38_chrX:29672729-29672739(+) GCACAAAGGAC >hg38_chrX:29726978-29726988(+) TGACAATGACT >hg38_chrX:29775404-29775414(+) GGACAATAGAT >hg38_chrX:29796406-29796416(+) aaataatggtt >hg38_chrX:29818320-29818330(+) GGACAATAAGA >hg38_chrX:29832761-29832771(+) acacaATGTTA >hg38_chrX:29879051-29879061(+) atacaatggaa >hg38_chrX:29958057-29958067(-) AAACAATGGCC >hg38_chrX:29958073-29958083(+) GTACTATGGAC >hg38_chrX:30008193-30008203(+) TCACAATGAAT >hg38_chrX:30008221-30008231(+) ACACAATGCTG >hg38_chrX:30027765-30027775(+) ggacaatagac >hg38_chrX:30087341-30087351(-) caacaatgtat >hg38_chrX:30087633-30087643(-) gaacaataaga >hg38_chrX:30087665-30087675(-) acacaatgaaa >hg38_chrX:30145796-30145806(+) taacaatgctg >hg38_chrX:30154339-30154349(-) ccacaatggca >hg38_chrX:30182479-30182489(+) AAACAATAGGA >hg38_chrX:30196552-30196562(-) TAACAATATCC >hg38_chrX:30219671-30219681(-) GAACAAAGGTT >hg38_chrX:30226954-30226964(+) caacaatgaaa >hg38_chrX:30226970-30226980(+) taacaacgcgt >hg38_chrX:30226995-30227005(-) taacaatgaag >hg38_chrX:30241903-30241913(-) TTACAATGTGC >hg38_chrX:30241951-30241961(+) GAACAATAGCC >hg38_chrX:30246969-30246979(-) AAACAATAGCC >hg38_chrX:30275400-30275410(+) GAACAGTGGAA >hg38_chrX:30275411-30275421(+) ATATAATGGAT >hg38_chrX:30275451-30275461(-) TCACAAAGGTA >hg38_chrX:30339585-30339595(-) AGACAATGAAT >hg38_chrX:30386242-30386252(+) AGACAAAGGCA >hg38_chrX:30393816-30393826(+) TTATAATGGGA >hg38_chrX:30414669-30414679(-) TTACAATGCCA >hg38_chrX:30466976-30466986(-) TAAGAATggta >hg38_chrX:30489591-30489601(+) ACATAATGGAG >hg38_chrX:30499415-30499425(-) GGACAATGGGT >hg38_chrX:30505307-30505317(-) agacaatgatt >hg38_chrX:30618568-30618578(-) aaacaatcggc >hg38_chrX:30827883-30827893(+) TTACAATAGGC >hg38_chrX:30844259-30844269(-) GGACAATGAGC >hg38_chrX:30858477-30858487(+) AAACAAAGGAA >hg38_chrX:30864022-30864032(+) TTATAATGGGC >hg38_chrX:30864041-30864051(+) ATACAATAAGT >hg38_chrX:30908298-30908308(+) CCACAATGAAA >hg38_chrX:30940220-30940230(-) gaacaaaggca >hg38_chrX:30996075-30996085(-) TGATAATGGTA >hg38_chrX:30996139-30996149(-) AGACAATGATC >hg38_chrX:31041116-31041126(+) ccacaatgaga >hg38_chrX:31041137-31041147(+) ttacaatggct >hg38_chrX:31046443-31046453(+) AAACAATGTGT >hg38_chrX:31046462-31046472(-) GAACAATAGAT >hg38_chrX:31073165-31073175(-) agacaatgaca >hg38_chrX:31126385-31126395(+) CTACAAAGGAT >hg38_chrX:31133075-31133085(-) TAACAATGGCC >hg38_chrX:31199888-31199898(+) AAACAAAGGGC >hg38_chrX:31199936-31199946(-) ATACAATGTTT >hg38_chrX:31206861-31206871(+) CTACAATGCAA >hg38_chrX:31234023-31234033(+) tgacaatagag >hg38_chrX:31302081-31302091(+) caacaatgaca >hg38_chrX:31329339-31329349(+) atacaatggaa >hg38_chrX:31329390-31329400(+) tgacaatgtgg >hg38_chrX:31354787-31354797(+) tcacaatgcct >hg38_chrX:31402767-31402777(-) TGATAATGGAC >hg38_chrX:31403538-31403548(-) GAACAAAGGAT >hg38_chrX:31430447-31430457(+) CGATAATGACC >hg38_chrX:31439112-31439122(+) TAATAATGGGT >hg38_chrX:31439370-31439380(+) gaaCAAAGGGA >hg38_chrX:31497021-31497031(+) GTACAATAAAC >hg38_chrX:31497030-31497040(-) TCACAATAGGT >hg38_chrX:31506522-31506532(+) ttacaatgggc >hg38_chrX:31508653-31508663(+) ACACAATGAAT >hg38_chrX:31550388-31550398(+) GAACAATAAAC >hg38_chrX:31553694-31553704(-) ACATAATGGTA >hg38_chrX:31580965-31580975(+) TCACAATGTGA >hg38_chrX:31580986-31580996(+) TCACAATGGAA >hg38_chrX:31607310-31607320(+) TAACAATGGCT >hg38_chrX:31607319-31607329(+) CTACAATGACA >hg38_chrX:31607383-31607393(+) GAACAATGACA >hg38_chrX:31619589-31619599(+) ACACAATGGTT >hg38_chrX:31661607-31661617(+) TGACAATGGTG >hg38_chrX:31691393-31691403(+) aaacaatgaac >hg38_chrX:31703417-31703427(+) CTACAATGCTC >hg38_chrX:31717975-31717985(-) ATACAATAAGC >hg38_chrX:31718001-31718011(-) CTACAAAGGAT >hg38_chrX:31740255-31740265(-) GAACAATGTAG >hg38_chrX:31740279-31740289(-) GAACAATAGAG >hg38_chrX:31740306-31740316(+) TAACAAAGGAT >hg38_chrX:31760027-31760037(+) taacaatacta >hg38_chrX:31760065-31760075(+) ttactatggag >hg38_chrX:31788067-31788077(+) TAACAATGTTC >hg38_chrX:31811259-31811269(+) TAACAATGATG >hg38_chrX:31823209-31823219(-) gaacaaaggat >hg38_chrX:31872405-31872415(+) AGACAATCGAA >hg38_chrX:31872417-31872427(+) ACACAATGCAG >hg38_chrX:31872897-31872907(+) GTACAATATGT >hg38_chrX:31967601-31967611(-) GAACAATGAAA >hg38_chrX:31967613-31967623(+) TAACAATAGTC >hg38_chrX:32154888-32154898(-) GAACAATAGAG >hg38_chrX:32195760-32195770(-) AAACAATAACT >hg38_chrX:32281117-32281127(+) GAACAATGGAG >hg38_chrX:32840174-32840184(-) TAACAATGCTT >hg38_chrX:32874223-32874233(-) CAACAATGGGT >hg38_chrX:32913949-32913959(-) aaacaatagtg >hg38_chrX:33065617-33065627(-) ATATAATGGGT >hg38_chrX:33065671-33065681(-) GAACAATGGGC >hg38_chrX:33066874-33066884(+) AAACAATGACT >hg38_chrX:33158423-33158433(-) AGACAATGCAA >hg38_chrX:33188428-33188438(-) GTACAATAGCA >hg38_chrX:33656541-33656551(+) ACACAATGGGA >hg38_chrX:33840352-33840362(-) AAACAATGCCA >hg38_chrX:33853062-33853072(-) TAACAAAGGGC >hg38_chrX:33855440-33855450(-) TAACAATTGTA >hg38_chrX:33962056-33962066(-) AAACAATGTGT >hg38_chrX:33962094-33962104(-) ATACAATGCCT >hg38_chrX:33975513-33975523(+) ccacaatgaga >hg38_chrX:33975545-33975555(-) taacaatagcc >hg38_chrX:34030952-34030962(+) GTACAATGTGT >hg38_chrX:34113109-34113119(-) ttacaatagct >hg38_chrX:34267617-34267627(-) ATACAAAGCGA >hg38_chrX:34267645-34267655(-) GTATAATGTAA >hg38_chrX:34274443-34274453(-) agacattggcg >hg38_chrX:34300980-34300990(-) TAATAATGGTT >hg38_chrX:34378925-34378935(-) GAACAATGCTG >hg38_chrX:34422014-34422024(+) atacaatgaca >hg38_chrX:34543401-34543411(-) tgacaatgctt >hg38_chrX:34563932-34563942(-) CTACAATGCCT >hg38_chrX:34586689-34586699(-) AGACAATAGTA >hg38_chrX:34593976-34593986(+) aaacaatggct >hg38_chrX:34603150-34603160(+) tcacaaaggaa >hg38_chrX:34632068-34632078(+) caacaatggca >hg38_chrX:34659774-34659784(+) gaacaaaggtt >hg38_chrX:34666096-34666106(+) TGACAATGATG >hg38_chrX:34673049-34673059(+) ccacaatgata >hg38_chrX:34713370-34713380(-) CTACAATGGCC >hg38_chrX:34750082-34750092(-) TTACAAAGGCC >hg38_chrX:34779761-34779771(+) ccacaatagtc >hg38_chrX:34784930-34784940(-) GAACAATAGCT >hg38_chrX:34847436-34847446(-) AAACAATGAGG >hg38_chrX:34847461-34847471(-) GGACAAAGGAA >hg38_chrX:34849404-34849414(-) TAACAATAGAA >hg38_chrX:34849429-34849439(+) CCACAATGATT >hg38_chrX:34849445-34849455(-) GCACAATAATA >hg38_chrX:34874155-34874165(-) gaacaatagga >hg38_chrX:34879445-34879455(-) GTACAATAGAA >hg38_chrX:34992856-34992866(+) ctacaaaggat >hg38_chrX:35046797-35046807(-) TCACAATAGTA >hg38_chrX:35199435-35199445(-) AGACAATGAAG >hg38_chrX:35207697-35207707(+) acactatggtt >hg38_chrX:35219203-35219213(-) gaacaaaggag >hg38_chrX:35245237-35245247(-) GAACAATAGAA >hg38_chrX:35246217-35246227(-) AAACAATGAGT >hg38_chrX:35246275-35246285(+) GTACAATGTAA >hg38_chrX:35328963-35328973(+) taacaatagca >hg38_chrX:35430002-35430012(+) ACACAATGAGA >hg38_chrX:35444676-35444686(-) aaacaaaggtt >hg38_chrX:35753009-35753019(+) GAACAATGGGG >hg38_chrX:35780022-35780032(-) tgacaatggaa >hg38_chrX:36215139-36215149(-) ttataatggag >hg38_chrX:36219026-36219036(+) agacaatagat >hg38_chrX:36284565-36284575(+) atacaatacaa >hg38_chrX:36284575-36284585(+) acacaatacga >hg38_chrX:36284620-36284630(+) gtacaatgcaa >hg38_chrX:36543676-36543686(-) CAACAATGAAA >hg38_chrX:36657935-36657945(-) TAACAAAGGGT >hg38_chrX:36863328-36863338(-) AGACAATGCCA >hg38_chrX:36863340-36863350(+) AGATAATGGTT >hg38_chrX:36863364-36863374(+) ACATAATGGCA >hg38_chrX:36871926-36871936(+) agacaatgaac >hg38_chrX:36871967-36871977(-) ttacaaagggg >hg38_chrX:37078414-37078424(+) TGACAATGAGC >hg38_chrX:37331896-37331906(-) CGACAATGTAG >hg38_chrX:37338804-37338814(-) ATACAAAGGGA >hg38_chrX:37348515-37348525(+) ACACAATAGCA >hg38_chrX:37349932-37349942(-) GCACAATGGAT >hg38_chrX:37349955-37349965(+) TAACAAAGGCT >hg38_chrX:37374250-37374260(-) aaactatggaT >hg38_chrX:37429184-37429194(-) agacaatgagg >hg38_chrX:37433976-37433986(+) GAACAATGTTT >hg38_chrX:37434371-37434381(+) caacaatgaat >hg38_chrX:37477077-37477087(-) agacaataaga >hg38_chrX:37510676-37510686(+) GAACAATGCCT >hg38_chrX:37511469-37511479(+) GAACAATGCCT >hg38_chrX:37580248-37580258(-) TAACAAAGGGT >hg38_chrX:37608241-37608251(-) TTACAATGATA >hg38_chrX:37608281-37608291(+) tcacaatgcag >hg38_chrX:37685244-37685254(-) ATACAGTGGTC >hg38_chrX:37685260-37685270(+) AGACAAAGGGA >hg38_chrX:37685327-37685337(+) TGACAATATAC >hg38_chrX:37817533-37817543(-) gtacaatgatg >hg38_chrX:37821129-37821139(+) tgacaatataa >hg38_chrX:37821142-37821152(+) gcacaatggaa >hg38_chrX:37842757-37842767(+) agacaatgaat >hg38_chrX:37847630-37847640(-) ACACAAAGGCG >hg38_chrX:37858816-37858826(+) tcataatggta >hg38_chrX:37859850-37859860(+) TGACAATGAGG >hg38_chrX:37883322-37883332(+) aaacaatatta >hg38_chrX:37922026-37922036(+) GAACAATAATC >hg38_chrX:37938709-37938719(-) TTACAATGGGA >hg38_chrX:37966004-37966014(-) ccacaatagac >hg38_chrX:37966013-37966023(+) ggacaatgtat >hg38_chrX:37983543-37983553(+) taacaataata >hg38_chrX:38045228-38045238(-) GGACAATGGAG >hg38_chrX:38045269-38045279(-) GGACAATGACT >hg38_chrX:38079212-38079222(+) TTACAATGCAT >hg38_chrX:38175194-38175204(-) GGACAATGGGG >hg38_chrX:38192743-38192753(-) GGACAAAGGGA >hg38_chrX:38201257-38201267(+) TAACAATATAC >hg38_chrX:38215202-38215212(-) ACATAATGGCT >hg38_chrX:38215239-38215249(+) GAACAATGCAT >hg38_chrX:38215258-38215268(-) TCACAATGGAT >hg38_chrX:38221267-38221277(+) atactatggcc >hg38_chrX:38279366-38279376(-) AAACAAAGGGT >hg38_chrX:38298511-38298521(-) gcacaatgtta >hg38_chrX:38298529-38298539(+) caacaataccg >hg38_chrX:38349373-38349383(-) tcacaatgcca >hg38_chrX:38468789-38468799(-) TGACAATGTGC >hg38_chrX:38602255-38602265(+) TGACAAAGGGA >hg38_chrX:38690509-38690519(-) ccacaatgaag >hg38_chrX:38690527-38690537(-) gtataatgtaa >hg38_chrX:38692586-38692596(+) atacaatgaga >hg38_chrX:38704084-38704094(+) ggacaatggat >hg38_chrX:38704095-38704105(+) aaactatggta >hg38_chrX:38704822-38704832(+) acacaatggac >hg38_chrX:38732289-38732299(+) tcacaatagcg >hg38_chrX:38732328-38732338(+) acacaatggaa >hg38_chrX:38749089-38749099(-) GTACAATGCAG >hg38_chrX:38769004-38769014(+) ATACAATGAAA >hg38_chrX:38769017-38769027(+) TAACAATGGGA >hg38_chrX:38808006-38808016(-) TGATAATGGTA >hg38_chrX:38841068-38841078(+) AGACAATGGCT >hg38_chrX:38871352-38871362(-) ACACAATGGTG >hg38_chrX:38877137-38877147(+) GGACAGTGGTA >hg38_chrX:39065716-39065726(-) AAACAATGGAT >hg38_chrX:39087427-39087437(+) taacaatgaat >hg38_chrX:39155043-39155053(-) tgacaatgcat >hg38_chrX:39176187-39176197(+) TAACAATAGGC >hg38_chrX:39242061-39242071(+) AAACAATGTGT >hg38_chrX:39247706-39247716(-) ATACAATAACA >hg38_chrX:39250852-39250862(+) AGACAATGGAT >hg38_chrX:39250863-39250873(-) ATACAATATCT >hg38_chrX:39361965-39361975(-) TAACAATAGGC >hg38_chrX:39381162-39381172(+) ATACAAAGGGG >hg38_chrX:39409760-39409770(-) gAACAAAGGAG >hg38_chrX:39517171-39517181(+) GGACAATGACA >hg38_chrX:39574337-39574347(-) acacaatgggt >hg38_chrX:39603397-39603407(-) GAACAATAAAC >hg38_chrX:39605478-39605488(+) ctacaatgggc >hg38_chrX:39683006-39683016(-) gaacaatgtgc >hg38_chrX:39693017-39693027(-) acacaatggaa >hg38_chrX:39719594-39719604(+) acacaatgatt >hg38_chrX:39736376-39736386(+) ACACAATGCCT >hg38_chrX:39762427-39762437(+) tatcaatggac >hg38_chrX:39762447-39762457(+) atacaatatgt >hg38_chrX:39773365-39773375(-) AAACAATGGCC >hg38_chrX:39780569-39780579(-) taacaaaggac >hg38_chrX:39817001-39817011(+) tcacaatgtac >hg38_chrX:39861612-39861622(-) acacaatgggg >hg38_chrX:39861636-39861646(-) caacaatgggg >hg38_chrX:39897452-39897462(-) GCACAATGAAG >hg38_chrX:39921357-39921367(-) GAACGATGGGG >hg38_chrX:39955856-39955866(+) gtacactggtg >hg38_chrX:39973912-39973922(-) GGACAAAGGAA >hg38_chrX:39974469-39974479(+) GGACAATGAGC >hg38_chrX:40014492-40014502(+) GCATAATGGAG >hg38_chrX:40014510-40014520(+) GAACAATGCGC >hg38_chrX:40014968-40014978(+) GAACAATAGTA >hg38_chrX:40084942-40084952(+) GAACAATAGGA >hg38_chrX:40088345-40088355(-) GAACAGTGGGT >hg38_chrX:40088359-40088369(+) AAACAATGTCA >hg38_chrX:40091152-40091162(+) AGACAATAAAT >hg38_chrX:40093041-40093051(-) AGACAATAGCC >hg38_chrX:40106687-40106697(-) AAACAAAGGGG >hg38_chrX:40107935-40107945(-) CGACAATCGAA >hg38_chrX:40119207-40119217(+) gcacaatagga >hg38_chrX:40146579-40146589(+) TAACAATGGCA >hg38_chrX:40154126-40154136(+) taataatgata >hg38_chrX:40154427-40154437(-) GAACAAAGGGG >hg38_chrX:40162934-40162944(+) GGATAATGGGA >hg38_chrX:40179444-40179454(-) AAACAATAAAA >hg38_chrX:40238150-40238160(+) CAACAATGTAG >hg38_chrX:40289125-40289135(+) TCACAATGGGC >hg38_chrX:40418342-40418352(-) CTACAAAGGAC >hg38_chrX:40420994-40421004(+) aaacaatgagt >hg38_chrX:40421002-40421012(-) gaacaatgact >hg38_chrX:40423589-40423599(-) TAACAAAGGCT >hg38_chrX:40444060-40444070(+) GCACAATGTGC >hg38_chrX:40445448-40445458(+) TCACAATGCCT >hg38_chrX:40456059-40456069(-) ctacaatggcc >hg38_chrX:40468525-40468535(-) AAACAAAGGGC >hg38_chrX:40474507-40474517(+) TAACAATGGGC >hg38_chrX:40520670-40520680(-) CAACAATGGAG >hg38_chrX:40544197-40544207(-) GAACAATAGTA >hg38_chrX:40594468-40594478(-) tgacaatgcaa >hg38_chrX:40643922-40643932(-) ttacaatgtgg >hg38_chrX:40643950-40643960(-) taacaaaggaa >hg38_chrX:40643958-40643968(-) taacaatgtaa >hg38_chrX:40643982-40643992(-) gtacaatggga >hg38_chrX:40695196-40695206(+) ggataatggat >hg38_chrX:40707577-40707587(+) atacaatggat >hg38_chrX:40729758-40729768(-) ACACAATGTGG >hg38_chrX:40738168-40738178(+) ttacaatgttc >hg38_chrX:40775790-40775800(+) ttacaatggtg >hg38_chrX:40775804-40775814(+) gcacaatgttg >hg38_chrX:40811458-40811468(-) GAACAATAGAA >hg38_chrX:40811466-40811476(-) CAACAATAGAA >hg38_chrX:40812426-40812436(-) taacaaaggga >hg38_chrX:40820409-40820419(-) aaacaatagac >hg38_chrX:40826808-40826818(-) acacaatgggc >hg38_chrX:40826841-40826851(-) cgacaataatg >hg38_chrX:40833742-40833752(-) ccacaatagga >hg38_chrX:40853462-40853472(-) TTACAATGCAA >hg38_chrX:40880846-40880856(+) AAACAATGGCC >hg38_chrX:40922971-40922981(+) gtagaatggta >hg38_chrX:40928061-40928071(-) gaacaataaaa >hg38_chrX:40950820-40950830(-) agataatgggg >hg38_chrX:41026514-41026524(-) ctacaatgaga >hg38_chrX:41032829-41032839(+) CGAcagtggtt >hg38_chrX:41044487-41044497(-) ttacaatggca >hg38_chrX:41044513-41044523(+) gtacaatgagg >hg38_chrX:41084821-41084831(+) TCACAATGGAA >hg38_chrX:41100143-41100153(-) TTACAATAGAG >hg38_chrX:41116272-41116282(-) ATACAATGAAT >hg38_chrX:41166753-41166763(-) AAACAATATAA >hg38_chrX:41246864-41246874(+) GAACAATATCA >hg38_chrX:41291454-41291464(-) GGACAAAGGTG >hg38_chrX:41333184-41333194(-) GAACAATGAGA >hg38_chrX:41334515-41334525(+) GCACAATGGCG >hg38_chrX:41336351-41336361(-) TTACACTGGTA >hg38_chrX:41367606-41367616(+) gtataatgaac >hg38_chrX:41381759-41381769(+) GAACAAAGGCC >hg38_chrX:41439395-41439405(-) ttacaatggtt >hg38_chrX:41475429-41475439(+) AGACAAAGGGT >hg38_chrX:41491757-41491767(-) TCACAATAGCT >hg38_chrX:41543790-41543800(+) ttacaatgaca >hg38_chrX:41543796-41543806(-) gtacaatgtca >hg38_chrX:41543840-41543850(-) gaacaatagga >hg38_chrX:41600463-41600473(-) GTACAATAAGC >hg38_chrX:41635003-41635013(+) CAACAATGATA >hg38_chrX:41695629-41695639(+) AGACAATGAGA >hg38_chrX:41695650-41695660(+) TAACAATGACG >hg38_chrX:41701858-41701868(-) tcacaatgcca >hg38_chrX:41701929-41701939(-) AGACAATGTCA >hg38_chrX:41803138-41803148(+) ttacaatgaaa >hg38_chrX:41921616-41921626(+) TAACAATAGGG >hg38_chrX:41929864-41929874(+) AAACACTGGTA >hg38_chrX:41930135-41930145(+) gaacaatgcct >hg38_chrX:42119299-42119309(-) AAACAATAGAC >hg38_chrX:42129562-42129572(+) agacaatggtt >hg38_chrX:42129610-42129620(+) agacaataaac >hg38_chrX:42185987-42185997(+) TTACAATAGCA >hg38_chrX:42246355-42246365(-) ACACAATGACC >hg38_chrX:42249243-42249253(+) aaacactggat >hg38_chrX:42361797-42361807(-) AGATAATGGTT >hg38_chrX:42455069-42455079(-) acacaatggaa >hg38_chrX:42468136-42468146(+) CTACAATGATG >hg38_chrX:42566627-42566637(-) atacaaagggg >hg38_chrX:42567102-42567112(-) GAACAATGGAA >hg38_chrX:42606795-42606805(+) gaacaataggc >hg38_chrX:42606876-42606886(-) atacaatgtcc >hg38_chrX:42664887-42664897(-) GAACCATGGTA >hg38_chrX:42680761-42680771(+) TAACAAAGACG >hg38_chrX:42680770-42680780(+) CGACAAAGGCC >hg38_chrX:42681382-42681392(-) ctacaAAGGAA >hg38_chrX:42717436-42717446(-) GGACAATAGAG >hg38_chrX:42752901-42752911(-) gaataatgggg >hg38_chrX:42787654-42787664(+) GCACAATGGAC >hg38_chrX:42837565-42837575(-) acacaatgaga >hg38_chrX:42882699-42882709(-) gcacaatgccc >hg38_chrX:42967258-42967268(-) aaataatggtg >hg38_chrX:42979471-42979481(+) AAACAATAGCT >hg38_chrX:43005954-43005964(+) GTACAGTGGGA >hg38_chrX:43169389-43169399(-) gaacaatgcct >hg38_chrX:43237277-43237287(+) ggacaatgacc >hg38_chrX:43237299-43237309(-) gtataatgaaa >hg38_chrX:43237314-43237324(+) ataCAATAATA >hg38_chrX:43351730-43351740(+) taacaatgagt >hg38_chrX:43458458-43458468(-) ggacaatgcta >hg38_chrX:43458513-43458523(-) tgacaatagag >hg38_chrX:43480881-43480891(+) ATACAAAGGAA >hg38_chrX:43553443-43553453(+) aaacaaagggt >hg38_chrX:43583899-43583909(+) AAACAATGATG >hg38_chrX:43598706-43598716(+) GGATAATGGAG >hg38_chrX:43598739-43598749(+) GGACAATAGGA >hg38_chrX:43618598-43618608(+) gaacaaaggtg >hg38_chrX:43634990-43635000(-) gaacaatggtt >hg38_chrX:43634999-43635009(+) tcacaatgaag >hg38_chrX:43643572-43643582(+) TTACAATGAAG >hg38_chrX:43662478-43662488(-) TCACAATAGCT >hg38_chrX:43662499-43662509(+) TAACAATAGAT >hg38_chrX:43686374-43686384(-) AGACAATAACA >hg38_chrX:43701666-43701676(+) ACACAAAGGAT >hg38_chrX:43763669-43763679(-) gaacagtggaa >hg38_chrX:43787192-43787202(-) CTACAATGGCT >hg38_chrX:43817682-43817692(-) gaacaaaggga >hg38_chrX:43846165-43846175(-) TTACTATGGCT >hg38_chrX:43862658-43862668(+) GTACACTGGAA >hg38_chrX:43941017-43941027(-) AGACAAAGGCA >hg38_chrX:43956155-43956165(+) atacaatatga >hg38_chrX:43958586-43958596(-) TGATAATGGGA >hg38_chrX:43958640-43958650(-) CAACAATGAGA >hg38_chrX:43972776-43972786(-) AAACAATAGGC >hg38_chrX:44032431-44032441(-) GGACAATGGGC >hg38_chrX:44038096-44038106(+) AAACAATGAAG >hg38_chrX:44128254-44128264(-) taacaatacat >hg38_chrX:44144609-44144619(-) CAACAATAGAG >hg38_chrX:44156924-44156934(+) ACATAATGGAG >hg38_chrX:44170869-44170879(-) caacaatgtgg >hg38_chrX:44200850-44200860(+) ctacaaaggaa >hg38_chrX:44200885-44200895(+) caacaatggag >hg38_chrX:44200901-44200911(+) agacaatagag >hg38_chrX:44205321-44205331(+) ctacaatagga >hg38_chrX:44224082-44224092(+) CAACAATGATG >hg38_chrX:44228072-44228082(+) ggacaatggca >hg38_chrX:44543214-44543224(+) GTACAAAGGGA >hg38_chrX:44847295-44847305(-) atacaatgaac >hg38_chrX:44945190-44945200(-) ATACAATGGAA >hg38_chrX:44991470-44991480(-) agacaatgctt >hg38_chrX:45008512-45008522(-) agacaatgggg >hg38_chrX:45013216-45013226(-) GTACAATAAAA >hg38_chrX:45057562-45057572(-) TAACAATATGT >hg38_chrX:45087679-45087689(-) AAACAATGAAC >hg38_chrX:45102879-45102889(-) GGACAATAGTG >hg38_chrX:45112607-45112617(+) GTATAATAGTA >hg38_chrX:45187150-45187160(+) GAACAATGTCA >hg38_chrX:45445508-45445518(-) TAACAATGGAA >hg38_chrX:45454350-45454360(-) AAACAATGGCC >hg38_chrX:45454553-45454563(-) GGACAATAGTT >hg38_chrX:45503110-45503120(-) gcacaatggtg >hg38_chrX:45505379-45505389(+) ACACAATGTCA >hg38_chrX:45517557-45517567(+) GAACAATGGTT >hg38_chrX:45595667-45595677(+) agacagtggac >hg38_chrX:45601198-45601208(-) GCACAATGCTG >hg38_chrX:45622813-45622823(-) AAATAATGGTG >hg38_chrX:45712621-45712631(+) CTACAATGGAG >hg38_chrX:45718802-45718812(-) ACACAAAGGAA >hg38_chrX:45727902-45727912(+) GAACAATGGGA >hg38_chrX:45750350-45750360(+) atacaatgaaa >hg38_chrX:45751322-45751332(+) ATACAATGTCA >hg38_chrX:45781024-45781034(+) ACACAATGAAT >hg38_chrX:45782083-45782093(-) AGACAATGCTT >hg38_chrX:45818382-45818392(+) aaacaataaca >hg38_chrX:45853923-45853933(-) GCATAATGGTG >hg38_chrX:45854402-45854412(+) ACACAATGAGA >hg38_chrX:45900975-45900985(-) ATACACTGGAA >hg38_chrX:45954367-45954377(-) aaacaataaac >hg38_chrX:45978468-45978478(+) ccacaatgggg >hg38_chrX:46147841-46147851(-) taacaatgaac >hg38_chrX:46206906-46206916(+) gaacaaaggca >hg38_chrX:46208691-46208701(-) GTACAATGAAA >hg38_chrX:46247733-46247743(+) CCACAATAGCA >hg38_chrX:46247762-46247772(+) GAACAATGAAA >hg38_chrX:46254855-46254865(+) agacaatgtga >hg38_chrX:46279469-46279479(+) GAACAATGCTG >hg38_chrX:46317362-46317372(+) taacaataaca >hg38_chrX:46317368-46317378(+) taacaaagcgt >hg38_chrX:46326537-46326547(-) ATACAATCGTG >hg38_chrX:46327702-46327712(+) ACACAATGATC >hg38_chrX:46327974-46327984(+) TAACAAAGGAA >hg38_chrX:46376796-46376806(+) GAACAATGCCA >hg38_chrX:46381870-46381880(-) GAACAAAGGTA >hg38_chrX:46471285-46471295(-) agacaatgact >hg38_chrX:46511092-46511102(-) AGACAATGATT >hg38_chrX:46587723-46587733(-) CAACAATAGCA >hg38_chrX:46587735-46587745(-) TGACAATGTTT >hg38_chrX:46599198-46599208(-) ctacaatgaca >hg38_chrX:46666644-46666654(-) GAATAATGGAA >hg38_chrX:46733540-46733550(+) ttacaatgctg >hg38_chrX:46744358-46744368(+) gaacaatgaaa >hg38_chrX:46744370-46744380(+) gaacaatggat >hg38_chrX:46792189-46792199(+) agacaatggac >hg38_chrX:46815974-46815984(-) GTACAATAGCA >hg38_chrX:46923832-46923842(-) gaacaatagaa >hg38_chrX:46978760-46978770(-) TGACAATGAGC >hg38_chrX:47130114-47130124(+) GGACAATGGTG >hg38_chrX:47191333-47191343(+) GAACAATCGGG >hg38_chrX:47193667-47193677(+) CCACAATGTCC >hg38_chrX:47316377-47316387(-) AGACAAAGGCA >hg38_chrX:47322339-47322349(+) GGACAATGAGG >hg38_chrX:47361960-47361970(+) GGACAATGGGG >hg38_chrX:47384612-47384622(+) atacaatagaa >hg38_chrX:47384651-47384661(+) gtacaatagcc >hg38_chrX:47460467-47460477(+) gaacaaaggga >hg38_chrX:47483556-47483566(-) CAACAATGGAC >hg38_chrX:47497590-47497600(+) acacaatgaaa >hg38_chrX:47518567-47518577(-) GTACAATGGAT >hg38_chrX:47608683-47608693(-) GGACAATGACA >hg38_chrX:47664741-47664751(-) gaacaatagaa >hg38_chrX:47816448-47816458(-) ttacaatgatt >hg38_chrX:47837645-47837655(-) tgacaatggtg >hg38_chrX:47851682-47851692(-) AAACAATGCAC >hg38_chrX:47872212-47872222(+) tgacaatagat >hg38_chrX:47886312-47886322(-) caacaatagtc >hg38_chrX:47950622-47950632(+) tcacaaaggac >hg38_chrX:48071877-48071887(-) GAACAATAGGA >hg38_chrX:48784226-48784236(+) gtacaatgact >hg38_chrX:48908821-48908831(+) AAACAAAGGGC >hg38_chrX:48928107-48928117(+) acacaatgaca >hg38_chrX:48937806-48937816(+) CGACAATGATT >hg38_chrX:49001677-49001687(+) GAACAATGACA >hg38_chrX:49269352-49269362(-) CGACAATGGCT >hg38_chrX:49614109-49614119(+) AAACGATGGCC >hg38_chrX:49641750-49641760(-) ttacaaaggca >hg38_chrX:49760585-49760595(+) atacaatatgt >hg38_chrX:49922359-49922369(+) ACACAATGGGG >hg38_chrX:49982724-49982734(-) GGACAATAATA >hg38_chrX:49991964-49991974(-) GGACAATGAGA >hg38_chrX:49993678-49993688(-) GGACAATGCCA >hg38_chrX:50044913-50044923(-) ctacaatagag >hg38_chrX:50044933-50044943(+) atacagtggtg >hg38_chrX:50045889-50045899(+) TCACAATGTCC >hg38_chrX:50045947-50045957(+) GCACAATAGCA >hg38_chrX:50054375-50054385(-) taacaaaggcc >hg38_chrX:50108466-50108476(-) aaacaataggg >hg38_chrX:50110313-50110323(+) ccacaatagta >hg38_chrX:50188348-50188358(-) taacaatatat >hg38_chrX:50203414-50203424(+) ACACAATGACC >hg38_chrX:50204483-50204493(-) AGACGATGGAA >hg38_chrX:50430506-50430516(-) ggacaatagac >hg38_chrX:50531973-50531983(-) gaacaatatac >hg38_chrX:50545371-50545381(+) caacaaaggac >hg38_chrX:50566967-50566977(+) acacaatagac >hg38_chrX:50567036-50567046(+) atacaatggca >hg38_chrX:50594939-50594949(-) AAACAATGGGA >hg38_chrX:50594954-50594964(+) ATACAATGAAG >hg38_chrX:50599526-50599536(-) ttactatgggc >hg38_chrX:50615713-50615723(+) GAACAATGAGT >hg38_chrX:50691955-50691965(-) TTACAATGACA >hg38_chrX:50698999-50699009(+) TAACAATATAT >hg38_chrX:50699499-50699509(-) GTACAATGGGA >hg38_chrX:50756349-50756359(-) atacaatagaa >hg38_chrX:50762383-50762393(-) aaacaatagca >hg38_chrX:50762396-50762406(-) aaacaatagca >hg38_chrX:50763414-50763424(+) gtacaatggtc >hg38_chrX:50802186-50802196(+) TAACAATGCAG >hg38_chrX:50814385-50814395(-) AAACAATGTAA >hg38_chrX:50839081-50839091(-) ggacaatgact >hg38_chrX:50889707-50889717(+) aaacaaaggga >hg38_chrX:50974769-50974779(+) aaacaataaat >hg38_chrX:51096580-51096590(+) TGACAATGTTG >hg38_chrX:51178593-51178603(-) CCACAATGAGT >hg38_chrX:51332413-51332423(+) TCACAATGCGG >hg38_chrX:51423154-51423164(+) acacaatgaca >hg38_chrX:51546631-51546641(-) acacaatacga >hg38_chrX:51546652-51546662(-) aaacaaaggaa >hg38_chrX:51546679-51546689(-) atacaatggaa >hg38_chrX:51572066-51572076(-) agacaatgttt >hg38_chrX:51584476-51584486(-) ttacaaaggaa >hg38_chrX:51584541-51584551(+) atacaatgtgg >hg38_chrX:51614537-51614547(+) CAACAATGTGC >hg38_chrX:51742764-51742774(-) GCACAATGGCT >hg38_chrX:51803090-51803100(-) CAACGATGGCG >hg38_chrX:51814240-51814250(-) taacaacggag >hg38_chrX:51851718-51851728(+) agacaaaggga >hg38_chrX:51853480-51853490(-) caacaatgagc >hg38_chrX:51866361-51866371(+) ttactatggaa >hg38_chrX:52012592-52012602(+) gaacaatgaaa >hg38_chrX:52117784-52117794(-) TGATAATGGGC >hg38_chrX:52139158-52139168(+) gtaccatggac >hg38_chrX:52261830-52261840(-) GGACTATGGGA >hg38_chrX:52403399-52403409(+) aaacaatgaaa >hg38_chrX:52933747-52933757(-) ATACAATATGT >hg38_chrX:53048285-53048295(-) ggacaatagct >hg38_chrX:53125750-53125760(-) tgacaatgatg >hg38_chrX:53157568-53157578(+) ATATAATGAAC >hg38_chrX:53157575-53157585(+) GAACAATAAAG >hg38_chrX:53284769-53284779(+) ggacaatggac >hg38_chrX:53298708-53298718(-) caacaatagga >hg38_chrX:53322879-53322889(+) ccacaatgagc >hg38_chrX:53398349-53398359(+) gaacaaaggct >hg38_chrX:53600122-53600132(+) TAACAAAGGCT >hg38_chrX:53606622-53606632(+) acacaatggaa >hg38_chrX:53666377-53666387(+) gaacaatagcc >hg38_chrX:53667942-53667952(+) TGACAATGTTT >hg38_chrX:53675435-53675445(+) AAACAATGGAC >hg38_chrX:53679032-53679042(-) GAACAATGGCT >hg38_chrX:53679040-53679050(-) TTATAATGGAA >hg38_chrX:53679045-53679055(+) TTATAATGGGT >hg38_chrX:53681739-53681749(-) TCACAGTGGTA >hg38_chrX:53684635-53684645(-) TAACAATGGGG >hg38_chrX:53739866-53739876(-) atacaatagaa >hg38_chrX:53765352-53765362(-) agacaataggc >hg38_chrX:53882933-53882943(-) ggacaataata >hg38_chrX:54004008-54004018(-) CCACAATAGCA >hg38_chrX:54041849-54041859(+) agacaatgagg >hg38_chrX:54048814-54048824(-) TTACAATGGGA >hg38_chrX:54048836-54048846(-) TTATAATGGGA >hg38_chrX:54093060-54093070(-) AAACAAAGGCA >hg38_chrX:54171857-54171867(-) ctacaatggag >hg38_chrX:54198531-54198541(+) TGATAATGGCC >hg38_chrX:54205642-54205652(+) GAACAATGTGC >hg38_chrX:54323895-54323905(-) atacaATAGAC >hg38_chrX:54358887-54358897(+) GAACAATGGCC >hg38_chrX:54416057-54416067(+) AAACAAAGGAA >hg38_chrX:54499125-54499135(+) aaactatgggc >hg38_chrX:54566662-54566672(-) acacaatagaa >hg38_chrX:54566717-54566727(-) gaacaatgcac >hg38_chrX:54566737-54566747(-) tcacaatagcc >hg38_chrX:54639779-54639789(+) TGACAATGACG >hg38_chrX:54686370-54686380(+) gcacaatgtct >hg38_chrX:54776137-54776147(-) tcacaatagct >hg38_chrX:54804362-54804372(+) TAACAAAGGCT >hg38_chrX:55146810-55146820(+) aaacaATGATT >hg38_chrX:55487166-55487176(+) CCACAATGGGT >hg38_chrX:55487182-55487192(-) TCACAATGAAA >hg38_chrX:55869207-55869217(+) acacaaaggtc >hg38_chrX:55870547-55870557(-) ttacaatggtc >hg38_chrX:55870723-55870733(+) aaacaatgaac >hg38_chrX:55871205-55871215(-) gaacaatgtat >hg38_chrX:56020919-56020929(-) gtacaaaggta >hg38_chrX:56034375-56034385(-) taacaatagac >hg38_chrX:56740307-56740317(-) aaacaatgaca >hg38_chrX:56751390-56751400(+) AAACAATAACT >hg38_chrX:56783787-56783797(-) agacaaaggca >hg38_chrX:57003814-57003824(+) gaataatgtac >hg38_chrX:57003821-57003831(-) gtataatgtac >hg38_chrX:57005460-57005470(-) ttacaatagct >hg38_chrX:57335370-57335380(+) ggacaatagga >hg38_chrX:57596773-57596783(+) TTATAATGGAT >hg38_chrX:57596796-57596806(-) GGATAATGGCA >hg38_chrX:57598159-57598169(-) TCACAATGACG >hg38_chrX:57647250-57647260(+) agacaaaggga >hg38_chrX:57894199-57894209(+) taacagtggac >hg38_chrX:57906217-57906227(+) TTACAATAGTT >hg38_chrX:57934634-57934644(+) TCACGATGGAC >hg38_chrX:57934641-57934651(+) GGACAATGGAT >hg38_chrX:63353301-63353311(+) ggacaataaac >hg38_chrX:63503786-63503796(-) agacaaaggaa >hg38_chrX:63709196-63709206(+) agacaatgcct >hg38_chrX:63849559-63849569(-) AGATAATGGCC >hg38_chrX:63854003-63854013(+) agacaatgctt >hg38_chrX:63854062-63854072(+) caacaatggca >hg38_chrX:64044326-64044336(+) GAACTATGGTG >hg38_chrX:64146325-64146335(+) ACACAATGCAT >hg38_chrX:64160456-64160466(-) tcacaaaggaa >hg38_chrX:64975570-64975580(-) ACACAATAGCG >hg38_chrX:65076540-65076550(-) gaacaataaca >hg38_chrX:65136625-65136635(-) agacaataaaa >hg38_chrX:65266777-65266787(-) aaacaaaggca >hg38_chrX:65474082-65474092(-) atacaataata >hg38_chrX:65481110-65481120(-) agacaATGGTG >hg38_chrX:65497607-65497617(+) GGACAATGGAT >hg38_chrX:65507832-65507842(+) CAACAATGAAC >hg38_chrX:65582035-65582045(+) AGACAAAGGCA >hg38_chrX:65595674-65595684(-) GAACAAAGGGA >hg38_chrX:65672123-65672133(-) ATACAAAGGGA >hg38_chrX:65745010-65745020(+) aaATAATGGGT >hg38_chrX:65820701-65820711(+) TAACAATGGTG >hg38_chrX:65831633-65831643(-) acacagtggac >hg38_chrX:65872085-65872095(-) acacaatcgca >hg38_chrX:65872104-65872114(+) ggacaatggag >hg38_chrX:65986397-65986407(-) TAACAAAGGGA >hg38_chrX:65988153-65988163(-) GAACAATGGCT >hg38_chrX:66023327-66023337(+) AAACCATGGTA >hg38_chrX:66059078-66059088(-) GTACAATGAAG >hg38_chrX:66059097-66059107(+) GGACAATAGCT >hg38_chrX:66164629-66164639(+) AGACAATAGGC >hg38_chrX:66391912-66391922(-) GGATAATGGTT >hg38_chrX:66391941-66391951(+) TCACAATCGTC >hg38_chrX:66396937-66396947(+) gaacaataact >hg38_chrX:66396984-66396994(+) gaacaaaggat >hg38_chrX:66612985-66612995(+) GCACAATGCTG >hg38_chrX:66639385-66639395(+) GAACAATGTGA >hg38_chrX:66733220-66733230(-) ttacaatgata >hg38_chrX:66857900-66857910(-) aaacaataaaa >hg38_chrX:66914178-66914188(+) CAACAATGATA >hg38_chrX:67094180-67094190(+) agacaatagga >hg38_chrX:67166633-67166643(+) ccacaatgaga >hg38_chrX:67209592-67209602(+) TAACAATGTGA >hg38_chrX:67284463-67284473(-) aaacaatagcc >hg38_chrX:67345650-67345660(-) ATACAATGTGC >hg38_chrX:67355376-67355386(+) Acacaatagct >hg38_chrX:67382391-67382401(+) gcacaataggg >hg38_chrX:67522872-67522882(-) GGACAATAGAG >hg38_chrX:67522921-67522931(-) CGACAATACTA >hg38_chrX:67592254-67592264(+) GAACAATAGGT >hg38_chrX:67605120-67605130(-) AGACAATAACA >hg38_chrX:67636733-67636743(-) GGACAAAGGGC >hg38_chrX:68041268-68041278(+) TTACAATGTAG >hg38_chrX:68115274-68115284(+) AAACAAAGGGC >hg38_chrX:68138509-68138519(-) GTACAATGAGT >hg38_chrX:68162746-68162756(-) TTACAATATAA >hg38_chrX:68163530-68163540(+) taacaatgcaa >hg38_chrX:68163798-68163808(-) ccacaatgaga >hg38_chrX:68197557-68197567(-) GCACAATGGGG >hg38_chrX:68197575-68197585(+) AAACAAAGGCT >hg38_chrX:68224065-68224075(-) caacaatgtcc >hg38_chrX:68296188-68296198(+) ggacaatgacc >hg38_chrX:68404952-68404962(-) caacaatgaac >hg38_chrX:68404994-68405004(+) caacaatgtaa >hg38_chrX:68417940-68417950(+) AAACAACGGCA >hg38_chrX:68428581-68428591(+) gaacaatgact >hg38_chrX:68433801-68433811(+) GCACAATAGCC >hg38_chrX:68508417-68508427(-) agataatggct >hg38_chrX:68536626-68536636(-) GTACAAAGGCT >hg38_chrX:68634796-68634806(+) atacagtggaa >hg38_chrX:68685931-68685941(-) AAACAATGGCT >hg38_chrX:68808021-68808031(+) gtacaatcggc >hg38_chrX:68854032-68854042(+) TTACAATGCAC >hg38_chrX:68879570-68879580(+) TGACAATGCAC >hg38_chrX:68879579-68879589(-) CCACAATGTGT >hg38_chrX:68892882-68892892(+) GGACAATGGGA >hg38_chrX:68894938-68894948(-) cgacaatgagg >hg38_chrX:68895144-68895154(+) AGATAATGGGT >hg38_chrX:68932610-68932620(-) AAACAATGGCA >hg38_chrX:68968849-68968859(+) ttacaaaggaa >hg38_chrX:68968877-68968887(+) gaacaaaggca >hg38_chrX:68994663-68994673(+) CAACAATGTGG >hg38_chrX:69006142-69006152(-) atacaatacaa >hg38_chrX:69013144-69013154(+) TAACAATGAAG >hg38_chrX:69013173-69013183(-) GAACAATGGCA >hg38_chrX:69130639-69130649(+) CTACAATGAAT >hg38_chrX:69149993-69150003(+) GGACAATGGAG >hg38_chrX:69221782-69221792(-) GGACAATGGAG >hg38_chrX:69222199-69222209(-) TAACAAAGGCG >hg38_chrX:69263768-69263778(+) caacaatgaga >hg38_chrX:69264334-69264344(-) agacaatgaat >hg38_chrX:69264403-69264413(+) taataatgtaa >hg38_chrX:69277928-69277938(+) GAACAATAGAG >hg38_chrX:69307961-69307971(+) TGACAATGCAG >hg38_chrX:69307972-69307982(+) AGACAATGCGG >hg38_chrX:69313587-69313597(+) gaacaataata >hg38_chrX:69313648-69313658(-) ttacaatgtgc >hg38_chrX:69501429-69501439(-) TAACAATAACT >hg38_chrX:69501437-69501447(-) GCACAATATAA >hg38_chrX:69565579-69565589(-) AAACAATGAAA >hg38_chrX:69565638-69565648(-) GAACAATAGGT >hg38_chrX:69705973-69705983(+) aaacaaaggta >hg38_chrX:69706016-69706026(-) ttacaatggag >hg38_chrX:69930230-69930240(-) TATCAATGGAC >hg38_chrX:69942573-69942583(-) taacaatggag >hg38_chrX:69948626-69948636(-) CAACAATAGAA >hg38_chrX:69979045-69979055(+) aaacaataact >hg38_chrX:69979090-69979100(-) gtacaatagag >hg38_chrX:70000180-70000190(-) ttacaatgagc >hg38_chrX:70132961-70132971(+) gaacaatgcTG >hg38_chrX:70171850-70171860(-) AAACAATGGTG >hg38_chrX:70208635-70208645(-) gaactatggat >hg38_chrX:70208647-70208657(-) acacaaaggaa >hg38_chrX:70242898-70242908(+) gaataatggtg >hg38_chrX:70250273-70250283(+) CGACAATGTCA >hg38_chrX:70250286-70250296(+) CTACAATAGTA >hg38_chrX:70250300-70250310(+) ACACAATGAGT >hg38_chrX:70354359-70354369(+) AGACAAAGGGA >hg38_chrX:70390641-70390651(+) atacaataaaa >hg38_chrX:70421842-70421852(+) ATACAATGGGA >hg38_chrX:70423090-70423100(+) GCACAAAGGAA >hg38_chrX:70424362-70424372(-) GGACAAAGGGC >hg38_chrX:70480294-70480304(+) GGACAATAGTG >hg38_chrX:70508595-70508605(-) gcacaatgctt >hg38_chrX:70508618-70508628(+) agacaatggca >hg38_chrX:70617915-70617925(-) tgacaatagaa >hg38_chrX:70653984-70653994(+) aaactatggag >hg38_chrX:70695576-70695586(-) gtacaataagc >hg38_chrX:70772833-70772843(+) atacaatgatg >hg38_chrX:70801311-70801321(-) ACACAATGGCT >hg38_chrX:70872456-70872466(+) TTACAATGTCA >hg38_chrX:70931376-70931386(+) GGACAATAAGA >hg38_chrX:70970938-70970948(+) tgacaatgaaa >hg38_chrX:70996140-70996150(-) atacaataata >hg38_chrX:71038852-71038862(-) aaacaatgcca >hg38_chrX:71053495-71053505(-) ACACAATGGGA >hg38_chrX:71068792-71068802(+) CAACAATAGTA >hg38_chrX:71118210-71118220(+) tcacaatagcc >hg38_chrX:71118552-71118562(-) GGACAATACCG >hg38_chrX:71183473-71183483(-) GGACAATGCAA >hg38_chrX:71283160-71283170(+) ATACAATGACA >hg38_chrX:71283166-71283176(-) TAACAATGTCA >hg38_chrX:71366931-71366941(+) GGACAATGGGG >hg38_chrX:71440368-71440378(-) tcacaatggca >hg38_chrX:71554668-71554678(+) TGACAATAGTC >hg38_chrX:71682793-71682803(-) aaacaaaggag >hg38_chrX:71910183-71910193(-) GAACAATAGAC >hg38_chrX:71910234-71910244(+) TTACAATGTCC >hg38_chrX:71958412-71958422(+) GAACAATAAAG >hg38_chrX:71958453-71958463(+) GAACAATAGTT >hg38_chrX:71997119-71997129(-) AGACAAAGGAA >hg38_chrX:72053062-72053072(+) TAACAATACAT >hg38_chrX:72054966-72054976(-) TTACAAAGGGG >hg38_chrX:72132349-72132359(+) AAACAATCGAC >hg38_chrX:72142674-72142684(-) CCACAATAGGC >hg38_chrX:72177771-72177781(-) CAACAATGATA >hg38_chrX:72181555-72181565(-) AAACAAAGGCA >hg38_chrX:72239276-72239286(-) TCACAATGATG >hg38_chrX:72243748-72243758(+) Gaacaatagct >hg38_chrX:72392182-72392192(+) CCACAATAGTA >hg38_chrX:72410122-72410132(+) TTACAATGGGA >hg38_chrX:72410131-72410141(+) GAACAATGGGA >hg38_chrX:72450549-72450559(+) ttacaatggtc >hg38_chrX:72573034-72573044(-) AAACAATCGAT >hg38_chrX:72622244-72622254(-) ATACAATAGGA >hg38_chrX:72622560-72622570(-) ATACAAAGGTG >hg38_chrX:73454256-73454266(-) tcacaatgacc >hg38_chrX:73454319-73454329(+) gtacaatatca >hg38_chrX:73471736-73471746(-) ggacaataaaa >hg38_chrX:73475406-73475416(-) ATACAATGCAG >hg38_chrX:73523042-73523052(+) GAACAATGGAG >hg38_chrX:73526451-73526461(+) agacaatgagg >hg38_chrX:73526521-73526531(+) aaataatggtt >hg38_chrX:73580331-73580341(-) TGACAATGTGC >hg38_chrX:73581154-73581164(-) ACACAATAGTA >hg38_chrX:73637529-73637539(-) ggactatggga >hg38_chrX:73637585-73637595(-) ggacaatgaag >hg38_chrX:73728843-73728853(+) GAACAAAGGGA >hg38_chrX:73785688-73785698(-) agacaaaggca >hg38_chrX:73849253-73849263(-) AAACAAAGGCA >hg38_chrX:73854023-73854033(-) ATACAATAACC >hg38_chrX:73854048-73854058(+) ATACAATGGTA >hg38_chrX:73854068-73854078(+) AAACAATGCTT >hg38_chrX:73917459-73917469(-) ATACAAAGGAA >hg38_chrX:73919346-73919356(+) caacaatagaa >hg38_chrX:73965965-73965975(-) taacaatagag >hg38_chrX:73966004-73966014(-) acacaatgggg >hg38_chrX:73973039-73973049(-) tgacaaaggta >hg38_chrX:74011834-74011844(-) gtacaatatca >hg38_chrX:74011841-74011851(+) gtacaatgtta >hg38_chrX:74190733-74190743(+) gtacaatgtgg >hg38_chrX:74226159-74226169(+) gcacaaaggac >hg38_chrX:74274588-74274598(+) ACACAATATAA >hg38_chrX:74281135-74281145(+) ACACTATGGAA >hg38_chrX:74289709-74289719(+) aaacaatgaca >hg38_chrX:74325888-74325898(+) tgacaaaggac >hg38_chrX:74385713-74385723(+) atacaatgaca >hg38_chrX:74385726-74385736(+) ccacaatagcc >hg38_chrX:74390280-74390290(+) tcacaataata >hg38_chrX:74432301-74432311(-) ATACAAAGGAG >hg38_chrX:74514337-74514347(+) aaacaataatc >hg38_chrX:74614577-74614587(-) AGATAATGGCT >hg38_chrX:74614589-74614599(-) TAACAATGGGG >hg38_chrX:74622220-74622230(-) AAACAAAGGCA >hg38_chrX:74622237-74622247(+) GCACAATGTCT >hg38_chrX:74622257-74622267(-) CAACAATGCCA >hg38_chrX:74643978-74643988(-) acacaatatat >hg38_chrX:74644043-74644053(+) gaacaatgctg >hg38_chrX:74779263-74779273(-) GAACAATAAGA >hg38_chrX:74779332-74779342(+) TTACAATGGAA >hg38_chrX:74930082-74930092(+) TGACAATAGAC >hg38_chrX:75050733-75050743(+) AAATAATGGTT >hg38_chrX:75050749-75050759(+) CGACAATGGCG >hg38_chrX:75096167-75096177(+) AAACAATACTA >hg38_chrX:75096208-75096218(+) CAACAATACGT >hg38_chrX:75132449-75132459(-) tgacaatggca >hg38_chrX:75151808-75151818(-) GAACACTGGAC >hg38_chrX:75156312-75156322(+) GGACACTGGCG >hg38_chrX:75299245-75299255(-) CAACAATGACT >hg38_chrX:75339298-75339308(-) ATACAATGGAA >hg38_chrX:75339737-75339747(-) taacaatagat >hg38_chrX:75541782-75541792(-) agacaatgggg >hg38_chrX:75625397-75625407(-) ACACAATGAAG >hg38_chrX:76011708-76011718(-) AGACAATGCTG >hg38_chrX:76108572-76108582(+) GTATAATGGAT >hg38_chrX:76108599-76108609(-) ATATAATGGAA >hg38_chrX:76176707-76176717(+) ACACAATAGGT >hg38_chrX:77175256-77175266(-) AGACAATGAAG >hg38_chrX:77424379-77424389(-) gtacaaaggcc >hg38_chrX:77505620-77505630(-) ATATAATGGTG >hg38_chrX:77505695-77505705(+) ATACAATAAAG >hg38_chrX:77527091-77527101(+) AAACAATACTA >hg38_chrX:77534536-77534546(+) AAACAATAGTG >hg38_chrX:77616060-77616070(-) atacaatgaat >hg38_chrX:77653998-77654008(+) GTACAATACAA >hg38_chrX:77654003-77654013(+) ATACAATGAAT >hg38_chrX:77675403-77675413(-) gaataatgggc >hg38_chrX:77675472-77675482(-) GGACAATAGCA >hg38_chrX:77890770-77890780(+) tcacaatagcc >hg38_chrX:78048197-78048207(+) ATACACTGGTC >hg38_chrX:78048897-78048907(-) TAATAATGGAA >hg38_chrX:78112115-78112125(-) gaacaatggta >hg38_chrX:78112168-78112178(+) gaacaatggtt >hg38_chrX:78123100-78123110(-) ATACAATATAA >hg38_chrX:78322588-78322598(-) CTACGATGGAA >hg38_chrX:78322969-78322979(-) GTACAATGGAA >hg38_chrX:78455232-78455242(+) TAACAAAGGAC >hg38_chrX:78577698-78577708(+) ttacaatgatg >hg38_chrX:78577709-78577719(+) gcacaaaggaa >hg38_chrX:78594734-78594744(-) agacaaaggta >hg38_chrX:78598906-78598916(+) taacaataaat >hg38_chrX:78615532-78615542(-) acacaatggag >hg38_chrX:78672105-78672115(+) tgacaatgcat >hg38_chrX:78710361-78710371(+) TAACAATGTGT >hg38_chrX:78831876-78831886(-) GAACAATGTAG >hg38_chrX:78831902-78831912(-) ctacaatgaac >hg38_chrX:79104144-79104154(-) tcacaatagag >hg38_chrX:79130925-79130935(-) tcacaatatac >hg38_chrX:79130992-79131002(+) agacaatggga >hg38_chrX:79134767-79134777(-) gaacagtggag >hg38_chrX:79146799-79146809(-) GTACAAAGGGG >hg38_chrX:79293742-79293752(+) aaacaatagca >hg38_chrX:79301117-79301127(+) ggacaatgagg >hg38_chrX:79337695-79337705(-) aaacaatggta >hg38_chrX:79728604-79728614(+) agataatggca >hg38_chrX:79728636-79728646(-) acacaaaggca >hg38_chrX:80252111-80252121(+) taataatggta >hg38_chrX:80252119-80252129(+) gtacaataatc >hg38_chrX:80252144-80252154(-) gGAcaatgtta >hg38_chrX:80252152-80252162(-) ggacaaaggGA >hg38_chrX:80429040-80429050(+) tcataatggca >hg38_chrX:80646748-80646758(-) gaactatggaa >hg38_chrX:80671566-80671576(-) TTACAATAAAA >hg38_chrX:80708900-80708910(+) TAACAATGAAA >hg38_chrX:80757327-80757337(+) AAACAATGTGT >hg38_chrX:80765315-80765325(+) ccacaatagag >hg38_chrX:80807767-80807777(-) CAACAATGGAC >hg38_chrX:80877129-80877139(-) AGACAAAGGAG >hg38_chrX:81013360-81013370(-) TAATAATGGAG >hg38_chrX:81013412-81013422(+) ACACAATGCCA >hg38_chrX:81121096-81121106(+) gaacaaaGGCA >hg38_chrX:81194620-81194630(+) atacaatgcta >hg38_chrX:81195874-81195884(-) AAACAATGTGG >hg38_chrX:81226956-81226966(+) tgacaatagga >hg38_chrX:81226989-81226999(-) taacaatggca >hg38_chrX:81255561-81255571(-) ACACAATGCCC >hg38_chrX:81260215-81260225(-) CTACAATGTAT >hg38_chrX:81261764-81261774(+) GAACAATGCCT >hg38_chrX:81301848-81301858(-) ttacaatagac >hg38_chrX:81317203-81317213(-) gaacaataata >hg38_chrX:81337485-81337495(-) aaacaaagggc >hg38_chrX:81433681-81433691(-) atacaatgtga >hg38_chrX:81869846-81869856(+) gaacaatagcc >hg38_chrX:81869882-81869892(-) atacaatgccc >hg38_chrX:82284599-82284609(+) aaacaatgtag >hg38_chrX:82292065-82292075(-) GCACAATGCCA >hg38_chrX:82299229-82299239(-) caataatggta >hg38_chrX:82707171-82707181(+) AAACAATGGCA >hg38_chrX:82722154-82722164(-) tcacaatagcc >hg38_chrX:82724851-82724861(+) TAACAATGACT >hg38_chrX:83149964-83149974(-) taacaatgaaa >hg38_chrX:83338162-83338172(-) AGATAATGGTA >hg38_chrX:83464345-83464355(-) ggacaatagca >hg38_chrX:83501513-83501523(+) AAACAATATAT >hg38_chrX:83503415-83503425(-) GGACAATAGAG >hg38_chrX:83503464-83503474(+) ACACAATGCCT >hg38_chrX:83514600-83514610(+) TAACAATGCAG >hg38_chrX:83517110-83517120(+) TCACAATAGAA >hg38_chrX:83526764-83526774(+) caacaatgact >hg38_chrX:83578960-83578970(+) ATACAATACAC >hg38_chrX:83845525-83845535(-) aaacaataggc >hg38_chrX:84078560-84078570(+) gaacaatgatg >hg38_chrX:84158602-84158612(-) TGACAATGGAT >hg38_chrX:84174316-84174326(+) ATACAATATTC >hg38_chrX:84351700-84351710(-) acacaatggtg >hg38_chrX:84377129-84377139(-) tgacaatgtct >hg38_chrX:84407343-84407353(+) gaataatggcc >hg38_chrX:84446541-84446551(+) ACACAATGTCT >hg38_chrX:84452024-84452034(+) taacaaaggac >hg38_chrX:84453479-84453489(+) gaacaatgggc >hg38_chrX:84502447-84502457(+) AGACAATGAAA >hg38_chrX:84563857-84563867(-) ACACAATGAAT >hg38_chrX:84568939-84568949(-) ACACAATGCCA >hg38_chrX:84650464-84650474(+) acacaatgatc >hg38_chrX:84650542-84650552(-) acacaatggtt >hg38_chrX:85109365-85109375(+) TCATAATGGCG >hg38_chrX:85109377-85109387(+) TCACAATGCAG >hg38_chrX:85234936-85234946(+) aaacaataaca >hg38_chrX:85295828-85295838(-) taacaatggga >hg38_chrX:85436117-85436127(+) agataatggga >hg38_chrX:85473028-85473038(-) acataatggcc >hg38_chrX:85503786-85503796(-) GAACAATGAGG >hg38_chrX:85506014-85506024(+) TAACAATAGCC >hg38_chrX:85506069-85506079(-) AGACAATGTTT >hg38_chrX:85581215-85581225(-) ggacaatggga >hg38_chrX:85627698-85627708(+) ttacaatgtag >hg38_chrX:85901864-85901874(+) GAACAATGAAT >hg38_chrX:85992423-85992433(+) GTACAATATAC >hg38_chrX:85992430-85992440(+) ATACTATGGAC >hg38_chrX:85992986-85992996(+) ACACAAAGGTT >hg38_chrX:86022112-86022122(+) caacaatgtga >hg38_chrX:86029388-86029398(+) GGATAATGGCA >hg38_chrX:86037848-86037858(-) TTACAATGGAT >hg38_chrX:86162350-86162360(+) GAACAAAGGAA >hg38_chrX:86263706-86263716(-) AGACAATGGCA >hg38_chrX:86347555-86347565(+) AGACAATGAAA >hg38_chrX:86370449-86370459(+) acacaatagca >hg38_chrX:86379399-86379409(+) CTACAATGCTT >hg38_chrX:86384380-86384390(-) GGACAATGCCT >hg38_chrX:86468746-86468756(-) ccacAATAGGC >hg38_chrX:86513769-86513779(-) GAACAAAGGGT >hg38_chrX:86672354-86672364(+) agacaataggg >hg38_chrX:86728459-86728469(+) agactatggag >hg38_chrX:86728526-86728536(+) aaacaatgaga >hg38_chrX:86814039-86814049(-) atacaatggtg >hg38_chrX:86946498-86946508(-) TGACAATGGGA >hg38_chrX:86981655-86981665(-) agacaatagta >hg38_chrX:87080664-87080674(-) aaacaatgtgt >hg38_chrX:87237013-87237023(-) gtacaatgcct >hg38_chrX:87517836-87517846(+) TAACAAAGGCT >hg38_chrX:87546161-87546171(+) aaacaatgggg >hg38_chrX:87583960-87583970(+) taacaatggtg >hg38_chrX:87634513-87634523(+) AGACAATGACC >hg38_chrX:87680143-87680153(-) aaacaatggga >hg38_chrX:88388579-88388589(+) taacactggaa >hg38_chrX:88395509-88395519(-) AGATAATGGAG >hg38_chrX:88507036-88507046(+) caacaatggag >hg38_chrX:88507047-88507057(-) ccacaatggtg >hg38_chrX:88883528-88883538(-) ATACAATGACA >hg38_chrX:88944870-88944880(+) caacaatggaa >hg38_chrX:88944913-88944923(+) gaacaaaggaa >hg38_chrX:88950972-88950982(+) ctacaatgaga >hg38_chrX:88952339-88952349(+) gaacaaaggat >hg38_chrX:88991131-88991141(+) tcacaatggaa >hg38_chrX:88991681-88991691(-) atacaatggga >hg38_chrX:89010009-89010019(-) ATACAATGGAA >hg38_chrX:89010061-89010071(+) CAACAATAGAA >hg38_chrX:89132686-89132696(-) caacaatggag >hg38_chrX:90063244-90063254(-) tgacaatgctc >hg38_chrX:90093628-90093638(+) TGACAATAGTA >hg38_chrX:91122349-91122359(-) GAACAAAGGCT >hg38_chrX:91456261-91456271(+) aaacaatgtgg >hg38_chrX:91488989-91488999(-) atacaatggaa >hg38_chrX:92194869-92194879(-) GAACAATGCTT >hg38_chrX:93129886-93129896(-) acacaatagaa >hg38_chrX:93129908-93129918(-) ccacaatgaga >hg38_chrX:93446909-93446919(-) ggacaaaggca >hg38_chrX:93452388-93452398(+) TTATAATGGAT >hg38_chrX:93553128-93553138(+) caacaaaggac >hg38_chrX:93573970-93573980(+) GAACAAAGGAA >hg38_chrX:93637744-93637754(+) ggacaatgggg >hg38_chrX:93637794-93637804(-) ttataatggga >hg38_chrX:93649582-93649592(-) gaacaatggtt >hg38_chrX:93649605-93649615(-) gaacaatgtcc >hg38_chrX:93651408-93651418(+) gaacaatagaa >hg38_chrX:93729603-93729613(+) GAACAATGCAG >hg38_chrX:93770349-93770359(-) gtacactggac >hg38_chrX:93855676-93855686(-) gtacaatagtg >hg38_chrX:94049677-94049687(-) taacaatgcca >hg38_chrX:94114038-94114048(-) CTATAATGGCC >hg38_chrX:94154717-94154727(-) ggacaatgtga >hg38_chrX:94154742-94154752(-) aaataatggta >hg38_chrX:94192413-94192423(+) aaacaaaggtg >hg38_chrX:94198010-94198020(-) gaacaatggca >hg38_chrX:94198050-94198060(-) ctacaatagat >hg38_chrX:94396304-94396314(+) tgataatggct >hg38_chrX:94454803-94454813(-) aaacaatgggg >hg38_chrX:94668051-94668061(-) acacaatgcac >hg38_chrX:94684767-94684777(-) ccacaatagag >hg38_chrX:94758424-94758434(+) atacaaaggcc >hg38_chrX:95022024-95022034(+) ttacaatgcaa >hg38_chrX:95128505-95128515(-) taacaatacaa >hg38_chrX:95128556-95128566(-) tgacaatgatt >hg38_chrX:95143449-95143459(-) acacaatgaga >hg38_chrX:95172756-95172766(+) ggacaaaggac >hg38_chrX:95187748-95187758(-) aaacaatgcag >hg38_chrX:95511509-95511519(-) TTACAATGCTG >hg38_chrX:95511834-95511844(+) TAACAAAGGCA >hg38_chrX:95511848-95511858(+) GAACAATGATA >hg38_chrX:95536258-95536268(+) aaacaatagtt >hg38_chrX:95682650-95682660(-) TAACAATAGGA >hg38_chrX:95694729-95694739(-) AAACAATGTGG >hg38_chrX:95731350-95731360(-) ACATAATGGTA >hg38_chrX:95765356-95765366(+) TCACAAAGGAT >hg38_chrX:95797512-95797522(+) agacaatggga >hg38_chrX:95885070-95885080(-) GGACAATGTCT >hg38_chrX:95885133-95885143(+) GGACAATGATA >hg38_chrX:95885920-95885930(+) GCACAAAGgga >hg38_chrX:95885952-95885962(+) taacaatatga >hg38_chrX:95914048-95914058(-) agacaatgtct >hg38_chrX:95914085-95914095(-) gaacaaaggtt >hg38_chrX:95995693-95995703(+) ATACAATAAAA >hg38_chrX:95995710-95995720(+) CAACAATGTCA >hg38_chrX:96004509-96004519(-) atacaatattc >hg38_chrX:96099282-96099292(+) ggacaaaggta >hg38_chrX:96099335-96099345(+) agacaatgaat >hg38_chrX:96170842-96170852(+) ACACAATGGCT >hg38_chrX:96231249-96231259(+) gaacaatagta >hg38_chrX:96406023-96406033(-) ttacaaaggtg >hg38_chrX:96433327-96433337(+) GAACAATGGGT >hg38_chrX:96444803-96444813(-) GAACAATGCAA >hg38_chrX:96552893-96552903(+) CAACAATGACA >hg38_chrX:96552911-96552921(-) ACACAATGTAT >hg38_chrX:96552922-96552932(-) TCACAATAATA >hg38_chrX:96563538-96563548(+) atacaaagggg >hg38_chrX:96563561-96563571(+) caacaatgggg >hg38_chrX:96612159-96612169(+) CTACAAAGGAT >hg38_chrX:96652516-96652526(-) gaactatggat >hg38_chrX:96690743-96690753(-) ACACAATGAAA >hg38_chrX:96690939-96690949(-) GCACAATGACT >hg38_chrX:96710226-96710236(+) CCACAATAGTT >hg38_chrX:96713509-96713519(-) gaactatggac >hg38_chrX:96801169-96801179(-) AAACAATGATG >hg38_chrX:96822392-96822402(-) GCACAATGTAT >hg38_chrX:96832407-96832417(+) tcacaatgaga >hg38_chrX:96847047-96847057(-) GGACAAAGGAG >hg38_chrX:96906979-96906989(-) AAACAATAGAC >hg38_chrX:96920671-96920681(+) AAACAATGAGA >hg38_chrX:96928797-96928807(-) AAACAAAGACG >hg38_chrX:96928828-96928838(-) ATACAATGTAT >hg38_chrX:96979451-96979461(-) GAACAAAGGAG >hg38_chrX:96996026-96996036(-) ATATAATGTAA >hg38_chrX:97021842-97021852(-) gcacaaaggaa >hg38_chrX:97058066-97058076(-) ATACAATGACC >hg38_chrX:97058089-97058099(-) ATATAATGGAA >hg38_chrX:97060185-97060195(+) CAACAATGATC >hg38_chrX:97084559-97084569(+) GGACAAAGGAA >hg38_chrX:97098187-97098197(-) ccacaatgaaa >hg38_chrX:97103142-97103152(-) TAACAATGCCC >hg38_chrX:97123150-97123160(-) GAACAAAGGAA >hg38_chrX:97123190-97123200(-) ACACAATGGAC >hg38_chrX:97123200-97123210(-) GTACAATGAAA >hg38_chrX:97124547-97124557(+) GAACAATAGTT >hg38_chrX:97126164-97126174(+) AAACAAAGGCT >hg38_chrX:97126840-97126850(+) AAACAATGTCT >hg38_chrX:97160292-97160302(+) tcacaatgtct >hg38_chrX:97167165-97167175(+) gaacaatgttt >hg38_chrX:97210504-97210514(-) ATACAATGCTG >hg38_chrX:97213524-97213534(-) ATATAATAGTA >hg38_chrX:97238802-97238812(-) GAACAATAGAC >hg38_chrX:97290239-97290249(-) TTACAATAAAA >hg38_chrX:97290285-97290295(+) AGACAAAGGAG >hg38_chrX:97304270-97304280(-) TGACAATGCTT >hg38_chrX:97444225-97444235(-) AAACAATAGAA >hg38_chrX:97444266-97444276(+) ATACAATActc >hg38_chrX:97447514-97447524(+) TAATAATggag >hg38_chrX:97448896-97448906(-) ACACAATAGCT >hg38_chrX:97449785-97449795(-) GCACAATGGGA >hg38_chrX:97467442-97467452(+) AAACAATGTAG >hg38_chrX:97467481-97467491(-) GTACAAAGGGA >hg38_chrX:97482373-97482383(-) CAACAATGTTG >hg38_chrX:97485581-97485591(-) CAACAATGAAG >hg38_chrX:97523085-97523095(+) GAACAATGACA >hg38_chrX:97525657-97525667(+) AAACAAAGGGT >hg38_chrX:97540282-97540292(-) AAACAATAGAA >hg38_chrX:97540297-97540307(-) AGACAAAGGTA >hg38_chrX:97564694-97564704(-) Ttactatggtt >hg38_chrX:97566849-97566859(+) aaacaatgtta >hg38_chrX:97567112-97567122(-) AAACAATGAAC >hg38_chrX:97588010-97588020(-) TTACAATGGAT >hg38_chrX:97594673-97594683(-) TTACAATGAGC >hg38_chrX:97607970-97607980(-) ACACAATATTA >hg38_chrX:97638478-97638488(-) AAACAATAGTC >hg38_chrX:97639162-97639172(+) GCACAATGAGA >hg38_chrX:97639354-97639364(-) GTACAAAGGCT >hg38_chrX:97686279-97686289(+) TTACACTGGAT >hg38_chrX:97691978-97691988(-) TAACAATGCTT >hg38_chrX:97692259-97692269(+) aaacaatcggc >hg38_chrX:97714861-97714871(-) TGACAATGGTG >hg38_chrX:97729932-97729942(-) AGACAATGCTG >hg38_chrX:97739430-97739440(-) TAACAATGTCT >hg38_chrX:97764767-97764777(-) TAACAATACTA >hg38_chrX:97805084-97805094(+) AAACAATGCGC >hg38_chrX:97805095-97805105(+) TAACAATGTAA >hg38_chrX:97805108-97805118(+) GAACAATAGAG >hg38_chrX:97818860-97818870(-) atacaaaggta >hg38_chrX:97931905-97931915(+) gaacaaaggac >hg38_chrX:97935664-97935674(+) AAATAATGGTT >hg38_chrX:97935706-97935716(+) ACACAATGTTG >hg38_chrX:97937230-97937240(-) GTACAGTGGGG >hg38_chrX:97968540-97968550(+) TCACAATGGAA >hg38_chrX:98068210-98068220(-) caacaatgaaa >hg38_chrX:98081149-98081159(+) GAATAATGGGA >hg38_chrX:98108588-98108598(-) GAACAATGAAG >hg38_chrX:98108644-98108654(+) TAACAATAAAA >hg38_chrX:98110000-98110010(-) CAACAATGTCT >hg38_chrX:98114246-98114256(-) gaacaatagcc >hg38_chrX:98141672-98141682(-) GGACAATAAGA >hg38_chrX:98166495-98166505(-) CAACAATAGCA >hg38_chrX:98166562-98166572(+) AAACAATGGCT >hg38_chrX:98351104-98351114(+) GGACAAAGGAG >hg38_chrX:98391457-98391467(+) gaataatggcc >hg38_chrX:98391499-98391509(-) gaacaatatca >hg38_chrX:98421274-98421284(+) tgataatggag >hg38_chrX:98421300-98421310(-) tgactatggaa >hg38_chrX:98433016-98433026(+) GAACAATAGCA >hg38_chrX:98448800-98448810(-) acacaaaggag >hg38_chrX:98501606-98501616(-) ACACAAAGGCA >hg38_chrX:98542377-98542387(-) GTACAATTGTA >hg38_chrX:98542388-98542398(+) TGACAATGTAG >hg38_chrX:98542403-98542413(-) CTACAATGTGG >hg38_chrX:98548839-98548849(-) taacaaaggac >hg38_chrX:98606049-98606059(+) ctacaaaggga >hg38_chrX:98630457-98630467(+) tgataatggcc >hg38_chrX:98646574-98646584(-) AGACAATGGAG >hg38_chrX:98718538-98718548(+) ctacaatagag >hg38_chrX:98837629-98837639(-) tgacaatgaat >hg38_chrX:98967840-98967850(+) ACACAATGTTT >hg38_chrX:99037791-99037801(+) TAACAATGTAC >hg38_chrX:99037805-99037815(-) TGACAATGGCA >hg38_chrX:99104953-99104963(-) caataatggta >hg38_chrX:99105116-99105126(+) atacaatatcg >hg38_chrX:99137598-99137608(+) GCATAATGGGA >hg38_chrX:99227798-99227808(+) agacaatgctg >hg38_chrX:99242276-99242286(-) tcacaataggt >hg38_chrX:99603279-99603289(+) TTACAACGGAT >hg38_chrX:99612815-99612825(+) ATATAATGGGC >hg38_chrX:99677763-99677773(+) CAACAATGATA >hg38_chrX:99677825-99677835(+) GAATAATAGCG >hg38_chrX:99711489-99711499(+) taacaatgggg >hg38_chrX:99711517-99711527(-) acacaaaggtt >hg38_chrX:99737093-99737103(+) tcacaatgatg >hg38_chrX:99737112-99737122(+) taacaatgcat >hg38_chrX:99750874-99750884(+) agacaatggga >hg38_chrX:99813463-99813473(+) GTACACTGGTG >hg38_chrX:99908212-99908222(+) GAACAATAAAA >hg38_chrX:99914836-99914846(+) AAACAATGACT >hg38_chrX:99914877-99914887(+) AAACAATATTA >hg38_chrX:99934028-99934038(-) TCACAATGCAG >hg38_chrX:99945658-99945668(+) TAACAAAGGCT >hg38_chrX:99945667-99945677(-) AAACAATATAG >hg38_chrX:99992258-99992268(+) GAACAATGAAC >hg38_chrX:99992265-99992275(+) GAACAATGACA >hg38_chrX:99992275-99992285(+) ATACAATAAGT >hg38_chrX:100034774-100034784(+) GAACAATGAGG >hg38_chrX:100048320-100048330(+) aaacaatggag >hg38_chrX:100106141-100106151(-) TAACAATGTTT >hg38_chrX:100118466-100118476(+) CTACAATGAAG >hg38_chrX:100118486-100118496(+) GAACAAAGCGA >hg38_chrX:100119768-100119778(+) CTACAAAGGTC >hg38_chrX:100351173-100351183(-) AAACAAAGGGA >hg38_chrX:100351217-100351227(-) AAACAAAGGCC >hg38_chrX:100379517-100379527(+) AGACAATGAAA >hg38_chrX:100384675-100384685(-) aaacaatgtgt >hg38_chrX:100384684-100384694(-) caacaatggaa >hg38_chrX:100399626-100399636(-) TCACAATGCCC >hg38_chrX:100417850-100417860(-) GCACAATGGCT >hg38_chrX:100419464-100419474(+) TTACAAAGGGG >hg38_chrX:100582011-100582021(+) taacaatgcct >hg38_chrX:100598019-100598029(+) AAACAATGCTC >hg38_chrX:100615186-100615196(-) taacaatgctg >hg38_chrX:100649103-100649113(-) cgacaaTGACT >hg38_chrX:100706957-100706967(+) atacaaaggaa >hg38_chrX:100710986-100710996(+) gaacaatgcct >hg38_chrX:100750768-100750778(-) GAACAAAGGAC >hg38_chrX:100820074-100820084(+) TTACCATGGTA >hg38_chrX:100820075-100820085(-) TTACCATGGTA >hg38_chrX:101165005-101165015(+) ggacaaaggta >hg38_chrX:101208194-101208204(+) gaacaatgcac >hg38_chrX:101211278-101211288(+) GTACAATGTGG >hg38_chrX:101229456-101229466(+) GGACTATGGTC >hg38_chrX:101390502-101390512(+) acataatgggc >hg38_chrX:101407798-101407808(-) GGACAATGGAT >hg38_chrX:101415702-101415712(+) AGACAATAGAG >hg38_chrX:101531093-101531103(-) ggacaatgcaa >hg38_chrX:101550991-101551001(+) GAACAAAGGAA >hg38_chrX:101643916-101643926(-) aaacaaaggtt >hg38_chrX:101732988-101732998(+) GAACAATGCCA >hg38_chrX:101755676-101755686(+) TAACAATGAAT >hg38_chrX:101758256-101758266(-) GAACAATGCCA >hg38_chrX:101758291-101758301(+) GAACAAAGGAA >hg38_chrX:101781299-101781309(+) gaacaatgata >hg38_chrX:101902571-101902581(+) atacaatagag >hg38_chrX:101902616-101902626(+) atacaataaat >hg38_chrX:101932088-101932098(-) GAACAAAGGGG >hg38_chrX:102107943-102107953(+) TTACAATAGCT >hg38_chrX:102108021-102108031(-) CAACAATGTGT >hg38_chrX:102606916-102606926(-) taacaatgaaa >hg38_chrX:102629940-102629950(-) ggacaataaaa >hg38_chrX:102682659-102682669(-) gaacaataact >hg38_chrX:102706286-102706296(+) GCACAATGAAA >hg38_chrX:102862463-102862473(-) TCACAATGCTC >hg38_chrX:102863411-102863421(-) taacaataaat >hg38_chrX:102863894-102863904(+) TGACAATGGTG >hg38_chrX:102892097-102892107(-) caataatggaa >hg38_chrX:102892151-102892161(-) gaacaatgcaa >hg38_chrX:103043065-103043075(+) ctacaatagtt >hg38_chrX:103119611-103119621(-) atacaatattt >hg38_chrX:103156607-103156617(-) TAACAATATTC >hg38_chrX:103164833-103164843(-) ctacaatgcca >hg38_chrX:103184181-103184191(-) ccacaatgtca >hg38_chrX:103362686-103362696(-) GCACAGTGGTA >hg38_chrX:103389578-103389588(-) atacaataaca >hg38_chrX:103404572-103404582(-) GAACAATGTCC >hg38_chrX:103446942-103446952(+) TGACAATGGGG >hg38_chrX:103452993-103453003(-) gaacaaaggta >hg38_chrX:103564073-103564083(-) tgacaatggta >hg38_chrX:103580075-103580085(+) AGACAATGTAG >hg38_chrX:103612675-103612685(-) gaacaatgtta >hg38_chrX:103616858-103616868(-) caacaatgccc >hg38_chrX:103616870-103616880(+) aaacaataggg >hg38_chrX:103627018-103627028(-) agacaataata >hg38_chrX:103628799-103628809(+) TGACAATGCCT >hg38_chrX:103674644-103674654(+) ggacaaaggga >hg38_chrX:103697882-103697892(+) TAACAATGATT >hg38_chrX:103697892-103697902(-) AGACAATGAGA >hg38_chrX:103712162-103712172(-) AGACAATAGGT >hg38_chrX:103761008-103761018(-) TTACAATAGAG >hg38_chrX:103779210-103779220(+) ACACAATGCCA >hg38_chrX:103779234-103779244(-) AGACAATGTTT >hg38_chrX:103781045-103781055(-) TGACAAAGGGA >hg38_chrX:103782767-103782777(-) GAACAATGGCA >hg38_chrX:103791578-103791588(+) TCACAATGGTG >hg38_chrX:103806773-103806783(-) taacaatagag >hg38_chrX:103860388-103860398(-) tcacaatgtct >hg38_chrX:104282806-104282816(-) aaacaataaca >hg38_chrX:104318659-104318669(+) Taacaatgcct >hg38_chrX:104343848-104343858(+) gaacaatgagg >hg38_chrX:104535703-104535713(-) aaacaatgtta >hg38_chrX:104535763-104535773(-) taacaatattc >hg38_chrX:104574639-104574649(+) aaacaatataa >hg38_chrX:104689457-104689467(-) TAACACTGGAC >hg38_chrX:104707723-104707733(+) TTACAATGTGG >hg38_chrX:104707755-104707765(-) ATACAATGTTT >hg38_chrX:104747420-104747430(+) atacaatggtg >hg38_chrX:104818865-104818875(+) gtacaatagag >hg38_chrX:104818918-104818928(+) gcacaatgcct >hg38_chrX:104854401-104854411(-) GAACAATGTCA >hg38_chrX:104990427-104990437(+) TAACAATGGTA >hg38_chrX:104995883-104995893(+) AAACAATGCAC >hg38_chrX:105048115-105048125(-) TCACAATGGAC >hg38_chrX:105049422-105049432(-) GAACAATGCCC >hg38_chrX:105188767-105188777(-) ATACAATGAAT >hg38_chrX:105226707-105226717(-) taagaatggta >hg38_chrX:105295014-105295024(+) AGACAAAGGCA >hg38_chrX:105299641-105299651(+) gaacaatgggg >hg38_chrX:105359103-105359113(-) caacaatggga >hg38_chrX:105402753-105402763(-) AAACAAAGGCA >hg38_chrX:105460863-105460873(+) AGACAATGATT >hg38_chrX:105460916-105460926(+) GGACAATGTAG >hg38_chrX:105465822-105465832(+) GGACAATAGGC >hg38_chrX:105493208-105493218(+) gaacaatgctg >hg38_chrX:105634404-105634414(+) TTACAATGAAG >hg38_chrX:105636001-105636011(+) AAACAATGGGA >hg38_chrX:105636072-105636082(-) CAACAATAGGT >hg38_chrX:105695676-105695686(-) acacaatgtct >hg38_chrX:105695724-105695734(-) gtacaatgttt >hg38_chrX:105695731-105695741(+) gtacaatagat >hg38_chrX:105701777-105701787(-) caacaaTGCAC >hg38_chrX:105709992-105710002(+) aaacaataaca >hg38_chrX:105728717-105728727(-) taacaataatg >hg38_chrX:105752518-105752528(-) GCACAATGCAG >hg38_chrX:105851859-105851869(+) TTACAATGACC >hg38_chrX:105869920-105869930(-) TCACAATGTTT >hg38_chrX:106286141-106286151(+) GCACAATGACA >hg38_chrX:106434649-106434659(-) GCATAATGGAA >hg38_chrX:106502258-106502268(+) taacaatagtt >hg38_chrX:106538674-106538684(-) gaacaatgtcc >hg38_chrX:106685966-106685976(+) agacaatggct >hg38_chrX:106785737-106785747(+) atacaATGCTT >hg38_chrX:106786890-106786900(+) ccacaatgaaa >hg38_chrX:106791271-106791281(-) CTACAATGGAT >hg38_chrX:106791329-106791339(-) TGATAATGGCT >hg38_chrX:106792029-106792039(-) GGACAAAGGTT >hg38_chrX:106792082-106792092(+) TCACAATGAAT >hg38_chrX:106792099-106792109(+) ACACAAAGGCA >hg38_chrX:106811168-106811178(-) TCACAATGTGT >hg38_chrX:106875082-106875092(+) AGATAATGGGC >hg38_chrX:106875655-106875665(-) GCACAATAGCA >hg38_chrX:106875719-106875729(-) GTACAATAGTG >hg38_chrX:106898898-106898908(-) CTACAAAGGGA >hg38_chrX:106978843-106978853(+) ACACAATGAAA >hg38_chrX:106978871-106978881(+) GAACAATGAAT >hg38_chrX:107149328-107149338(+) TTACAATGGTA >hg38_chrX:107176969-107176979(+) ggacaataggc >hg38_chrX:107210029-107210039(-) TAACACTGGAG >hg38_chrX:107248586-107248596(+) agacaatgctt >hg38_chrX:107292869-107292879(+) TTACAAAGGCA >hg38_chrX:107297398-107297408(+) TAACTATGGTT >hg38_chrX:107299615-107299625(+) ACACAATGTTT >hg38_chrX:107299640-107299650(-) TCACAATAGAT >hg38_chrX:107306187-107306197(+) atacaaaggga >hg38_chrX:107306987-107306997(+) aaacaatgcac >hg38_chrX:107308454-107308464(+) caacaatggga >hg38_chrX:107355716-107355726(-) ATACAATGAGG >hg38_chrX:107374304-107374314(+) ccacaataggg >hg38_chrX:107390573-107390583(-) ctacaatgaga >hg38_chrX:107421712-107421722(-) tgacaatgggc >hg38_chrX:107563993-107564003(-) TGACAATGTGC >hg38_chrX:107574446-107574456(+) gtacaatggca >hg38_chrX:107630041-107630051(+) CGATAATGGAC >hg38_chrX:107662535-107662545(-) atacaatgaac >hg38_chrX:107662646-107662656(-) tcacaatgtcc >hg38_chrX:107668888-107668898(+) AAACAATAAGA >hg38_chrX:107668897-107668907(+) GAACAATAGAA >hg38_chrX:107716248-107716258(+) AAACAATGGGG >hg38_chrX:107737056-107737066(-) AAACAAAGGAA >hg38_chrX:107747769-107747779(+) GAACAATGACT >hg38_chrX:107800234-107800244(+) TAACAATGTCT >hg38_chrX:107831538-107831548(-) GAACAAAGGGC >hg38_chrX:107847034-107847044(+) tgataatggcc >hg38_chrX:107852889-107852899(+) GAACAATGGAG >hg38_chrX:107891993-107892003(+) TAACAATGCCC >hg38_chrX:107922836-107922846(-) TCacaatgaag >hg38_chrX:107939132-107939142(-) AAACAATGGCC >hg38_chrX:108025558-108025568(-) ACACAATTGCG >hg38_chrX:108053319-108053329(+) agacaatgata >hg38_chrX:108053721-108053731(-) gtactatgggt >hg38_chrX:108122984-108122994(+) agacaaaggca >hg38_chrX:108122997-108123007(-) acacaatgtct >hg38_chrX:108209561-108209571(-) TGATAATGGTG >hg38_chrX:108347921-108347931(+) aaacaatgggg >hg38_chrX:108356438-108356448(+) TAACAAAGGCT >hg38_chrX:108366806-108366816(-) GAACAATAGAC >hg38_chrX:108397155-108397165(-) ttataatggAT >hg38_chrX:108426427-108426437(+) AGATAATGGCA >hg38_chrX:108510669-108510679(-) atagaatggta >hg38_chrX:108541442-108541452(+) atacactgggc >hg38_chrX:108586206-108586216(+) TAACAATAGAT >hg38_chrX:108588814-108588824(+) tgataatggag >hg38_chrX:108704066-108704076(+) ttacaatagta >hg38_chrX:108725896-108725906(-) TAACAAAGGGC >hg38_chrX:108730170-108730180(+) TTACAATAAAA >hg38_chrX:108797490-108797500(-) gtacaatgata >hg38_chrX:108841388-108841398(-) tgacaaaggat >hg38_chrX:108875784-108875794(-) AAACAATGAAT >hg38_chrX:109039960-109039970(-) ACACAATGGTA >hg38_chrX:109144378-109144388(+) tgacaatgcat >hg38_chrX:109271237-109271247(-) GTATAATGGCA >hg38_chrX:109299445-109299455(+) AGACAATGAGA >hg38_chrX:109398708-109398718(-) ACACAATGCTT >hg38_chrX:109445060-109445070(-) AGACAATGAGC >hg38_chrX:109473132-109473142(+) AAACAAAGGAA >hg38_chrX:109475459-109475469(-) AGACAATAAAA >hg38_chrX:109511294-109511304(+) agacaataaaa >hg38_chrX:109530270-109530280(+) tcataatggtc >hg38_chrX:109537358-109537368(-) GAACAATGAAT >hg38_chrX:109544470-109544480(+) GAACAATGGTA >hg38_chrX:109544523-109544533(-) GAACAATGCAC >hg38_chrX:109562420-109562430(+) TTACAATGGCC >hg38_chrX:109562453-109562463(+) CCACAATGCAT >hg38_chrX:109624101-109624111(-) AGACAAAGGAA >hg38_chrX:109640785-109640795(+) GTACAATAATA >hg38_chrX:109640820-109640830(-) gaacaatagct >hg38_chrX:109655791-109655801(+) acacaaaggaa >hg38_chrX:109660691-109660701(+) tgacaatgaat >hg38_chrX:109660713-109660723(-) atataatgtat >hg38_chrX:109660726-109660736(+) atacaatggaa >hg38_chrX:109660734-109660744(-) taacaatattc >hg38_chrX:109666418-109666428(-) acacaatgcct >hg38_chrX:109666438-109666448(+) gaataatggaa >hg38_chrX:109750281-109750291(-) GAACAAAGGAG >hg38_chrX:109775447-109775457(+) atacaaaggcc >hg38_chrX:109775454-109775464(-) atacaaaggcc >hg38_chrX:109855701-109855711(-) GGACAAAGGCA >hg38_chrX:109862513-109862523(+) TAACAATGGCC >hg38_chrX:109889115-109889125(+) TTACAATGAGT >hg38_chrX:109891177-109891187(+) acacaatggcg >hg38_chrX:109911171-109911181(-) ACACAATGGAT >hg38_chrX:109967850-109967860(-) atacaatgaac >hg38_chrX:110027008-110027018(-) aaataatggcc >hg38_chrX:110077843-110077853(-) ttacaaaggga >hg38_chrX:110077897-110077907(-) ggacaatgaaa >hg38_chrX:110102954-110102964(+) caacaatgtta >hg38_chrX:110185014-110185024(+) gcacaatacgc >hg38_chrX:110195807-110195817(+) CGACAAAGGTG >hg38_chrX:110204780-110204790(+) ATACAAAGGAC >hg38_chrX:110215573-110215583(+) ATACAATAATG >hg38_chrX:110215633-110215643(-) aaacaatggga >hg38_chrX:110256903-110256913(-) GGACAATGTTA >hg38_chrX:110257161-110257171(+) ATACAATATTT >hg38_chrX:110257230-110257240(+) AGACAATGACT >hg38_chrX:110258867-110258877(+) TCACAATGAGC >hg38_chrX:110258917-110258927(+) ACACGATGGTC >hg38_chrX:110262665-110262675(+) CCACAATGACC >hg38_chrX:110262707-110262717(+) TGATAATGGGA >hg38_chrX:110281135-110281145(-) TAACAATGAGA >hg38_chrX:110281159-110281169(+) CCACAATGAAA >hg38_chrX:110304996-110305006(+) aaacaatgagt >hg38_chrX:110352452-110352462(-) atacaatagat >hg38_chrX:110372016-110372026(-) TAACAATGGGG >hg38_chrX:110372042-110372052(+) GGACAATGTGG >hg38_chrX:110404956-110404966(-) TGACAATGGCC >hg38_chrX:110520364-110520374(-) AGACAAAGGAA >hg38_chrX:110520388-110520398(+) TAACAATGTTG >hg38_chrX:110584217-110584227(+) AGACAATGGCA >hg38_chrX:110667915-110667925(+) GGACAATGGCA >hg38_chrX:110735097-110735107(+) AAACAATGTGA >hg38_chrX:110735145-110735155(-) ATACAAAGGAA >hg38_chrX:110754455-110754465(-) atacaatatgc >hg38_chrX:110754507-110754517(-) CAACAATAGTT >hg38_chrX:110785763-110785773(+) taacaatgagc >hg38_chrX:110798347-110798357(+) gtacaatgtca >hg38_chrX:110941852-110941862(-) AGACAATGCTA >hg38_chrX:110964110-110964120(+) ccacaatgaat >hg38_chrX:110964119-110964129(-) taacaatggat >hg38_chrX:110965453-110965463(-) GGACAATGTCA >hg38_chrX:110966235-110966245(+) ACACAAAGGAG >hg38_chrX:110968070-110968080(+) aaacaatatat >hg38_chrX:110984443-110984453(-) GAACAATGGGT >hg38_chrX:111005352-111005362(-) AGACAAAGGGA >hg38_chrX:111050842-111050852(+) AGACAATGAGT >hg38_chrX:111084192-111084202(+) ATACAATGTTT >hg38_chrX:111181969-111181979(+) GAACAATGTTT >hg38_chrX:111192973-111192983(+) CTACAATGGCT >hg38_chrX:111321212-111321222(+) AAACAATAGCA >hg38_chrX:111386977-111386987(+) GAACAAAGGAA >hg38_chrX:111411366-111411376(-) GAACAATGAAA >hg38_chrX:111445636-111445646(-) ATacagtgggt >hg38_chrX:111519374-111519384(-) TTACTATGGAA >hg38_chrX:111543564-111543574(-) aaacaGTGGTA >hg38_chrX:111681512-111681522(-) GAATAATGGGA >hg38_chrX:111697684-111697694(-) GTACAATAGGA >hg38_chrX:111703068-111703078(-) taacaataaag >hg38_chrX:111703102-111703112(-) caacaatgaaa >hg38_chrX:111709470-111709480(+) GTACAATAATC >hg38_chrX:111735149-111735159(+) TTACAATGGCC >hg38_chrX:111735236-111735246(-) GTACAATAATA >hg38_chrX:111739973-111739983(-) gaacaatgtct >hg38_chrX:111743620-111743630(-) TAACAATATAC >hg38_chrX:111743649-111743659(+) AAACAATGTGG >hg38_chrX:111768494-111768504(-) GAACAATAGTT >hg38_chrX:111769227-111769237(+) ATACAATGTCA >hg38_chrX:111955555-111955565(-) AGACAATGCAG >hg38_chrX:112035131-112035141(+) tAACAATGgac >hg38_chrX:112221853-112221863(-) AAACAATGAAA >hg38_chrX:112363135-112363145(-) TTACAAAGGCT >hg38_chrX:112363160-112363170(+) AGACAATGAAA >hg38_chrX:112363170-112363180(+) AAACAATGGGA >hg38_chrX:112379013-112379023(-) aaacagtggta >hg38_chrX:112460421-112460431(+) CTACAAAGGAA >hg38_chrX:112521908-112521918(-) ggacaatagct >hg38_chrX:112571496-112571506(+) TAACAGTGGTC >hg38_chrX:112614910-112614920(+) TAACAATGAGA >hg38_chrX:112642665-112642675(-) TTACAATAGCC >hg38_chrX:112738854-112738864(+) AAACAATGTAC >hg38_chrX:112841670-112841680(-) GGACAAAGGGA >hg38_chrX:112842716-112842726(-) TTATAATGGCC >hg38_chrX:112842721-112842731(+) TTATAATGGCT >hg38_chrX:112851556-112851566(-) GAACAATGCTT >hg38_chrX:112948164-112948174(-) ctacaaaggaa >hg38_chrX:112948197-112948207(-) AAACAATGCAA >hg38_chrX:113181013-113181023(-) AAACAATGCTT >hg38_chrX:113181638-113181648(-) ACACAATGTAA >hg38_chrX:113181654-113181664(+) TGACAATGACA >hg38_chrX:113182398-113182408(-) GAACAATAACT >hg38_chrX:113400529-113400539(+) taacaatagac >hg38_chrX:113412252-113412262(-) GGACAATGGCT >hg38_chrX:113420506-113420516(+) ctacaatgagg >hg38_chrX:113510345-113510355(-) gaacaatgaag >hg38_chrX:113515065-113515075(+) GTACAAAGGCC >hg38_chrX:113515127-113515137(+) CCACAATGTGT >hg38_chrX:113633297-113633307(-) acataatggcc >hg38_chrX:113674063-113674073(-) TAACAATGGTT >hg38_chrX:113950442-113950452(+) GAACAATGGCC >hg38_chrX:113997204-113997214(+) aaacaaaggcg >hg38_chrX:114020127-114020137(+) caacaatagaa >hg38_chrX:114053504-114053514(+) caacaatgact >hg38_chrX:114074106-114074116(+) GAACAAAGGAA >hg38_chrX:114273208-114273218(-) ggacaatagac >hg38_chrX:114462224-114462234(-) gaacaataggc >hg38_chrX:114472986-114472996(+) aaacaatatta >hg38_chrX:114473042-114473052(+) aaataatggtg >hg38_chrX:114557974-114557984(-) caacaatggct >hg38_chrX:114557991-114558001(-) caacaatgggg >hg38_chrX:114595768-114595778(+) TAACAATAGAC >hg38_chrX:114611176-114611186(-) GAACAATGTGT >hg38_chrX:114780393-114780403(-) AGACAATAGCT >hg38_chrX:114864699-114864709(-) aaacaaaggga >hg38_chrX:114900540-114900550(+) TGACAATGACT >hg38_chrX:114907322-114907332(+) TGACAATGAGC >hg38_chrX:115042452-115042462(+) GAACAATGTGT >hg38_chrX:115220302-115220312(+) GAACAATGTAG >hg38_chrX:115220322-115220332(+) ATACAATAGAC >hg38_chrX:115220332-115220342(+) CTACAATGGAA >hg38_chrX:115232162-115232172(+) CAACAATGAAA >hg38_chrX:115233446-115233456(+) TAACAATGATG >hg38_chrX:115269873-115269883(+) TAACAATATAC >hg38_chrX:115269932-115269942(-) GTACAATGCCA >hg38_chrX:115367761-115367771(-) ACATAATGGTG >hg38_chrX:115399508-115399518(+) ACACAAAGGTT >hg38_chrX:115401140-115401150(-) acacaatgacg >hg38_chrX:115420880-115420890(+) ccacaataggc >hg38_chrX:115483078-115483088(+) aaacaatgacc >hg38_chrX:115548785-115548795(-) ACACAATGGAA >hg38_chrX:115582626-115582636(-) ACACAATGTAG >hg38_chrX:115582662-115582672(+) TAACAATGACC >hg38_chrX:115582669-115582679(-) ACATAATGGTC >hg38_chrX:115582692-115582702(-) CAACAATGGTT >hg38_chrX:115583441-115583451(+) GAATAATGGAA >hg38_chrX:115592375-115592385(-) taacaatggag >hg38_chrX:115602633-115602643(+) ACATAATGGTG >hg38_chrX:115606638-115606648(-) GAACAATGGCA >hg38_chrX:115629499-115629509(-) ATACAATAAAC >hg38_chrX:115639708-115639718(+) GTACAATAGTT >hg38_chrX:115639855-115639865(-) AAACAAAGGTC >hg38_chrX:115682329-115682339(+) taacaaaggcc >hg38_chrX:116726962-116726972(+) agacaatgaag >hg38_chrX:116772786-116772796(-) gcacaatagcc >hg38_chrX:116832996-116833006(+) gaacaatagag >hg38_chrX:116972967-116972977(-) taacaaaggtg >hg38_chrX:116972998-116973008(-) gcacaatgtca >hg38_chrX:117187080-117187090(+) GAACAAAGGGA >hg38_chrX:117494098-117494108(-) ACACAATGAAG >hg38_chrX:117538859-117538869(-) GGACAATGAGG >hg38_chrX:117709054-117709064(+) ATACAATAAAA >hg38_chrX:117709070-117709080(+) AGACAATGTTT >hg38_chrX:117906114-117906124(+) TTACAATGGGA >hg38_chrX:117914642-117914652(+) GAACAAAGGGA >hg38_chrX:117982124-117982134(-) TTATAATGGTC >hg38_chrX:117982888-117982898(-) AGATAATGGAT >hg38_chrX:118030655-118030665(-) tgataatggca >hg38_chrX:118183843-118183853(-) AAACAATGCCT >hg38_chrX:118204358-118204368(-) atacactggtc >hg38_chrX:118220795-118220805(+) GAACAATAGAG >hg38_chrX:118238153-118238163(+) GCACAATGCAG >hg38_chrX:118239786-118239796(+) AAACAAAGGAA >hg38_chrX:118348568-118348578(+) AGACAATAGAC >hg38_chrX:118356517-118356527(+) ACACAATGTTT >hg38_chrX:118362687-118362697(+) ATACAGTGGCA >hg38_chrX:118373760-118373770(+) gtacaatgtaa >hg38_chrX:118390241-118390251(+) AAACAATGCTT >hg38_chrX:118390282-118390292(-) GAACAATGAGA >hg38_chrX:118421031-118421041(-) GAACAATAGGA >hg38_chrX:118435863-118435873(+) atataatgcga >hg38_chrX:118435875-118435885(+) gaacaatgctt >hg38_chrX:118498220-118498230(+) ttacaatgtgc >hg38_chrX:118498234-118498244(+) acacaatgcta >hg38_chrX:118520037-118520047(-) ttacaaaggct >hg38_chrX:118668892-118668902(-) gcacaatggtg >hg38_chrX:118682537-118682547(+) ggacaatgaag >hg38_chrX:118703055-118703065(+) GTACaatgctt >hg38_chrX:118719160-118719170(+) ttacaataaac >hg38_chrX:118720612-118720622(+) AAACAATGGAG >hg38_chrX:118777843-118777853(-) acacaatgata >hg38_chrX:118793221-118793231(+) AAACAATGACT >hg38_chrX:118839762-118839772(-) CCACAATGTCA >hg38_chrX:118847894-118847904(+) AAACAATGGTA >hg38_chrX:118862164-118862174(-) ATACAATGCAC >hg38_chrX:118971665-118971675(+) TTACAATGTAA >hg38_chrX:118990241-118990251(-) AAACAATGTCC >hg38_chrX:119079007-119079017(-) GAACAATATAT >hg38_chrX:119079027-119079037(+) ATACAAAGGAA >hg38_chrX:119200120-119200130(+) agacaatggtt >hg38_chrX:119235392-119235402(-) AGACAAAGGCG >hg38_chrX:119237532-119237542(+) GGACAATGGTA >hg38_chrX:119348813-119348823(-) atacaatgcag >hg38_chrX:119381690-119381700(-) ctacaatgcat >hg38_chrX:119459819-119459829(+) AGACAATGAGG >hg38_chrX:119459850-119459860(+) CAACAATAGGA >hg38_chrX:119476186-119476196(-) AAATAATGGCT >hg38_chrX:119512614-119512624(-) GCACAATGAAA >hg38_chrX:119640990-119641000(+) TCACAATAGAG >hg38_chrX:119641003-119641013(+) TAACAATGACA >hg38_chrX:119660539-119660549(-) TAATAATGGCT >hg38_chrX:119660553-119660563(-) GGACAATGCCT >hg38_chrX:119691166-119691176(+) GAACAAAGGGC >hg38_chrX:119757083-119757093(+) gaacaaaggct >hg38_chrX:119758060-119758070(+) GGACAATGGCA >hg38_chrX:119790808-119790818(+) TAATAATGGGA >hg38_chrX:119826829-119826839(+) aaacaatgact >hg38_chrX:120030983-120030993(-) TAACAATATTA >hg38_chrX:120031013-120031023(-) GAACAATGTTT >hg38_chrX:120031693-120031703(-) GTACAATAAAA >hg38_chrX:120219773-120219783(+) TAACAATGCAT >hg38_chrX:120268145-120268155(+) AGACAATGGAA >hg38_chrX:120275073-120275083(+) GAACAAAGGGC >hg38_chrX:120340766-120340776(+) taacaatggtt >hg38_chrX:120408301-120408311(+) agacaatgacc >hg38_chrX:120486113-120486123(-) GTACAATAGTG >hg38_chrX:120553277-120553287(+) CAACAATGCTA >hg38_chrX:120561786-120561796(-) GCATAATGGCA >hg38_chrX:120662582-120662592(-) TTACAATGTAT >hg38_chrX:120662649-120662659(-) AAACAAAGGGA >hg38_chrX:120754541-120754551(-) taacaatgcct >hg38_chrX:120776441-120776451(-) GTACAATGTAA >hg38_chrX:120776477-120776487(+) GAACAATGATG >hg38_chrX:120794054-120794064(+) atacaatgaac >hg38_chrX:121141428-121141438(+) aaacaatgttg >hg38_chrX:121161254-121161264(-) GTATAATGGAT >hg38_chrX:121260957-121260967(+) taacaaaggtc >hg38_chrX:121405145-121405155(+) TAACAATAGTC >hg38_chrX:121582085-121582095(-) gcacaatggtg >hg38_chrX:121775008-121775018(-) taacaaaggag >hg38_chrX:121792095-121792105(-) CGACAATAGAC >hg38_chrX:121806601-121806611(-) atacagtggct >hg38_chrX:121806642-121806652(-) aaacaatgact >hg38_chrX:121838065-121838075(-) TCACAATAGCA >hg38_chrX:121955208-121955218(+) GAACAATGCCT >hg38_chrX:121955214-121955224(-) GGACAAAGGCA >hg38_chrX:122115824-122115834(-) AGACAATGATG >hg38_chrX:122127386-122127396(-) taacaatatga >hg38_chrX:122127440-122127450(-) tgacaatgaga >hg38_chrX:122127446-122127456(-) ccacaatgaca >hg38_chrX:122217799-122217809(+) GAACAATCGGG >hg38_chrX:122221544-122221554(+) atataatgata >hg38_chrX:122245245-122245255(+) ctacaatgtag >hg38_chrX:122407528-122407538(+) taataatagta >hg38_chrX:122525992-122526002(+) gaacaatacta >hg38_chrX:122568109-122568119(-) ACACAATGCAA >hg38_chrX:122569008-122569018(+) ATACAATGAGA >hg38_chrX:122572726-122572736(+) atacaataaaa >hg38_chrX:122572739-122572749(+) atacaatacat >hg38_chrX:122575132-122575142(-) agacaatgggg >hg38_chrX:122623045-122623055(+) TGACAATGGAC >hg38_chrX:122638719-122638729(+) TTACAATGGAT >hg38_chrX:122660768-122660778(-) gaacaatgccc >hg38_chrX:122661882-122661892(+) TAACAATATCT >hg38_chrX:122662199-122662209(-) AGACAATGTTT >hg38_chrX:122667756-122667766(-) ACACAATGCAA >hg38_chrX:122670106-122670116(-) AAACAATAGTA >hg38_chrX:122774554-122774564(-) taacaatggca >hg38_chrX:122785780-122785790(-) taacaatagta >hg38_chrX:122790862-122790872(+) ACACAATGTGC >hg38_chrX:122869641-122869651(-) CTACAATGTGT >hg38_chrX:122881419-122881429(-) taacaatcgac >hg38_chrX:122930036-122930046(+) ACACAATGATT >hg38_chrX:122966257-122966267(+) TCACAATGGAA >hg38_chrX:122988919-122988929(-) TAACAATGGGA >hg38_chrX:122992041-122992051(+) TTACAATAGCT >hg38_chrX:123029777-123029787(-) TAACAATGAAA >hg38_chrX:123073128-123073138(+) GAACAATGCTT >hg38_chrX:123141209-123141219(+) AGACAAAGGAA >hg38_chrX:123141236-123141246(+) AAACAATGACA >hg38_chrX:123175313-123175323(-) ATACAAAGGCA >hg38_chrX:123228064-123228074(+) TAACAATAACA >hg38_chrX:123228128-123228138(-) TAACAATGTTG >hg38_chrX:123234932-123234942(+) AAACAAAGGTT >hg38_chrX:123278152-123278162(+) GTACAATGGTG >hg38_chrX:123324748-123324758(+) ACACAAAGGAG >hg38_chrX:123401569-123401579(+) TAACAATAGTG >hg38_chrX:123404228-123404238(-) agacaaaggga >hg38_chrX:123417912-123417922(-) ACACAATGGCT >hg38_chrX:123418690-123418700(+) ccacaatgaga >hg38_chrX:123425555-123425565(+) CAACAATGAAG >hg38_chrX:123459625-123459635(-) AAACAATGGTT >hg38_chrX:123468765-123468775(-) ACACAATGAAG >hg38_chrX:123602315-123602325(-) GAACAATGGCT >hg38_chrX:123612250-123612260(+) tcacaatagcc >hg38_chrX:123612439-123612449(-) gtacaataatg >hg38_chrX:123631258-123631268(+) ACACAAAGACG >hg38_chrX:123658066-123658076(-) ttacaataaca >hg38_chrX:123672887-123672897(-) ATACAAAGGTC >hg38_chrX:123735879-123735889(-) aaacaatggag >hg38_chrX:123765154-123765164(-) AGACAAAGGAG >hg38_chrX:123765403-123765413(-) CGATAATGGCT >hg38_chrX:123854229-123854239(+) GCACAATAATA >hg38_chrX:123854249-123854259(+) TAACAATAGGA >hg38_chrX:123952557-123952567(-) CAAcaatgctc >hg38_chrX:123964046-123964056(-) taacaatgagc >hg38_chrX:123981222-123981232(-) tgacaatggtt >hg38_chrX:123981607-123981617(+) gaataatggtg >hg38_chrX:124049641-124049651(-) TGACAATAGAA >hg38_chrX:124057231-124057241(+) ATATAATGGCT >hg38_chrX:124113761-124113771(-) gaacaaaggaa >hg38_chrX:124168016-124168026(-) gtacaatagca >hg38_chrX:124168284-124168294(+) gtacaatgttg >hg38_chrX:124218085-124218095(+) CCACAATGCAC >hg38_chrX:124223711-124223721(+) ACACAATGCAT >hg38_chrX:124229567-124229577(+) ACACTATGGAT >hg38_chrX:124231437-124231447(+) GAACAAAGGAA >hg38_chrX:124258676-124258686(-) gaacaatgctg >hg38_chrX:124286975-124286985(+) agacaatgtct >hg38_chrX:124289607-124289617(-) TGATAATGGTG >hg38_chrX:124289624-124289634(-) TAACAATGGAT >hg38_chrX:124321700-124321710(-) aaacaatgaga >hg38_chrX:124323241-124323251(+) tcataatggta >hg38_chrX:124329230-124329240(+) acacaataata >hg38_chrX:124378748-124378758(-) ACACaaaggaa >hg38_chrX:124397282-124397292(-) GTACAATGTTA >hg38_chrX:124422017-124422027(+) agacaatgcca >hg38_chrX:124441704-124441714(-) CAACAATAGAG >hg38_chrX:124493087-124493097(+) AGACAATGAGA >hg38_chrX:124509507-124509517(+) AAACAATGTGA >hg38_chrX:124532236-124532246(-) ACACAATGTCT >hg38_chrX:124564555-124564565(+) gaacaatggga >hg38_chrX:124636178-124636188(-) TTATAATGGAT >hg38_chrX:124983297-124983307(-) GAACAATGAGG >hg38_chrX:124983310-124983320(-) CAACAATGGCA >hg38_chrX:124983348-124983358(-) caacaatagga >hg38_chrX:125093968-125093978(-) AAACAAAGGTG >hg38_chrX:125093982-125093992(+) ATACAATAAGA >hg38_chrX:125169657-125169667(+) TAACAATATCC >hg38_chrX:125175962-125175972(-) ACACAAAGGGT >hg38_chrX:125207003-125207013(-) TAACAAAGGAA >hg38_chrX:125623005-125623015(+) TTACTATGGGA >hg38_chrX:125671239-125671249(+) TAACAATGGGT >hg38_chrX:125961349-125961359(+) aaacaataaca >hg38_chrX:126747125-126747135(+) acacaatagcc >hg38_chrX:126901060-126901070(-) tcacagtggta >hg38_chrX:127025044-127025054(-) ttacaatgcga >hg38_chrX:127178038-127178048(-) ACATAATGGTC >hg38_chrX:127178052-127178062(+) TGACAATATAC >hg38_chrX:127178107-127178117(-) TAACAATGGAG >hg38_chrX:127207462-127207472(-) atacaatgctg >hg38_chrX:127227370-127227380(+) CTACAATGTGC >hg38_chrX:127460071-127460081(-) AGACAATGAGA >hg38_chrX:127732769-127732779(+) TTACAATGATA >hg38_chrX:127733023-127733033(-) CAACAATGGGA >hg38_chrX:127829856-127829866(-) gtacaatagcc >hg38_chrX:127855501-127855511(+) gaacagtggtt >hg38_chrX:127855873-127855883(-) aaacaatgccc >hg38_chrX:127858908-127858918(+) gaacaatagac >hg38_chrX:127915672-127915682(-) aaacaatggag >hg38_chrX:128166046-128166056(-) ggacaatggat >hg38_chrX:128300765-128300775(+) ggacaaaggca >hg38_chrX:128515015-128515025(+) gaacaaaggga >hg38_chrX:128702579-128702589(+) ttacaatagat >hg38_chrX:128702593-128702603(+) ttacaatagat >hg38_chrX:128767252-128767262(+) TTACAGTGGTA >hg38_chrX:128816275-128816285(-) AGACAAAGGAT >hg38_chrX:129010924-129010934(-) GGACAATAGGT >hg38_chrX:129014987-129014997(-) GAACAAAGGGA >hg38_chrX:129015022-129015032(+) TGACAATGAAG >hg38_chrX:129091264-129091274(-) TAACAATAGGC >hg38_chrX:129145795-129145805(-) AGATAATGGTA >hg38_chrX:129318840-129318850(+) aCACAATGTTA >hg38_chrX:129347516-129347526(+) atacaatataa >hg38_chrX:129404577-129404587(-) TAACAAAGGTC >hg38_chrX:129412270-129412280(-) CAACAATGTTA >hg38_chrX:129412284-129412294(+) AGACAATGTAA >hg38_chrX:129413246-129413256(+) aaacaatggtg >hg38_chrX:129413312-129413322(+) aaacaatagtg >hg38_chrX:129461042-129461052(-) ATACAATGTAA >hg38_chrX:129463438-129463448(-) gaacaatgtag >hg38_chrX:129478205-129478215(-) ctacaatgtaa >hg38_chrX:129524999-129525009(-) atacagtggaa >hg38_chrX:129525011-129525021(-) tgacaatgaga >hg38_chrX:129582404-129582414(+) GCACAATGCCT >hg38_chrX:129623056-129623066(-) GAACAATGGGG >hg38_chrX:129655199-129655209(+) GGACAATGCCC >hg38_chrX:129686942-129686952(-) AAACAATGGGC >hg38_chrX:129809360-129809370(+) atataatggaa >hg38_chrX:129824745-129824755(+) tcacaatagaa >hg38_chrX:129877169-129877179(-) ATACAGTGGAC >hg38_chrX:129877192-129877202(+) AAACAATAACT >hg38_chrX:129925799-129925809(-) GAACAATGATG >hg38_chrX:129931416-129931426(-) CAACAATCGAA >hg38_chrX:130030154-130030164(+) gaacaaaggaa >hg38_chrX:130048997-130049007(-) atacagtggaa >hg38_chrX:130103483-130103493(+) tcacaatgaga >hg38_chrX:130214744-130214754(+) gaataatggga >hg38_chrX:130234324-130234334(+) ccacaatgaaT >hg38_chrX:130281694-130281704(-) ttataatgggg >hg38_chrX:130281718-130281728(+) gaacaatgggc >hg38_chrX:130339808-130339818(-) AAACAAAGGCG >hg38_chrX:130515220-130515230(+) CCACAATGTCC >hg38_chrX:130515250-130515260(-) GTATAATGAAA >hg38_chrX:130676204-130676214(+) ctacaatgcta >hg38_chrX:130691711-130691721(-) GAACAATAGAA >hg38_chrX:130699393-130699403(-) TAACAATAATG >hg38_chrX:130699429-130699439(-) TGACAATAGAA >hg38_chrX:130703865-130703875(+) gtacaataaaa >hg38_chrX:130703908-130703918(-) TGATAATggta >hg38_chrX:130716583-130716593(+) AGACAAAGGCA >hg38_chrX:130757282-130757292(+) GCACAATGCAT >hg38_chrX:130834299-130834309(+) TTACAATGGAA >hg38_chrX:130867924-130867934(-) ggacaatggta >hg38_chrX:130877638-130877648(-) TCATAATGGAC >hg38_chrX:130903460-130903470(-) GGACAATCGGA >hg38_chrX:130944016-130944026(-) gaacaataaag >hg38_chrX:131019411-131019421(-) ccacaatgaga >hg38_chrX:131037918-131037928(+) CCACAATGTCT >hg38_chrX:131104760-131104770(-) taacaatggca >hg38_chrX:131233339-131233349(-) CTACAATAGGT >hg38_chrX:131262812-131262822(-) GAACAATAATC >hg38_chrX:131262820-131262830(-) TGACAACGGAA >hg38_chrX:131279826-131279836(-) TAACAAAGGCA >hg38_chrX:131416198-131416208(-) AAACAATAGAA >hg38_chrX:131441227-131441237(-) TAACAATGAGT >hg38_chrX:131574048-131574058(+) aaacaaagggt >hg38_chrX:131625111-131625121(-) CAACAATGGGG >hg38_chrX:131663823-131663833(+) acacaatgatt >hg38_chrX:131828172-131828182(+) AGACAAAGGAG >hg38_chrX:131836178-131836188(-) AAACAATGACA >hg38_chrX:131836203-131836213(+) GAATAATGGAT >hg38_chrX:132005055-132005065(+) GCATAATGGCA >hg38_chrX:132025268-132025278(-) ACACAATGGAG >hg38_chrX:132029457-132029467(+) AAACAATGCTT >hg38_chrX:132029488-132029498(-) AGATAATGGCA >hg38_chrX:132032626-132032636(+) atacaaaggac >hg38_chrX:132032696-132032706(+) gaacaataggg >hg38_chrX:132042142-132042152(+) TGACAATGGGG >hg38_chrX:132042151-132042161(+) GGACAATGGGG >hg38_chrX:132045258-132045268(+) GAACAATGGCG >hg38_chrX:132045289-132045299(-) ACACAATAGCT >hg38_chrX:132066160-132066170(-) ATACAATAAAT >hg38_chrX:132068828-132068838(-) agataatggcc >hg38_chrX:132120159-132120169(-) GGACAATGAAG >hg38_chrX:132125618-132125628(-) AGACAATGGTG >hg38_chrX:132127558-132127568(-) CAACAATAGCA >hg38_chrX:132146665-132146675(+) gtataatgaaa >hg38_chrX:132174306-132174316(+) gaacaaaggta >hg38_chrX:132210377-132210387(-) ATACAGTGGAA >hg38_chrX:132210448-132210458(-) TAACAAAGGCT >hg38_chrX:132254786-132254796(+) ttataatggat >hg38_chrX:132254804-132254814(+) caacaatgcct >hg38_chrX:132254825-132254835(+) taacaatatga >hg38_chrX:132411365-132411375(+) TGACAAAGGGA >hg38_chrX:132412439-132412449(+) AGACAAAGGTG >hg38_chrX:132422233-132422243(+) CTACAATACGC >hg38_chrX:132433304-132433314(-) GAACAATGGAC >hg38_chrX:132483225-132483235(-) TGATAATGGCC >hg38_chrX:132486510-132486520(+) GTACAATGAGA >hg38_chrX:132486545-132486555(+) ATACAATGCAG >hg38_chrX:132505821-132505831(-) TCACAATGTTA >hg38_chrX:132656946-132656956(+) TCACAATGGCT >hg38_chrX:132742559-132742569(-) CAACAATGGCT >hg38_chrX:132743259-132743269(+) ttacaatagga >hg38_chrX:132764398-132764408(+) AAACAATGGTG >hg38_chrX:132777058-132777068(-) taacaatgaga >hg38_chrX:132818817-132818827(-) TGACAAAGGAT >hg38_chrX:132876182-132876192(+) GTACAAAGGGG >hg38_chrX:132953519-132953529(+) CCACAATAGCC >hg38_chrX:133028700-133028710(+) ATACAATATGC >hg38_chrX:133072885-133072895(+) GAACAATAGAC >hg38_chrX:133125700-133125710(+) GAACAATGGGT >hg38_chrX:133130453-133130463(-) GAACAATGCCC >hg38_chrX:133174838-133174848(+) CCACAATAGCT >hg38_chrX:133186197-133186207(+) GTACAAAGGGT >hg38_chrX:133250710-133250720(+) AAACAATGCCC >hg38_chrX:133250731-133250741(+) CAACAATGAAG >hg38_chrX:133265382-133265392(+) AAACAATGCGC >hg38_chrX:133265395-133265405(-) CAACAAAGGTA >hg38_chrX:133308809-133308819(-) GCACAATGCAA >hg38_chrX:133315470-133315480(+) TAACAGTGGAC >hg38_chrX:133321256-133321266(+) GAACAAAGGCA >hg38_chrX:133328525-133328535(+) CTACAATGAGG >hg38_chrX:133358681-133358691(-) TCACAATGGTA >hg38_chrX:133412507-133412517(-) GAACAAAGGAA >hg38_chrX:133445444-133445454(-) AGACAAAGGAA >hg38_chrX:133527634-133527644(+) GAACAATGCCT >hg38_chrX:133556124-133556134(-) tcacaatagtc >hg38_chrX:133586693-133586703(-) GAACAATGACA >hg38_chrX:133649769-133649779(-) ATACCATGGAA >hg38_chrX:133657977-133657987(-) CTACAATAGCA >hg38_chrX:133669299-133669309(+) TAACAATGTCT >hg38_chrX:133692885-133692895(+) TTACAATGCTA >hg38_chrX:133698503-133698513(-) ACACAATGAAT >hg38_chrX:133702761-133702771(+) AAACAATGGTG >hg38_chrX:133730043-133730053(-) TTATAATGGAA >hg38_chrX:133765692-133765702(-) CAACAATGGTT >hg38_chrX:133765956-133765966(-) TTACAATGAGT >hg38_chrX:133792763-133792773(+) GGACAAAGGGT >hg38_chrX:133809643-133809653(+) AATCAATGGCG >hg38_chrX:133844358-133844368(+) tcacaatgtat >hg38_chrX:133880397-133880407(+) ACACAATGGGC >hg38_chrX:133880461-133880471(-) AAACAATAAGA >hg38_chrX:133880710-133880720(+) AGACAATAAAA >hg38_chrX:133882155-133882165(+) CCACAATGATT >hg38_chrX:133889211-133889221(+) TTACAAAGGCC >hg38_chrX:133921920-133921930(+) CAACAATGAGG >hg38_chrX:134018471-134018481(-) ccacaatagca >hg38_chrX:134137721-134137731(+) TAACAGTGGAA >hg38_chrX:134139236-134139246(+) AGACAATAAAT >hg38_chrX:134139739-134139749(-) atataatgaac >hg38_chrX:134144394-134144404(+) GTACAATGTAA >hg38_chrX:134177701-134177711(-) AGACAATAGTT >hg38_chrX:134180801-134180811(-) CAACAATGGGG >hg38_chrX:134187671-134187681(+) GGACAATAGTT >hg38_chrX:134236677-134236687(+) GCACAATGCTC >hg38_chrX:134239205-134239215(+) taacaatgcca >hg38_chrX:134375650-134375660(-) TAATAATGTAT >hg38_chrX:134375969-134375979(+) GTACTATGGTA >hg38_chrX:134375984-134375994(-) TGACAACGGAT >hg38_chrX:134385383-134385393(-) ATACAGTGGAG >hg38_chrX:134393513-134393523(-) GGACAATGGCA >hg38_chrX:134423837-134423847(-) CGACAATGGAA >hg38_chrX:134423905-134423915(+) gaacaatgaga >hg38_chrX:134440691-134440701(-) TAACAATGGCA >hg38_chrX:134548485-134548495(+) TTACAAAGGGG >hg38_chrX:134548529-134548539(+) ATACAATAGAC >hg38_chrX:134571972-134571982(-) AAACAATATAC >hg38_chrX:134580459-134580469(+) acacaaaggtc >hg38_chrX:134604432-134604442(+) AAACAATGGGC >hg38_chrX:134662501-134662511(-) caacaatagat >hg38_chrX:134752097-134752107(+) AAACAAAGGCT >hg38_chrX:134803764-134803774(+) TCACAATAGCT >hg38_chrX:134804311-134804321(-) CTACAATGGCA >hg38_chrX:134804722-134804732(+) ACACAATGGAG >hg38_chrX:134973859-134973869(+) TGACAATggga >hg38_chrX:134990434-134990444(-) AAACAATAGAA >hg38_chrX:135004536-135004546(+) GGACAATGCCC >hg38_chrX:135009333-135009343(+) aaacactggta >hg38_chrX:135023905-135023915(-) ttacaataatc >hg38_chrX:135023944-135023954(+) agacaatgcca >hg38_chrX:135035324-135035334(+) GGACAAAGGAA >hg38_chrX:135047368-135047378(-) caacaatggat >hg38_chrX:135110362-135110372(-) ttacaatgtta >hg38_chrX:135408932-135408942(+) gaacaatgtat >hg38_chrX:135408949-135408959(-) tcacaatgcct >hg38_chrX:135421514-135421524(+) ATATAATGGCT >hg38_chrX:135431023-135431033(-) caataatggtc >hg38_chrX:135431044-135431054(-) caacaatggag >hg38_chrX:135475855-135475865(-) agacaatgctc >hg38_chrX:135477831-135477841(+) aaacaatagga >hg38_chrX:135528228-135528238(+) atacaatgttg >hg38_chrX:135532193-135532203(+) AGACAGTGGAC >hg38_chrX:135532200-135532210(+) GGACAATAGGA >hg38_chrX:135548972-135548982(-) GGACAATGGGT >hg38_chrX:135589682-135589692(-) ccacaatggct >hg38_chrX:135649035-135649045(-) gaacaaagggt >hg38_chrX:135955977-135955987(+) tgacaaaggaa >hg38_chrX:135963702-135963712(+) GTACAATGGCA >hg38_chrX:135984942-135984952(-) AAACAATCGAC >hg38_chrX:136013655-136013665(-) CCACAATAGTA >hg38_chrX:136030059-136030069(+) TGACAATGTAT >hg38_chrX:136045186-136045196(-) GGACAAAGGCA >hg38_chrX:136131233-136131243(-) ggacaaaggga >hg38_chrX:136139158-136139168(+) aaacaaaggga >hg38_chrX:136163147-136163157(-) ggacaatgtga >hg38_chrX:136165629-136165639(+) AGACAATGAGT >hg38_chrX:136178084-136178094(+) TCACAATGTTA >hg38_chrX:136178150-136178160(-) tgacaatgata >hg38_chrX:136369858-136369868(-) caacaatggct >hg38_chrX:136387130-136387140(-) gcacaatggga >hg38_chrX:136387147-136387157(-) taataatgggg >hg38_chrX:136492890-136492900(-) CTACAATGGAA >hg38_chrX:136497206-136497216(-) CCACTATGGCG >hg38_chrX:136498789-136498799(+) TAACAAAGGTG >hg38_chrX:136498800-136498810(+) TGATAATGGAT >hg38_chrX:136642732-136642742(-) taacaatgtgt >hg38_chrX:136642750-136642760(+) gaacaatgtgt >hg38_chrX:136656690-136656700(-) GAACAATGGTC >hg38_chrX:136675931-136675941(-) GCACACTGGCG >hg38_chrX:136679732-136679742(+) CAACAATAGCT >hg38_chrX:136712813-136712823(+) AAATAATGGCT >hg38_chrX:136718726-136718736(-) ACACAATGcca >hg38_chrX:136718791-136718801(+) GAACAAAGGAA >hg38_chrX:136754776-136754786(-) CCACAATGGCT >hg38_chrX:136760366-136760376(+) TAACAATAAGA >hg38_chrX:136760440-136760450(-) ACACAATATAC >hg38_chrX:136796362-136796372(-) CCACAATAGCA >hg38_chrX:136813604-136813614(-) TGACAATACCG >hg38_chrX:136880729-136880739(+) GAACAAAGGAG >hg38_chrX:136963518-136963528(-) ATACAATGATT >hg38_chrX:136963559-136963569(-) GCACAATGGTC >hg38_chrX:137212217-137212227(+) AGACAAAGGGC >hg38_chrX:137226278-137226288(-) ggacaaaggag >hg38_chrX:137338712-137338722(-) tgacaaaggat >hg38_chrX:137361086-137361096(-) CAACAATAGCA >hg38_chrX:137366414-137366424(-) ggacaatAGAG >hg38_chrX:137413509-137413519(+) gaacaaaggcc >hg38_chrX:137432854-137432864(+) TGACAATGGTA >hg38_chrX:137494243-137494253(+) CGACAAAGGAT >hg38_chrX:137525851-137525861(-) GCACAAAGGAA >hg38_chrX:137532672-137532682(+) CCACAATAGCC >hg38_chrX:137551450-137551460(-) AAACAATGCCC >hg38_chrX:137559953-137559963(+) AGACAATAAAA >hg38_chrX:137561671-137561681(+) GTACAATGAAA >hg38_chrX:137561746-137561756(+) GGACAAAGGCA >hg38_chrX:137568512-137568522(-) GGACAATGGGC >hg38_chrX:137574112-137574122(+) AAACAATGGTG >hg38_chrX:137578706-137578716(+) TAATAATGGAA >hg38_chrX:137652518-137652528(-) ccacaatggcc >hg38_chrX:137749144-137749154(-) GGACAATAGGC >hg38_chrX:137779766-137779776(-) TGacaatagct >hg38_chrX:137779772-137779782(-) TAACAATGaca >hg38_chrX:137811518-137811528(+) CTACAATGTCC >hg38_chrX:137820821-137820831(-) gaacaataaag >hg38_chrX:137886909-137886919(-) AAACAATGAGC >hg38_chrX:137886930-137886940(-) TTACAATGAGG >hg38_chrX:137886974-137886984(-) GAATAATGGGA >hg38_chrX:137930762-137930772(+) GCACAATGCAT >hg38_chrX:137946067-137946077(+) GTACAATAGCA >hg38_chrX:137956196-137956206(-) AAACAATACGT >hg38_chrX:137956208-137956218(-) GAACAATGAAA >hg38_chrX:137987701-137987711(-) GAACAAAGGGG >hg38_chrX:137999912-137999922(-) ATACAAAGGGG >hg38_chrX:138001445-138001455(+) taataatggca >hg38_chrX:138008453-138008463(+) GTACAATAACT >hg38_chrX:138061262-138061272(+) AAACAAAGGGC >hg38_chrX:138348898-138348908(-) AAACAAAGGAA >hg38_chrX:138651770-138651780(+) ccacaatgttt >hg38_chrX:138745339-138745349(+) ATACAATGCAG >hg38_chrX:138763681-138763691(-) GCACAAAGGGA >hg38_chrX:138886976-138886986(-) AGACAAAGGAG >hg38_chrX:138929830-138929840(-) CAACAATGGAC >hg38_chrX:139133163-139133173(-) gaacaatgaaa >hg38_chrX:139195261-139195271(-) GAACAATGTGA >hg38_chrX:139200533-139200543(-) GGACAATGGAG >hg38_chrX:139211514-139211524(-) AAACAAAGGCA >hg38_chrX:139213503-139213513(-) gaacaatgact >hg38_chrX:139369857-139369867(+) ggataatggct >hg38_chrX:139660716-139660726(+) GTACAATATAA >hg38_chrX:139738572-139738582(-) TGACAATGGTA >hg38_chrX:139738807-139738817(-) GAACAATAGCT >hg38_chrX:139765927-139765937(+) CTACAATGAAA >hg38_chrX:139814946-139814956(-) TGACAATGAAG >hg38_chrX:139815713-139815723(-) AGACAAAGGTA >hg38_chrX:139816271-139816281(-) TAACAATGTTA >hg38_chrX:139844191-139844201(-) TCACAATGTAC >hg38_chrX:139851069-139851079(+) agacaatggag >hg38_chrX:139873292-139873302(-) aaacaataaac >hg38_chrX:139873366-139873376(+) gcacaatagtg >hg38_chrX:140054071-140054081(+) GTACAATGATG >hg38_chrX:140057192-140057202(+) GTACAATGGAG >hg38_chrX:140088080-140088090(+) GCACAATGGGC >hg38_chrX:140105068-140105078(-) GAACAATGGAC >hg38_chrX:140107010-140107020(-) AGACGATGGTA >hg38_chrX:140110266-140110276(-) GAATAATGGAA >hg38_chrX:140157756-140157766(+) GAACAATGCCC >hg38_chrX:140240309-140240319(+) taacaatggtg >hg38_chrX:140240318-140240328(+) tgacaatggcc >hg38_chrX:140250614-140250624(-) GAACAATGGCG >hg38_chrX:140275338-140275348(+) TTACAATAGCA >hg38_chrX:140299068-140299078(-) CAACAATGGTT >hg38_chrX:140299661-140299671(-) CTACAATGAGA >hg38_chrX:140318423-140318433(+) AGACAATGGGG >hg38_chrX:140325725-140325735(+) gaacaatggga >hg38_chrX:140442035-140442045(-) TTACAAAGGAG >hg38_chrX:140495866-140495876(+) ttacaatagta >hg38_chrX:140525484-140525494(+) AAACAATGGTT >hg38_chrX:140538715-140538725(+) TGACAATGCCG >hg38_chrX:140538733-140538743(-) CAACAATGGCT >hg38_chrX:140572860-140572870(-) gaacaatgtgc >hg38_chrX:140575535-140575545(+) tgataatggat >hg38_chrX:140659479-140659489(+) GAACAATAGTG >hg38_chrX:140772416-140772426(-) ACACAATATTA >hg38_chrX:140772450-140772460(-) TTACAATGACT >hg38_chrX:140791098-140791108(-) aaacaatgagg >hg38_chrX:140791121-140791131(-) aaacaatggca >hg38_chrX:140821862-140821872(-) aaacaatagac >hg38_chrX:140903268-140903278(-) ACACAATAGCT >hg38_chrX:141160308-141160318(-) AAACAAAGGAA >hg38_chrX:141160475-141160485(-) CAACAATGGAA >hg38_chrX:141259937-141259947(+) AAACAATGCTT >hg38_chrX:141388817-141388827(-) ACACAAAGGCA >hg38_chrX:141442058-141442068(-) ATACAATTGAA >hg38_chrX:141469182-141469192(+) taacaatagca >hg38_chrX:141616043-141616053(-) GCACAATGCTG >hg38_chrX:141638814-141638824(-) agacaatgggg >hg38_chrX:141638832-141638842(+) taacaataggc >hg38_chrX:141794459-141794469(-) tgacaatgaat >hg38_chrX:141852001-141852011(-) aaacaatgcaa >hg38_chrX:141852043-141852053(+) taacaatatta >hg38_chrX:141867285-141867295(+) atacagtgggc >hg38_chrX:141867303-141867313(+) aaacaatgggc >hg38_chrX:141924504-141924514(-) atacaaaggca >hg38_chrX:141924518-141924528(+) aaacaatgaat >hg38_chrX:142139507-142139517(-) gaacaatgtgt >hg38_chrX:142139541-142139551(+) tcacaaaggaa >hg38_chrX:142162361-142162371(-) caacaaaggcg >hg38_chrX:142162385-142162395(-) ggacaatgata >hg38_chrX:142162403-142162413(-) agacagtggat >hg38_chrX:142605369-142605379(-) GTACAATAAGA >hg38_chrX:142713461-142713471(+) gaacaatgagg >hg38_chrX:143165761-143165771(+) aaacaataaaa >hg38_chrX:143278513-143278523(-) TGACAATATAC >hg38_chrX:143278569-143278579(+) AGACAATGCAA >hg38_chrX:143376697-143376707(-) agacaatgggg >hg38_chrX:143401390-143401400(-) GGACAATGTAG >hg38_chrX:143417609-143417619(-) gaacaatgggt >hg38_chrX:143636175-143636185(-) ACACAATGCCT >hg38_chrX:143788460-143788470(+) GAACAATGGGA >hg38_chrX:143831625-143831635(+) GCACAATGTTC >hg38_chrX:143848814-143848824(-) taataatggac >hg38_chrX:143913789-143913799(+) CTACTATGGAA >hg38_chrX:144762618-144762628(-) taacaataatc >hg38_chrX:144896155-144896165(-) gtacaatagtt >hg38_chrX:145715815-145715825(-) aaacaatgata >hg38_chrX:146094147-146094157(-) agacaatggga >hg38_chrX:146543258-146543268(-) agacaatggga >hg38_chrX:146582425-146582435(-) tcacaatataa >hg38_chrX:146616025-146616035(+) ctacaatgtca >hg38_chrX:146616080-146616090(-) atacaatggtg >hg38_chrX:147217384-147217394(+) ggacaatgggg >hg38_chrX:147377382-147377392(-) aaacaatgaca >hg38_chrX:147512939-147512949(+) gtacaatacac >hg38_chrX:147512946-147512956(-) atacaatgtgt >hg38_chrX:147512968-147512978(+) gtacaatacac >hg38_chrX:147512975-147512985(-) atacaatgtgt >hg38_chrX:147512997-147513007(+) atacaATACAC >hg38_chrX:147513004-147513014(-) TTACAATGTGT >hg38_chrX:147650129-147650139(+) taacaatgggg >hg38_chrX:147653389-147653399(+) CTACAATGAAT >hg38_chrX:147675975-147675985(+) agacaatggag >hg38_chrX:147699224-147699234(-) caataatggac >hg38_chrX:147876553-147876563(+) CGACAAAGGAA >hg38_chrX:147900584-147900594(+) CAACAATGTTG >hg38_chrX:147920308-147920318(+) tgacaaAGGAT >hg38_chrX:148093136-148093146(+) tcacaatgggg >hg38_chrX:148249352-148249362(-) ggacaatgaca >hg38_chrX:148286533-148286543(+) AAACAATGTGA >hg38_chrX:148290240-148290250(+) TCACAATGGAC >hg38_chrX:148383231-148383241(+) GAACAATGGGA >hg38_chrX:148426688-148426698(+) AAATAATGGGT >hg38_chrX:148518473-148518483(-) acacaaaggac >hg38_chrX:148519092-148519102(-) TGACAATAGGA >hg38_chrX:148543210-148543220(-) tgacaatgcag >hg38_chrX:148754688-148754698(-) CAACAATGTAT >hg38_chrX:148754710-148754720(-) TAACAATGGTT >hg38_chrX:148759515-148759525(+) TGACAATGAAG >hg38_chrX:148854769-148854779(-) GCACAAAGGAA >hg38_chrX:148861499-148861509(-) ctacaatgaaa >hg38_chrX:148889965-148889975(-) TAACAATGATG >hg38_chrX:148980155-148980165(+) GAACAAAGGCA >hg38_chrX:149206647-149206657(+) atataatgaat >hg38_chrX:149250551-149250561(-) aaacaatagat >hg38_chrX:149284339-149284349(-) acacaatatta >hg38_chrX:149313209-149313219(-) GTACAATGACA >hg38_chrX:149385200-149385210(+) gaacaatgctg >hg38_chrX:149397693-149397703(-) AAACAATATTA >hg38_chrX:149398162-149398172(-) GCACAATGGTT >hg38_chrX:149433131-149433141(+) AAACAATAATA >hg38_chrX:149523684-149523694(+) gaacagtgGAT >hg38_chrX:149540448-149540458(+) CCACAATGGTT >hg38_chrX:149625013-149625023(-) CTACAATGTGG >hg38_chrX:149625042-149625052(-) CAACAATGATT >hg38_chrX:149682182-149682192(-) tcacaatagct >hg38_chrX:149682215-149682225(+) taacaaaggtg >hg38_chrX:149844149-149844159(+) aaacaatagct >hg38_chrX:149847341-149847351(-) ccacaatgagg >hg38_chrX:149964780-149964790(-) gaacaatgaac >hg38_chrX:150019843-150019853(+) caacaatgagt >hg38_chrX:150048659-150048669(-) GCACAATGGTG >hg38_chrX:150196496-150196506(+) AGACAATAGGA >hg38_chrX:150278001-150278011(+) GCACAATGGCT >hg38_chrX:150370169-150370179(+) TTACTATGGAT >hg38_chrX:150379888-150379898(-) acacaatgcag >hg38_chrX:150394878-150394888(+) aaacaaaggca >hg38_chrX:150442394-150442404(+) aaacaatgttt >hg38_chrX:150507380-150507390(+) GAACAAAGGAT >hg38_chrX:150525729-150525739(+) GGACAAAGGGA >hg38_chrX:150545499-150545509(-) GAACAATGGCA >hg38_chrX:150558645-150558655(-) TAACAAAGGCA >hg38_chrX:150577406-150577416(-) gcacaatggca >hg38_chrX:150647579-150647589(-) GAACAATGTAG >hg38_chrX:150664118-150664128(+) aaacaatgagt >hg38_chrX:150695352-150695362(+) ggacaatagag >hg38_chrX:150705164-150705174(+) gaacaatagga >hg38_chrX:150727466-150727476(+) AAACAAAGGCT >hg38_chrX:150727508-150727518(-) CAACAATGGAA >hg38_chrX:150832600-150832610(+) caacaatgccc >hg38_chrX:150857704-150857714(+) agacaaaggac >hg38_chrX:150857746-150857756(+) ccacaatggca >hg38_chrX:150857756-150857766(+) aaacaataaga >hg38_chrX:150925299-150925309(+) GCACAATGGGA >hg38_chrX:150925315-150925325(-) TTACAATGACA >hg38_chrX:151416853-151416863(-) TGACAATGCAT >hg38_chrX:151467001-151467011(-) acacaatagtt >hg38_chrX:151467035-151467045(-) aaacaatgacc >hg38_chrX:151754078-151754088(+) GGACAATGGCT >hg38_chrX:151803568-151803578(-) caacaatggca >hg38_chrX:151803578-151803588(-) agacaatgatc >hg38_chrX:151803898-151803908(+) ATACAATAACC >hg38_chrX:151820206-151820216(+) AGACAAAGGCA >hg38_chrX:151938197-151938207(-) atacaatggat >hg38_chrX:151984399-151984409(-) ACACAATAGGT >hg38_chrX:151984437-151984447(+) aaataatggaa >hg38_chrX:152072393-152072403(+) AAACAATGGAA >hg38_chrX:152072423-152072433(-) AGACAATGTTA >hg38_chrX:152080520-152080530(+) tgacaaaggaa >hg38_chrX:152792858-152792868(-) taacaatgaga >hg38_chrX:152808332-152808342(-) atacaatggac >hg38_chrX:153152122-153152132(+) ggacaaaggtg >hg38_chrX:153172150-153172160(-) gaacaaagggc >hg38_chrX:153176462-153176472(+) atacaatatgc >hg38_chrX:153440540-153440550(-) AGACAATGTGG >hg38_chrX:153684579-153684589(-) GAACAAAGGGG >hg38_chrX:153684611-153684621(-) GCACAATGAGC >hg38_chrX:153685309-153685319(-) GAACAAAGGCA >hg38_chrX:153727342-153727352(-) TGACAATGTAG >hg38_chrX:153782629-153782639(+) gcataatggtg >hg38_chrX:153794562-153794572(+) TCACAATGCCG >hg38_chrX:153827213-153827223(+) tcacaatgaga >hg38_chrX:153843413-153843423(+) gcacaatgctt >hg38_chrX:153844893-153844903(-) CAACAATGGAG >hg38_chrX:153846365-153846375(+) AAACAATGACC >hg38_chrX:153848452-153848462(+) CCACAATGCAA >hg38_chrX:153863292-153863302(+) GGACAATGGCA >hg38_chrX:153863311-153863321(-) AGACAATGTGC >hg38_chrX:153882204-153882214(+) GGACAATGGGG >hg38_chrX:153928019-153928029(-) GAACAATGGAG >hg38_chrX:153928399-153928409(+) ATACAAAGGGA >hg38_chrX:153948299-153948309(-) GAACAAAGGAA >hg38_chrX:154046151-154046161(+) ACACAAAGGAA >hg38_chrX:154117021-154117031(+) GGACAATAGGT >hg38_chrX:154304967-154304977(+) TCACAATGCTC >hg38_chrX:154331549-154331559(-) atacaatagaa >hg38_chrX:154370713-154370723(-) GAACAAAGGCG >hg38_chrX:154381496-154381506(+) ACACAATAAAA >hg38_chrX:154517094-154517104(+) TAACAATAGGA >hg38_chrX:154527395-154527405(+) AGACAATGCAA >hg38_chrX:154760734-154760744(-) atacaatggag >hg38_chrX:154790190-154790200(+) TAACAATATGA >hg38_chrX:154821705-154821715(+) aaacaataggg >hg38_chrX:155000905-155000915(-) TGACAATGGAG >hg38_chrX:155027816-155027826(+) atactatggtg >hg38_chrX:155236054-155236064(-) ccacaatggca >hg38_chrX:155238632-155238642(+) TTACAATACTA >hg38_chrX:155238685-155238695(-) ACACAATGAAT >hg38_chrX:155276275-155276285(+) atacaatgtgg >hg38_chrX:155414481-155414491(-) gtacaattgat >hg38_chrX:155612464-155612474(+) AAACAATGTAC >hg38_chrX:155612471-155612481(+) GTACACTGGGC >hg38_chrX:155614082-155614092(+) GTACAATGAGA >hg38_chrX:155637705-155637715(-) gaacaaaggga >hg38_chrX:155662480-155662490(-) atacaatgcct >hg38_chrX:155667774-155667784(+) ggacaatagcc >hg38_chrY:2858218-2858228(-) ggacaaaggac >hg38_chrY:2859614-2859624(-) acacaatagaa >hg38_chrY:2913746-2913756(+) taataatagta >hg38_chrY:2934556-2934566(-) GAACAATGAAT >hg38_chrY:2959484-2959494(-) ttataatggtc >hg38_chrY:7770008-7770018(-) AGACAATGTCT >hg38_chrY:7858078-7858088(-) caataatggat >hg38_chrY:7866374-7866384(-) tgataatgggc >hg38_chrY:8706107-8706117(-) TCACAATGAAT >hg38_chrY:12679297-12679307(+) acacaatagag >hg38_chrY:12764310-12764320(+) GAACAATGCCA >hg38_chrY:12764337-12764347(+) AAAcaatagat >hg38_chrY:12782375-12782385(+) gcataatggac >hg38_chrY:12782382-12782392(-) acacaatgtcc >hg38_chrY:12833513-12833523(-) TAATAATGATA >hg38_chrY:12833534-12833544(-) ACACAATCGAA >hg38_chrY:12833554-12833564(+) AAACAATGTGC >hg38_chrY:12885389-12885399(-) caacaatagag >hg38_chrY:13110717-13110727(-) acacaaaggga >hg38_chrY:13251529-13251539(-) AAATAATGGTC >hg38_chrY:13270223-13270233(-) tgacaatgcaa >hg38_chrY:13281660-13281670(+) atataatgata >hg38_chrY:13348644-13348654(-) GAACAATGCTG >hg38_chrY:13348706-13348716(+) acacaatgtcc >hg38_chrY:13588572-13588582(+) ggacaaaggac >hg38_chrY:13664458-13664468(-) ttacaatacta >hg38_chrY:13705406-13705416(+) TGACAATGGAG >hg38_chrY:13881457-13881467(-) GAACAATGTCC >hg38_chrY:13881518-13881528(-) TAACAATACAC >hg38_chrY:14498393-14498403(+) TGACAACGGGA >hg38_chrY:14624257-14624267(+) CAACAATGAAT >hg38_chrY:14794387-14794397(-) tgacaatatat >hg38_chrY:15002475-15002485(+) CAACAATGACT >hg38_chrY:15002513-15002523(-) ATACAATAGAA >hg38_chrY:15060171-15060181(+) GAACAATGGTT >hg38_chrY:15271124-15271134(+) ACACAAAGGAT >hg38_chrY:15282466-15282476(-) GAACAATGACA >hg38_chrY:17046332-17046342(+) GAACAATGTCA >hg38_chrY:19077362-19077372(-) GGACAATGGGC >hg38_chrY:19428247-19428257(+) acacaatagac >hg38_chrY:19428268-19428278(-) ccacaatagac >hg38_chrY:19570047-19570057(-) gaacaatggca >hg38_chrY:19570125-19570135(-) aaacaatgcaa >hg38_chrY:19587220-19587230(+) GCACAATGGAA >hg38_chrY:19646670-19646680(+) acacaatagta >hg38_chrY:20508643-20508653(+) GTACAGTGGTG >hg38_chrY:20523705-20523715(-) ttacaatgaga >hg38_chrY:20747743-20747753(+) CAACAATGACC >hg38_chrY:56728145-56728155(+) atataatggag